TWI467402B - 用於利用可變定形束微影術設計及製造標線片之方法 - Google Patents

用於利用可變定形束微影術設計及製造標線片之方法 Download PDF

Info

Publication number
TWI467402B
TWI467402B TW98128358A TW98128358A TWI467402B TW I467402 B TWI467402 B TW I467402B TW 98128358 A TW98128358 A TW 98128358A TW 98128358 A TW98128358 A TW 98128358A TW I467402 B TWI467402 B TW I467402B
Authority
TW
Taiwan
Prior art keywords
pattern
vsb
shots
shot
dose
Prior art date
Application number
TW98128358A
Other languages
English (en)
Other versions
TW201017454A (en
Inventor
Akira Fujimura
Michael Tucker
Original Assignee
D2S Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US12/202,364 external-priority patent/US7759026B2/en
Priority claimed from US12/473,241 external-priority patent/US7754401B2/en
Application filed by D2S Inc filed Critical D2S Inc
Publication of TW201017454A publication Critical patent/TW201017454A/zh
Application granted granted Critical
Publication of TWI467402B publication Critical patent/TWI467402B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0275Photolithographic processes using lasers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3174Particle-beam lithography, e.g. electron beam lithography
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/62Pellicles, e.g. pellicle assemblies, e.g. having membrane on support frame; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/76Patterning of masks by imaging
    • G03F1/78Patterning of masks by imaging by charged particle beam [CPB], e.g. electron beam patterning of masks
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2051Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source
    • G03F7/2059Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source using a scanning corpuscular radiation beam, e.g. an electron beam
    • G03F7/2061Electron scattering (proximity) correction or prevention methods
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2051Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source
    • G03F7/2059Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source using a scanning corpuscular radiation beam, e.g. an electron beam
    • G03F7/2063Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source using a scanning corpuscular radiation beam, e.g. an electron beam for the production of exposure masks or reticles
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/143Electron beam

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Toxicology (AREA)
  • Mathematical Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Theoretical Computer Science (AREA)
  • Optics & Photonics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electron Beam Exposure (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Description

用於利用可變定形束微影術設計及製造標線片之方法 相關申請案
本申請案主張下列文獻之優先權:1)於2008年9月1日申請之美國專利申請案第12/202,364號,標題為“用於利用字元投影粒子束微影術製造標線片之方法及系統”;2)於2009年5月27日申請之美國專利申請案第12/473,241號,標題為“用於利用可變定形束微影術製造表面及積體電路之方法”;3)於2009年7月13日申請之美國臨時專利申請案第61/225,207號,標題為“用於利用可變定形束微影術設計及製造標線片之方法”;以及,4)於2009年8月12日申請之美國專利申請案第12/540,323號,標題為“用於利用可變定形束微影術設計及製造標線片之方法”;以上所有文獻併入本文作為參考資料。
本發明係有關於用於利用可變定形束微影術設計及製造標線片之方法。
發明背景
本揭示內容係關於微影術,且更特別的是,有關於用於利用可變定形束(VSB)帶電粒子束微影術設計及製造表面之方法,該表面可為標線片、晶圓、或任何其他表面。
在生產或製造半導體元件(例如,積體電路)時,光學微影術可用來製造半導體元件。光學微影術是利用由標線片製成之微影遮罩(lithographic mask)或光罩把圖案轉印至基板(例如,半導體或矽晶圓)以產生積體電路的印刷製程。其他基板可包含平面顯示器或者其他的標線片。超紫外線(EUV)或X射線微影術也被視為光學微影術。標線片或多個標線片可包含對應至積體電路之個別層的電路圖案,以及可成像此一圖案於基板上已塗佈一層輻射敏感材料(習稱光阻劑或阻劑)的某個區域上。在帶圖案層轉印後,該層即可經受各種其他加工,例如蝕刻、離子植入(摻雜)、金屬化、氧化、以及研磨。該等加工是用來完成基板的個別層。如果需要數層,則對每一個新層會重覆整個製程或其變體。最後,基板上會有多個裝置或積體電路的組合。然後,可用切割或鋸斷法來使這些積體電路相互分離,接著裝入個別的封裝體。在更一般的情形下,基板上的圖案可用來界定諸如顯示像素或磁性記錄頭的工件。
在生產或製造半導體元件(例如,積體電路)時,無遮罩直接寫入也可用來製造半導體元件。無遮罩直接寫入是利用帶電粒子束微影術把圖案轉印至基板(例如,半導體或矽晶圓)以產生積體電路的印刷製程。其他基板可包含平面顯示器、供奈米壓印用之壓印遮罩(imprint mask)、或者標線片。將有數個想要圖案之層直接寫在表面(在此情形下,它也是基板)上。在帶圖案層轉印後,該層即可經受各種其他加工,例如蝕刻、離子植入(摻雜)、金屬化、氧化、以及研磨。該等加工是用來完成基板的個別層。如果需要數層,則對每一個新層會重覆整個製程或其變體。有些層可用光學微影術寫入而其他層可用無遮罩直接寫入法寫入以製造同一基板。最後,基板上會有多個裝置或積體電路的組合。然後,可用切割或鋸斷法來使這些積體電路相互分離,接著裝入個別的封裝體。在更一般的情形下,基板上的圖案可用來界定諸如顯示像素或磁性記錄頭的工件。
如上述,在光學微影術中,微影遮罩或標線片含有對應至待整合於基板上之電路組件的幾何圖案。利用電腦輔助設計(CAD)軟體或程式可產生用來製造標線片的圖案。在設計圖案時,CAD程式可遵循一組預定的設計規則以便產生該標線片。這些規則的設定是通過處理、設計及最終用途上的限制來設定。最終用途限制的例子之一是以在規定供給電壓無法充分操作的方式定義電晶體的幾何。特別是,設計規則可定義電路裝置或互連線之間的空間容限(space tolerance)。例如,設計規則可用來確保電路裝置或線路不會以不合意的方式相互作用。例如,用設計規則使得線路彼此不會太靠近而導致短路。除了別的以外,設計規則限制反映能可靠製成的最小尺寸。在提及小尺寸時,吾等通常引進關鍵尺寸的概念。例如,定義成線路的最小寬度或兩線之間的最小空間,這些尺寸需要精致的控制。
用光學微影術製造積體電路的目標之一是要利用標線片在基板上重製原始的電路設計。積體電路製造商一直企圖儘可能有效地利用半導體晶圓不動產。工程師持續縮減電路的尺寸以便讓積體電路可容納更多電路元件以及使用更少電源。隨著積體電路的關鍵尺寸減少及其電路密度增加,電路圖案或物理設計的關鍵尺寸會接近使用於光學微影術之曝光工具的解析度極限。隨著電路圖案的關鍵尺寸變小以及接近曝光工具的解析度,變成難以把物理設計準確地轉錄到顯影於阻劑層上的實際電路圖案。為了進一步利用光學微影術來轉印其中特徵小於用於光學微影製程之光線波長的圖案,已發展出一種習稱光學近接修正(optical proximity correction,OPC)的製程。OPC係改變物理設計以補償由諸如特徵與最近特徵之光學衍射及光學相互作用之類的效應所造成的失真。例如,在一類稱作基於規則之OPC的OPC中,各種幾何操作(例如,邊緣選擇、面積交集或聯集、以及形狀的加大或縮小(亦即,每個形狀的邊緣向內或向外移動)可用來修改設計好的圖案以便改善圖案用光學微影術轉印之後的品質。OPC包含所有用標線片完成的解析度增強技術。
OPC可添加可遮罩圖案的次解析微影特徵(sub-resolution lithographic feature)以減少原始的物理設計圖案(亦即,設計)與轉印於基板上的最終電路圖案。次解析微影特徵彼此相互作用以及與物理設計的原始圖案相互作用並且補償近接效應以改善最終轉印好的電路圖案。有一種可改善圖案轉印的特徵是次解析輔助特徵(SRAF)。另一種可改善圖案轉印的特徵被稱作“襯線(serif)”。襯線為可放在圖案角落的小特徵以銳化最終轉印圖像的角落。由於光學微影術的極限正被擴展遠到次波長區域內,因此必需做出越來越複雜的OPC特徵以便補償更精細的相互作用及效應。在成像系統被推到更加靠近極限時,能夠製成有充分精細OPC特徵的標線片變成至關重要。雖然添加襯線或其他OPC特徵至遮罩圖案是有利的,然而這也會實質增加遮罩圖案的特徵總數。例如,用習知技術添加襯線至方形的每個角落,遮罩或標線片圖案會增加8個以上的矩形。添加OPC特徵是極其費力的工作,需要昂貴的計算時間,以致標線片會更貴。不只OPC圖案複雜,也因為與最小線路及空間尺寸相比,光學近接效應為長程,在給定位置的修正OPC圖案明顯取決於鄰域的其他幾何。因此,例如,取決於在標線片上與襯線有多靠近,線路末端會有大小不同的襯線。這甚至是要在晶圓上製成完全相同之形狀的目標。有些許不過很關鍵的差異是很重要的而且會妨礙其他不能形成標線片圖案。用主特徵(亦即,OPC修飾前反映設計的特徵,以及OPC特徵)來說明要寫上標線片、經OPC修飾的圖案是方便的,在此OPC特徵可包含襯線、直角凹凸(jog)、以及SRAF。為了量化些許差異的意思,OPC修飾中由鄰域至鄰域的典型些許差異可為主特徵尺寸的5%至80%。應注意,為了清楚起見,OPC的設計差異用文中提及的。實際的表面圖案也會有製造差異(例如線邊粗糙度與圓角)。當OPC差異在晶圓上產生實質相同的圖案時,意思是指晶圓上的幾何在指定誤差內相同,這取決於將該幾何設計成可完成的功能細節,例如,電晶體或接線。然而,典型的規格是在主特徵範圍的2%至50%。有許多製造因素也會造成差異,不過總誤差的OPC分量經常是在列出的範圍內。
有許多技術用來在標線片上形成圖案,包含利用光學微影術或帶電粒子束微影術。最常用的系統是可變定形束(VSB),它一種帶電粒子束曝光系統(charged particle beam writer system),其中精確的電子束係經定形及定向至標線片的塗阻劑表面。這些形狀為簡單的形狀,通常受限於有某一最小及最大尺寸以及邊與笛卡兒座標軸平行的矩形,以及有某一最小及最大尺寸以及內角為45度、45度及90度的三角形。在預定位置,將電子劑量射入有簡單形狀的阻劑。此類系統的總寫入時間會隨著射擊數而增加。電子的劑量或射擊通常設計成:a)可完全覆蓋目標圖案,b)避免相互重疊,以及c)在後續的劑量修正步驟(例如,近接誤差修正)之前,有均勻的初始指定劑量。這3項限制可大幅簡化標線片上之阻劑如何登記圖案的計算。不過,隨著OPC特徵變得更複雜,把圖案分割或分裂(fracture)成一組非重疊簡單形狀可能導致有數百億個簡單形狀,以致標線片寫入時間會很長。
減少製備及製造供製造基板用之標線片需要花費的時間及費用是有利的。更一般而言,減少製備及製造任何表面需要花費的時間及費用是有利的。例如,減少在標線片上形成圖案所需要的VSB射擊數是合乎需要的。
發明概要
揭示一種用於分裂或遮罩資料準備或近接效應修正待形成於一標線片上之一想要圖案的方法,其中係決定可形成該想要圖案的多個非重疊可變定形束(VSB)射擊,在此該多次射擊的聯集偏離該想要圖案。允許該等射擊的劑量改變相對值。該多次射擊可經決定成由多次射擊計算出在該表面上的一圖案是在該想要圖案的一預定容限內。在一些具體實施例中,優化技術可用來最小化射擊數。在其他具體實施例中,該多次射擊可視需要由一或更多經預先計算的VSB射擊或VSB射擊群(亦即,圖元)選出。
也揭示一種用於利用多個非重疊VSB射擊形成一圖案於一標線片上的方法,其中允許該等射擊的劑量改變相對值,以及其中該多次射擊的聯集偏離該想要圖案。
也揭示一種用於光學近接修正(OPC)供一基板用之一想要圖案的方法,其中係決定可在一表面形成該想要基板圖案之一OPC修正版的多個非重疊可變定形束(VSB)射擊,在此該多次射擊的聯集偏離該想要圖案。允許改變該等射擊在初始分配好的劑量。
閱讀以下結合附圖的詳細專利說明書可明白本揭示內容以上及其他的優點。
圖式簡單說明
第1圖圖示用於製造表面的可變定形束帶電粒子束曝光系統;第2圖圖示一光學微影系統;第3A圖圖示待置於基板上的圖案之設計;第3B圖圖示由第3A圖之設計形成於標線片中的圖案;第3C圖圖示利用第3B圖之標線片形成於基板之光阻劑中的圖案;第4A圖圖示第3A圖之圖案的光學近接修正版;第4B圖圖示第4A圖圖案在形成於標線片後的的光學近接修正版;第4C圖圖示利用第4B圖標線片形成於矽晶圓之光阻劑中的圖案;第5A圖圖示待形成於基板上的圖案之設計;第5B圖圖示用正常劑量在表面上形成的第5A圖圖案;第5C圖圖示用低於正常劑量在表面上形成的第5A圖圖案;第5D圖圖示用高於正常劑量在表面上形成的第5A圖圖案;第6A圖圖示兩個彼此毗鄰的VSB射擊;第6B圖圖示沿著穿過第6A圖中形狀之直線繪出的劑量圖;第6C圖圖示由第6A圖之射擊在表面上得到的圖案;第7圖圖示VSB射擊分裂概念流程圖之一具體實施例;第8A圖圖示方形圖案的設計;第8B圖圖示OPC之後的第8A圖圖案;第8C圖圖示分裂成習知非重疊矩形的第8B圖圖案;第8D圖圖示分裂成無串島(sliver)之習知非重疊矩形的第8B圖圖案;第8E圖根據本揭示內容圖示第8B圖圖案分裂成非重疊矩形的範例;第9A圖圖示可形成轉45度矩形圖案的一組習知非重疊VSB射擊;第9B圖圖示利用本揭示內容之一示範方法可形成轉45度矩形圖案的一組非重疊VSB射擊;第10A圖圖示待形成於表面上的一圖案;第10B圖的曲線圖案為OPC處理第10A圖圖案的結果;第10C圖圖示用本揭示內容之一示範方法可在表面上形成第10B圖曲線圖案的一組非重疊VSB射擊;第11A圖圖示如何製備用於製造基板(例如,矽晶圓上的積體電路)之表面的概念流程圖之一具體實施例;第11B圖圖示如何製備用於製造基板(例如,矽晶圓上的積體電路)之表面的概念流程圖之另一具體實施例;第12圖圖示如何製備用於製造基板(例如,矽晶圓上的積體電路)之表面的概念流程圖之又一具體實施例;第13A圖圖示源於矩形VSB射擊的圖元劑量對應圖(glyph dosage map);第13B圖圖示源於方形VSB射擊的圖元劑量對應圖;第14A圖圖示一參數化圖元劑量對應圖;第14B圖圖示用於第14A圖參數化圖元的另一劑量對應圖;以及第15圖圖示如何製備用於製造基板(例如,矽晶圓上的積體電路)之表面的概念流程圖之另一具體實施例。
較佳實施例之詳細說明
實現本揭示內容的改善及優點可藉由允許非正常射擊劑量,以及允許聯合偏離目標圖案的射擊,使得產生圖案的射擊數少於用正常劑量VSB射擊完全覆蓋目標圖案的更傳統做法。因此,吾等針對先前技術的問題(例如與製備表面有關的冗長寫入時間及高成本),提供用於製造表面的方法及系統。
請參考附圖,其中類似的元件用相同的元件符號表示,第1圖為微影系統之一具體實施例,例如帶電粒子束曝光系統,在此情形下,是根據本揭示內容利用可變定形束(VSB)來製造表面12的電子束曝光系統10。電子束曝光系統10有投射電子束16至穿孔板18的電子束源14。板18有形成於其中以允許電子束16穿過的穿孔20。電子束16在穿過穿孔20之後,用透鏡系統(未圖示)引導或偏轉成朝向另一矩形穿孔板或模板遮罩24的電子束22。模板遮罩24已在其中形成許多定義各種簡單形狀(例如,矩形與三角形)的穿孔26。形成於模板遮罩24的每個穿孔26可用來在表面12中形成圖案。電子束30由穿孔26中之一個湧出以及被引導至表面12上成為圖案28。表面12塗上與電子束30相互作用的阻劑(未圖示)。電子束22可經引導成重疊穿孔26的可變部份,這會影響圖案28的大小及形狀。表面12裝在活動平台32上。平台32使得表面12可重新定位藉此表面12可寫上大於帶電粒子束30之最大偏轉能力或場區大小(field size)的圖案。在一具體實施例中,表面12可為標線片。在此具體實施例中,標線片在用圖案曝光後經受各種製造步驟而變成微影遮罩。然後,該遮罩可用於圖示於第2圖的光學微影裝置或機器34。光學微影機器34包含照明光源36、遮罩37、以及投射標線片圖案28之影像(通常尺寸變小)至矽晶圓39上以製成積體電路的一或更多透鏡38。更一般而言,在另一裝置或機器用遮罩37來轉印圖案28至基板39。在另一具體實施例中,表面12為基板,例如矽晶圓。
如上述,在半導體及其他奈米技術製造商達到光學微影術的極限時,會難以把理想的圖案轉印至基板上。例如,第3A圖圖示要在基板之阻劑中形成的理想圖案40,其係呈現一電路。在製作企圖形成圖案40於其上的標線片及遮罩時,該標線片不是圖案40的完美呈現。第3B圖圖示可形成於企圖呈現圖案40之標線片的圖案42。與圖案40相比,圖案42有更多圓形及變短的特徵。當圖案42用於光學微影製程時,如第3C圖所示,圖案44會在基板的光阻劑上形成。圖案44不會極其靠近理想圖案40,這說明為何需要光學近接修正。
為了補償圖案40與44之間的差異,使用光學近接修正。光學近接修正係改變設計圖案以便改變標線片來補償由光學衍射、與相鄰形狀的光學相互作用、以及阻劑製程效應所造成的失真。第4A圖至第4C圖圖示光學近接修正如何可用來增強光學微影製程以顯影出版本較佳的圖案44。特別是,第4A圖圖示為圖案40之修改版的圖案50。圖案50襯線元件52,其係添加至圖案50的各角落以提供額外的區域企圖減少會降低角落銳度的光學及處理效應。當圖案50的標線片產生時,在標線片中以圖案54呈現,如第4B圖所示。當光學微影裝置使用經光學近接修正之圖案54時,會產生輸出圖案56,如第4C圖所示。圖案56比圖案44還像理想圖案40,原因在於光學近接修正。雖然利用光學近接修正有益,然而需要改變或修飾每一個圖案,這會增加產生標線片的時間及成本。此外,在應用OPC以及這會增加製備標線片的時間及費用時,在形成於圖案44上的各種圖案之間有點差異是適當的。
請參考第1圖,當圖案寫上塗阻劑表面12時,在表面上得到的圖案取決於粒子到達阻劑的數量,這被稱作曝光或劑量。一劑可變定形束射擊為快門速度,因此,給定射擊的時間長度是投射於表面上的。“劑量修正”是例如為了做近接效應修正(PEC)而稍微修改任何給定射擊之劑量的製程步驟。因此,所有射擊的最優或“正常”劑量不會相同。第5A圖圖示要寫於表面上的示範多邊形圖案60。第5B圖圖示用正常劑量在標線片上得到的圖案62。應注意,與理想圖案60相比,圖案62的角落有點為圓形。第5C圖圖示用低於正常劑量在標線片上得到的圖案64。圖案64一般較薄,而且與正常劑量圖案62相比,圖案的長末端變短。第5D圖圖示用高於正常劑量在標線片上得到的圖案66。圖案66“較厚”,即所有尺度稍微比正常劑量圖案62大些。圖案62、64及66之間的差異原因在於阻劑對於不同劑量的反應。
本揭示內容係利用以下特性:藉由改變射擊劑量以及藉由修改VSB射擊的大小可將不同的圖案寫於表面(例如,標線片)。本揭示內容結合可變劑量與移除射擊必需完全覆蓋目標圖案的習知拘束,而且不必覆蓋任何非目標圖案區。藉由利用這些技術,可用比習知技術還少的射擊在表面上形成數個圖案。不過,利用這些技術取決於準確的方法,例如粒子束模擬,由非正常劑量計算出會登記於阻劑的圖案。不過,在應用於詳盡的設計時,與粒子束模擬有關的計算複雜度與射擊優化很高。在這之前,計算複雜度已促使人們使用均勻的正常劑量,在此不需要整體設計的粒子束模擬。
可有適當電腦軟體的通用電腦作為計算裝置來具體實施描述於本揭示內容的各種流程。由於需要大量的計算,也可平行使用多個電腦或處理器核心。在一具體實施例中,對於流程的一或更多計算密集步驟,可將計算細分成多個二維幾何區域,以支援平行處理。在另一具體實施例中,專用硬體裝置可單獨或者多個用來以高於通用電腦或處理器核心的速度完成一或更多步驟的計算。在一具體實施例中,描述於本揭示內容的優化及模擬方法可包含修改及重新計算可能解的迭代方法以便最小化VSB射擊的總數或者是總帶電粒子束寫入時間,或一些其他參數。在另一具體實施例中,藉構造修正方法(correct-by-construction method)可決定VSB射擊的初始設定,藉此不需要做射擊修改。
利用可變劑量射擊的複雜性之一是計算阻劑對圖案中之每一部份的反應。帶電粒子束系統有自然糢糊半徑(natural blurring radius,尺寸大約有20至30奈米),導致轉移能量的高斯分布超出每個射擊的標稱邊界。因此,即使為非重疊射擊或者是非相鄰射擊,阻劑的區域可收到多個射擊的劑量。在此情形下,必需合計每個射擊的劑量來判定總劑量。例如,簡單的加法可用來合計劑量。例如,第6A圖圖示兩個毗鄰的VSB射擊圖案500、502。第6B圖圖示沿著與圖案500、502相交的直線503被收到的劑量。在第6B圖,圖案500的VSB射擊登記於阻劑上的劑量是504,而圖案502的VSB射擊登記於阻劑上的劑量是506。虛線508顯示在高於它時阻劑會登記圖案的閥值508。點線510圖示在504及506兩者皆顯著之區域中504與506的組合。應注意,在圖案500、502之間的任一點劑,組合劑量510不會在阻劑閥值508的下方。因此,組合劑量曲線510顯示阻劑會登記圖案500、502成為單一的組合圖案512,如第6C圖所示。其他更複雜的數學函數也可用來組合射擊劑量,例如,以考慮到阻劑充電(resist charging),其中已由前面射擊累積於阻劑上的負電荷可能造成後續射擊的移位及失真。第6B圖圖示沿著一維的劑量計算。完成在二維區域內的劑量計算可藉由把該區分成為大小相等之方形的X-Y網格,計算每個網格方形的劑量,以及儲存數值於稱作劑量對應圖的二維矩陣。已知藉由單一VSB射擊或VSB射擊組合而在表面產生的劑量對應圖被稱作圖元(glyph)。可預先算出圖元的資料庫以及使它可用於光學近接修正或遮罩資料準備函數。例如,可將圖案512儲存成由射擊500、502得到的圖元。
顯然更具挑戰性的是在阻劑上的區域接受明顯多於或少於正常劑量時預測表面上所得到的圖案。粒子束曝光模擬可用來判斷所得圖案。此一處理係模擬帶電粒子束系統的塗阻劑表面曝光,這有考慮到帶電粒子束系統的物理特性以及阻劑與阻劑底下之表面的電光及化學特性。粒子束曝光模擬可用來模仿帶電粒子束曝光過程的各種非理想效應,包含向前散射、向後散射、阻劑擴散、庫倫效應、蝕刻、霧化(fogging)、負載(loading)、及阻劑充電。這些效應大部份為較短程的效應,這意謂每個VSB射擊只會影響鄰近的其他圖案部份。不過,向後散射、霧化及負載為較長程的效應,以及在只考慮圖案的微小部份時無法精確地模擬。在獲悉最終射擊曝光順序後,必需計算阻劑充電,儘管它為短程效應。
例如,第7圖圖示用於藉由預先計算圖元來產生圖案之VSB射擊的一流程具體實施例,此方法被稱作分裂。在第7圖的流程900中,想要圖案902是待形成於表面上的圖案,而且為本方法的主要輸入。在步驟904,可基於蝕刻模型906來計算出蝕刻修正。步驟904產生想要阻劑圖案908,亦即在蝕刻前要形成於阻劑上的想要圖案。因此,想要阻劑圖案908是要用圖元之組合來匹配的目標圖案。在步驟922,可個別模擬VSB射擊920的組合以產生可加到圖元資料庫926的圖元。粒子束模擬步驟922使用一或更多個短程曝光效應924的模型。圖元資料庫926中因此得到的圖元對於圖元內的射擊會有短程曝光效應的預補償。在步驟910,由圖元資料庫選出及安置圖元,以及組合圖元劑量對應圖,藉此會由組合劑量對應圖形成於阻劑上的圖案會在預定容限內與經蝕刻修正之想要圖案908匹配。在選擇及安置圖元時,步驟910利用一或更多個長程曝光效應912。步驟910的輸出為VSB射擊914的初始清單,其係每個選定圖元的射擊。然後,在步驟916可模擬VSB射擊914的初始設定並進一步加以修正及修改。在視需要的步驟917中,在步驟916模擬過的圖案與想要阻劑圖案908比較以判定這兩個圖案是否在預定容限內匹配。在本發明的一些具體實施例中,步驟910及916可利用藉構造修正方法來直接產生經校驗的射擊清單,而跳過步驟917。若是發現在預定容限內不匹配,則在步驟916可做額外的修正及模擬直到步驟916的粒子束模擬圖案在經蝕刻修正之想要圖案908的預定容限內。如果在預定容限內無法實現匹配,也可調整使用於步驟917的容限。步驟917的結果是經校驗射擊清單918,它適合帶電粒子束系統用來寫於塗阻劑表面。
第8A圖至第8E圖圖示如何使用可變劑量射擊的實施例,在射擊不限於要儘量靠近以填滿目標圖案時,它可減少射擊數。第8A圖圖示可用電子設計自動化軟體系統產生的理想圖案118(例如,接觸),在形成圖案於基板上時,此軟體系統要與光學微影術一起使用。圖案118的形狀為方形。第8B圖圖示可藉由圖案118之OPC處理產生的曲線圖案120。圖案120是要形成於在製作用於光學微影製程之遮罩時使用的標線片上。第8C圖圖示一組122非重疊矩形,其係可用於使用習知技術以VSB射擊寫圖案120於標線片上。可見,該組矩形122的聯集很接近形狀120。不過,有些帶電粒子束系統在射擊有高長寬比被稱作串島(sliver)的射擊時相對不準確。因此,習知不用分裂軟體來產生該組矩形122。第8D圖圖示習知可用來寫形狀120於表面的另一組非重疊形狀(矩形與三角形)。此組形狀可用VSB技術射擊,而不用串島。射擊群(shot group)124中有7個射擊。不過,可見,射擊124的聯集並不極靠近圖案120。第8E圖圖示本揭示內容的五個射擊群130,在適當的劑量下,它可登記圖案於接近想要圖案120的標線片上。射擊群130係由射擊132、射擊134、射擊136、射擊138及射擊140組成。可改變射擊群130中之射擊的相對劑量。登記於阻劑上的圖案為形狀142,其係在預定容限內與想要形狀120等價。五個射擊群130可登記圖案於阻劑上,它係比七個射擊群124還要靠近想要圖案120。此實施例顯示如何使用可變劑量以及允許射擊的聯集與目標圖案不同而可有效用來減少射擊數。所形成的圖案可實質不同於可用射擊之簡單聯集形成的圖案。此外,可形成曲線形狀,甚至用與笛卡兒平面之軸線平行的射擊。可預先計算射擊群130以及使其可以圖元的形式供用於與接觸圖案118匹配的所有接觸。
相較於習知方法,第9A圖至第9B圖更圖示在本揭示內容之技術時如何減少射擊數。第9A圖圖示習知VSB射擊如何可用來形成轉45度矩形之圖案(例如,積體電路設計之互連線)的實施例150。矩形及三角形VSB射擊都可用於實施例150。VSB射擊的幾何聯集剛好覆蓋目標圖案區。所有射擊可使用正常劑量。形成此圖案需要18個射擊,包含5個矩形射擊與13個三角形射擊。圖示於第9B圖的實施例152係根據本揭示內容用一組非重疊射擊如何形成與第9A圖一樣的45度矩形圖案。由第9B圖可見,射擊的聯集偏離目標圖案區是因為有些射擊延伸超出想要圖案的邊界,並非所有的想要圖案被完全覆蓋。可使用除正常劑量以外的射擊劑量。粒子束模擬可用來計算由該組射擊形成的圖案,這允許校驗將形成的圖案在想要容限內與目標圖案等價。此實施例152使用13個非重疊射擊來形成此圖案。此外,應注意,第9B圖的技術可用於所有有角度的圖案,例如轉30度的矩形。反之,第9A圖的習知方法在邊緣有非45度之倍數的角度時不太好用,因為大部份VSB帶電粒子束系統的三角形穿孔為45度直角三角形。
第10A圖至第10C圖係圖示用VSB射擊如何形成更複雜圖案的實施例,在此VSB射擊的聯集不等於目標圖案。在第10A圖,圖案180係由兩個方形182與184組成,例如,方形182與184可由用於光學微影製程的電腦輔助設計軟體系統產生。第10B圖圖示可由圖案180之OPC處理產生的對應圖案186。此實施例顯示兩個相同形狀182、184的OPC處理可產生數組稍微不同的形狀。形成圖案186於標線片上需要大量的習知VSB射擊。第10C圖圖示一組非重疊可變劑量VSB射擊196,其係根據本揭示內容可產生曲線圖案186於標線片上。該組射擊196的聯集並沒有儘可能地與目標圖案匹配。在第10C圖,沒有觀察到最小射擊大小或最大射擊長寬比的限制。如第10C圖所示,該組射擊196包含許多被稱作串島的狹窄射擊。不像重疊的射擊,在非重疊射擊用來產生曲線圖案時,有些串島可能無法避免。
第11A圖為用於利用光學微影術製備用於製造基板(例如,積體電路)於矽晶圓上之表面的本揭示內容之一具體實施例的概念流程圖250。在第一步驟252,設計一物理設計,例如積體電路的物理設計。這可包含決定邏輯閘、電晶體、金屬層、以及需要出現於物理設計(例如,積體電路)的其他物品。接下來,在步驟254,決定光學近接修正。在本揭示內容之一具體實施例中,這可包含拿一個經預先計算之圖元或參數化圖元的資料庫當輸入,這有助於減少用於執行OPC的計算時間。在本揭示內容之一具體實施例中,OPC步驟254也可包含射擊數或寫入時間的同時優化,以及也可包含分裂操作、允許重疊射擊的射擊定位操作、允許除正常劑量以外的劑量分配操作,或者也可包含射擊順序優化操作、或其他遮罩資料準備操作。OPC步驟254也可使用粒子束模擬。一旦在光學近接修正完成後,即可在步驟256顯影遮罩設計。然後,在步驟258,可進行遮罩資料準備操作,這可包含分裂操作、射擊定位操作、劑量分配操作、或射擊順序優化。OPC步驟254或MDP步驟258之中的步驟,或者是與這兩個254或258無關的分離程式可包含用於決定大量圖元或參數化圖元(可將它射擊於表面上以寫入所有或大部份的必要圖案於標線片上)的程式。本揭示內容考慮到結合OPC與遮罩資料準備中之任一或所有不同的操作成一個步驟。遮罩資料準備(MDP)步驟258可包含分裂操作,其係允許非正常劑量分配以及射擊的生成聯集可不同於標線片的目標圖案集,以及也可包含粒子束模擬。MDP步驟258也可包含基於圖元決定集合之組合來計算表面上之圖案的操作,以及該組圖元以便產生與遮罩設計密切匹配的計算表面圖案。遮罩資料準備也可包含輸入待形成於表面上的圖案,其中有些圖案稍微不同,以及利用粒子束曝光模擬算出射擊劑量的差異或射擊重疊的差異以減少射擊數或總寫入時間。可設計一組在表面上稍微不同的圖案以產生實質相同的圖案於基板上。一旦在遮罩資料準備完成後,在遮罩繪圖機(例如,電子束曝光系統)中產生該表面。此一特殊步驟為步驟262。電子束曝光系統投射一束電子穿過模板遮罩的穿孔至表面上以形成圖案於該表面上,如步驟264所示。然後,完成的表面可用於圖示於步驟266的光學微影機。最後,在步驟268,製成基板,例如矽晶圓。在步驟276,圖元產生步驟274提供資訊給一組圖元或參數化圖元。如上述,該圖元產生步驟274可使用粒子束模擬。此外,如上述,圖元或參數化圖元步驟276提供資訊給OPC步驟254或MDP步驟258。
第11B圖為天詳細描述如何製備用於製造基板(例如,矽晶圓上的積體電路)之表面的流程圖280,其中OPC及MDP操作係經有利地組合成單一步驟。在第一步驟282,得到物理設計,例如積體電路的物理設計。該物理設計可為直接由習知CAD物理設計軟體得到的積體電路設計,或可藉由執行,例如,一或多個設計層的布林操作、調整大小、加偏壓、或重新定位來產生積體電路設計。接下來,在步驟284,在稱作遮罩資料修正(MDC)的單一步驟中執行OPC及MDP操作。供應與帶電粒子束曝光系統及遮罩製程之特性有關的資訊296給MDC步驟。資訊296可包含,例如,向前散射、向後散射、阻劑擴散、庫倫效應、阻劑充電、霧化、最大射擊大小、最大射擊長寬比、以及射擊幾何描述。資訊296也可包含可能VSB射擊的資料庫。在另一具體實施例中,也可供應經預先計算之圖元297的資料庫給MDC步驟。也供應執行OPC所需要的資訊298給MDC步驟284。在執行光學近接效應修正298時,MDC步驟284使用與帶電粒子束系統及製程有關的可利用資訊296。MDC步驟284優化VSB射擊的產生集以便實現想要晶圓圖像294。該想要晶圓圖像(即,MDC步驟的目標)可為物理設計282或可由物理設計282衍生。該優化可包含選擇VSB射擊、射擊位置及劑量。選擇VSB射擊、射擊位置及劑量可基於帶電粒子束系統的資訊296、VSB射擊的資料庫、圖元的資料庫、彼等之組合。分裂資料(fractured data)的優化可包含遮罩圖像的模擬、晶圓圖像基於模擬遮罩圖像的模擬、模擬晶圓圖像與目標晶圓圖像的比較。比較的結果可用來作為優化準則。其他優化準則也可包含:VSB射擊數、VSB射擊的最小尺寸(亦即,串島)、在相同的環境下產生相同的VSB射擊集供用於相同的目標晶圓圖像、以及產生對稱的VSB射擊集供用於寫上物理設計282的對稱圖案。接下來,用MDC步驟284產生的備妥遮罩布局286使用於遮罩曝光系統288以產生圖案於表面290上。然後,完成的表面可用於光學微影機(圖示於步驟292)。最後,在步驟294,製成晶圓上的圖像。
此時,請參考第12圖,其係圖示如何利用光學微影術來製備用於製造基板(例如,矽晶圓上的積體電路)之表面的另一概念流程圖300,其中基於等價準則來比較由遮罩資料準備輸出產生的遮罩設計與OPC後遮罩設計。在第一步驟302,設計一物理設計,例如積體電路的物理設計。它可為設計者想要轉印至基板上的理想圖案。接下來,在步驟304,決定在步驟302產生之理想圖案的光學近接修正。這可包含選擇需要製備的圖元。光學近接修正也可包含輸入可能的圖元、經決定的圖元利用粒子束曝光模擬來算出改變的射擊劑量或改變的射擊重疊。此外,光學近接修正可包含由可能的圖元選出圖元,基於選定圖元來計算轉印於基板上的圖案,以及如果計算圖案與想要的修正圖案因大於預定閥值而不同時選擇另一圖元。一旦光學近接修正完成後,在步驟304顯影遮罩設計。然後,在步驟306製備遮罩設計。一旦遮罩設計製備好,在遮罩資料準備步驟308進一步增強遮罩設計。遮罩資料準備也可包含有以下功能的操作:基於圖元之選定集的組合來計算表面上的圖案,以及修改該組圖元以便產生與遮罩設計密切匹配的計算表面圖案。也可執行圖案匹配、劑量分配及等價檢查的迭代,在此可能只執行一次藉構造修正“確定性”計算的迭代。這些步驟有助於製備增強的等價遮罩設計。
在增強遮罩後,即可在步驟310產生等價遮罩設計,例如一組VSB射擊。測試用來判定等價遮罩設計是否真的與遮罩設計等價有兩個動機。動機之一是通過遮罩檢驗。另一個動機是確認晶片或積體電路在製成後運作正常。可用一組等價準則決定圖案匹配操作宣示匹配的貼近度。等價準則至少可部份用微影等價(litho-equivalence)闡明。微影等價的決定可用一組預定幾何規則;宣示匹配、部份匹配或不匹配的一組數學方程式;或運行遮罩設計的微影模擬與等價遮罩設計的微影模擬以及用一組預定幾何規則或宣示匹配、部份匹配或不匹配的一組數學方程式比較這兩個結果。MDP步驟308可利用圖元或參數化圖元的預定集來優化射擊數或寫入時間同時保證所得等價遮罩設計310對該等價準則而言是可接受的。在另一具體實施例中,可組合OPC與MDP於藉構造修正方法中,在這種情形下,也許沒有與等價遮罩設計310分開地產生的遮罩設計306。
在決定等價遮罩設計要修正後,在帶電粒子束曝光系統(例如,電子束曝光系統)製備表面。此一步驟為步驟314的遮罩繪圖機。電子束曝光系統投射一束電子穿過模板遮罩的穿孔至表面上以形成圖案於該表面上。在步驟316完成該表面,遮罩圖像。然後,完成的表面可用於圖示於步驟318的光學微影機以轉印出現於該表面上的圖案至基板(例如,矽晶圓)以製造積體電路。最後,在步驟320製成基板(例如,半導體晶圓)。在步驟328,圖元產生步驟326提供資訊給一組圖元或參數化圖元。如上述,圖元產生步驟326可使用粒子束模擬。此外,如上述,圖元或參數化圖元步驟328提供資訊給OPC步驟304或者是MDP步驟308。
請再參考第11A圖,如上述,在一具體實施例中,OPC步驟254可包含MDP步驟258的各種功能。光學近接修正系統可由經預先計算之圖元的大資料庫開始。然後,在執行積體電路之原始物理設計至標線片設計的光學近接修正轉換時,該光學近接修正系統企圖儘可能使用可利用的圖元。每個圖元可用相關射擊數及寫入時間優化值(或數個)標示,以及光學近接修正系統、遮罩資料準備系統、或若干獨立程式可藉由選擇較低的射擊數或寫入時間來優化射擊數或寫入時間。執行此一優化可用貪婪的方式:按照可選擇與圖案匹配之圖元的一定順序,選擇每個圖元以優化成最佳圖元來選擇射擊數或寫入時間,或用迭代優化方式,例如以互換圖元選擇來優化全部射擊數或寫入時間的模擬退火。可能有些想要圖案用可利用的圖元集無法形成於標線片上,以及需要用不屬於任一預先計算圖元之一部份的個別VSB射擊來形成該等圖案。
請參考第15圖,其係圖示如何製備直接寫於基板(例如,矽晶圓)上之表面的另一概念流程圖700。在第一步驟702,決定一物理設計,例如積體電路的物理設計。這可為設計者想要轉印至基板上的理想圖案。接下來,在步驟704,執行近接效應修正(PEC)以及可準備給寫基板裝置之輸入資料的其他資料準備(DP)步驟,在此物理設計的結果包含多個稍微不同的圖案。步驟704也可包含輸入來自步驟724的可能圖元或參數化圖元,該等圖元係基於VSB射擊,以及利用在圖元產生步驟722改變射擊劑量或改變射擊位置的計算來決定該等圖元。步驟704也可包含有以下功能的操作:基於圖元之選定集的組合來計算表面上的圖案,以及修改該組圖元以便產生與在步驟702產生之物理設計密切匹配的計算晶圓圖案。也可執行圖案匹配、劑量分配及等價檢查的迭代,在此可能只執行一次藉構造修正“確定性”計算的迭代。步驟704的結果為一組晶圓繪圖指令706。然後,晶圓繪圖指令706用來製備在晶圓繪圖機(wafer writer machine,例如,電子束曝光系統)之中的晶圓。此一步驟為步驟710。該電子束曝光系統投射一束電子穿過可調整的穿孔至表面上以形成圖案於表面。在步驟712完成該表面。圖元產生步驟722提供資訊給步驟724的一組圖元或參數化圖元。圖元或參數化圖元步驟724提供資訊給PEC及資料準備步驟704。步驟710可包含在需要時重覆實施每一層的處理,有些可能用在說明第11A圖及第12圖時提及的方法處理,以及其他是用在說明第15圖時提及的方法處理,或其他用任何其他寫晶圓方法產生以在矽晶圓上產生積體電路。
圖元製作是用於計算射擊或射擊群的劑量對應圖以及儲存射擊資訊與經計算之劑量對應圖供未來使用的處理。計算劑量對應圖是塗阻劑表面可由包含該圖元之射擊收到的劑量。第13A圖及第13B圖圖示光學近接修正、分裂、近接效應修正、或遮罩資料準備之任何其他步驟可使用的圖元實施例。第13A圖圖示一矩形VSB射擊的二維劑量對應圖600實施例。也圖示射擊的標稱輪廓線602。在此實施例,VSB射擊的標稱劑量為1.0乘上正常劑量。圖示於每個網格的數值為表面會在該表面上之X,Y樣本點接收的正常劑量之計算分數。可見,在VSB射擊的標稱邊界外會記錄顯著的能量。如果將此圖元射擊至阻劑閥值為0.6的塗阻劑表面上,以及沒有顯著貢獻劑量的其他射擊,則阻劑會登記與矩形射擊輪廓線602相似的圖案。第13B圖圖示單一方形VSB射擊的圖元劑量對應圖620實施例,其中也圖示標稱射擊輪廓線622。在此實施例,射擊的標稱劑量為0.6乘上正常劑量。如果將此圖元射擊至阻劑閥值為0.5的塗阻劑表面,以及沒有顯著貢獻劑量的其他射擊,則阻劑會登記每邊都稍微小於射擊輪廓線的圖案,以及該圖案的角落都為圓形。劑量網格600與620係僅供圖解說明,而且比實際粗糙。在表面的尺度下,網格大小在1奈米、40奈米之間適合用來計算用於現代半導體製程的圖元劑量。另外,在圖元製作的一具體實施例中,可不將劑量儲存成如600及620所示的二維劑量對應圖,而可儲存成用於產生劑量值之二維集的一組指令。
第14A圖及第14B圖圖示一參數化圖元實施例。圖示於第14A圖的劑量對應圖802是用於矩形射擊804,在此實施例寬度為812或8個網格單元。兩條垂直線806、808定義劑量對應圖之區域,此實施例寬度為810或4個網格單元。在劑量對應圖802的區域810內,每一橫列的所有網格方形都有相同的劑量值。第14B圖圖示矩形射擊824的劑量對應圖820,在此實施例寬度為832或12個網格單元。劑量對應圖820與劑量對應圖802類似,除了在垂直線826、828之間的以外,其係包含網格方形的劑量值,在線806、808之間,劑量對應圖820比劑量對應圖802多4條網格直行。在此實施例,劑量對應圖820中此一“可拉伸”部份的寬度為830或8個網格單元。藉由辨識在可拉伸維度(例如,在第14A圖中之線806、808之間或在第14B圖中之線826、828之間的區域)有相同劑量的可拉伸或可參數化區域,可產生高度與射擊804、824相同之矩形射擊的劑量對應圖供任何寬度大於812的射擊用。帶電粒子束系統的限制可進一步限制矩形射擊的大小,因此,此方法可用來產生劑量對應圖。在其他具體實施例中,劑量對應圖中重覆的劑量圖案可能允許產生單一射擊或只有不連續長度之射擊群的劑量對應圖,而不是連續長度(例如,第14A圖及第14B圖的實施例)。此實施例圖示如何產生用於參數化圖元的劑量對應圖。在其他具體實施例中,可參數化其他的尺度,例如高度或直徑。
儘管本專利說明書已用特定的具體實施例來詳細說明,應瞭解,熟諳此藝者在了解上述內容後,明白該等具體實施例仍可想出修改、變體及等價物。對於用於利用可變定形束微影術設計及製造標線片的本發明系統及方法,本技藝一般技術人員可實行其修改及變體而不脫離特別在申請專利範圍提出的本發明精神與範疇。此外,本技藝一般技術人員明白上述內容均僅供圖解說明,並非想要限定本發明。因此,希望本專利標的涵蓋落在隨附申請專利範圍及其等價陳述內的修改及變體。
10...電子束曝光系統
12...表面
14...電子束源
16...電子束
18...穿孔板
20...穿孔
22...電子束
24...矩形穿孔板或模板遮罩
26...穿孔
28...圖案
30...電子束
32...活動平台
34...光學微影裝置或機器
36...照明光源
37...遮罩
38...透鏡
39...基板
40...理想圖案
42...圖案
44...圖案
50...圖案
52...襯線元件
54...圖案
56...輸出圖案
60...示範多邊形圖案
62...圖案
64...圖案
66...圖案
118...理想圖案
120...曲線圖案
122...一組
124...射擊群
130...五個射擊群
132,134,136,138,140...射擊
142...形狀
150...實施例
152...實施例
180...圖案
182,184...方形
186...對應圖案
196...一組非重疊可變劑量VSB射擊
250...概念流程圖
252...物理設計
254...OPC
256...遮罩設計
258...MDP
262...遮罩繪圖機
264...遮罩圖像
266...光學微影機
268...晶圓圖像
274...圖元產生
276...圖元或參數化圖元
280...流程圖
282...物理設計
284...遮罩資料修正
286...備妥的遮罩布局
288...遮罩繪圖機
290...遮罩圖像
292...光學微影機
294...晶圓圖像
296...帶電粒子束曝光系統及製程資訊
297...圖元資料庫
298...OPC資訊
300...概念流程圖
302...物理設計
304...OPC
306...遮罩設計
308...MDP
310...等價遮罩設計
314...遮罩繪圖機
316...遮罩圖像
318...光學微影機
320...晶圓圖像
326...圖元產生
328...圖元或參數化圖元
500,502...VSB射擊圖案
503...直線
504...劑量
506...劑量
508...阻劑閥值
510...組合劑量曲線
512...單一組合圖案
600...二維劑量對應圖
602...射擊的標稱輪廓線
620...圖元劑量對應圖
622...標稱射擊輪廓線
700...概念流程圖
702...物理設計
704...PEC與資料準備
706...晶圓繪圖指令
710...晶圓繪圖機
712...晶圓圖像
722...圖元產生
724...圖元或參數化圖元
802...劑量對應圖
804...矩形射擊
806,808...垂直線
810...寬度
812...寬度
820...劑量對應圖
824...矩形射擊
826,828...垂直線
830...寬度
832...寬度
900...流程
902...想要圖案
904...蝕刻修正
906...蝕刻模型
908...想要阻劑圖案
910...選擇圖元,計算組合圖元劑量對應圖
912...長程曝光效應
914...初始射擊清單
916...粒子束模擬及射擊修正
917...在容限內匹配?
918...經校驗的射擊清單
920...VSB射擊組合
922...粒子束模擬
924...短程曝光效應
926...圖元資料庫
第1圖圖示用於製造表面的可變定形束帶電粒子束曝光系統;
第2圖圖示一光學微影系統;
第3A圖圖示待置於基板上的圖案之設計;
第3B圖圖示由第3A圖之設計形成於標線片中的圖案;
第3C圖圖示利用第3B圖之標線片形成於基板之光阻劑中的圖案;
第4A圖圖示第3A圖之圖案的光學近接修正版;
第4B圖圖示第4A圖圖案在形成於標線片後的的光學近接修正版;
第4C圖圖示利用第4B圖標線片形成於矽晶圓之光阻劑中的圖案;
第5A圖圖示待形成於基板上的圖案之設計;
第5B圖圖示用正常劑量在表面上形成的第5A圖圖案;
第5C圖圖示用低於正常劑量在表面上形成的第5A圖圖案;
第5D圖圖示用高於正常劑量在表面上形成的第5A圖圖案;
第6A圖圖示兩個彼此毗鄰的VSB射擊;
第6B圖圖示沿著穿過第6A圖中形狀之直線繪出的劑量圖;
第6C圖圖示由第6A圖之射擊在表面上得到的圖案;
第7圖圖示VSB射擊分裂概念流程圖之一具體實施例;
第8A圖圖示方形圖案的設計;
第8B圖圖示OPC之後的第8A圖圖案;
第8C圖圖示分裂成習知非重疊矩形的第8B圖圖案;
第8D圖圖示分裂成無串島(sliver)之習知非重疊矩形的第8B圖圖案;
第8E圖根據本揭示內容圖示第8B圖圖案分裂成非重疊矩形的範例;
第9A圖圖示可形成轉45度矩形圖案的一組習知非重疊VSB射擊;
第9B圖圖示利用本揭示內容之一示範方法可形成轉45度矩形圖案的一組非重疊VSB射擊;
第10A圖圖示待形成於表面上的一圖案;
第10B圖的曲線圖案為OPC處理第10A圖圖案的結果;
第10C圖圖示用本揭示內容之一示範方法可在表面上形成第10B圖曲線圖案的一組非重疊VSB射擊;
第11A圖圖示如何製備用於製造基板(例如,矽晶圓上的積體電路)之表面的概念流程圖之一具體實施例;
第11B圖圖示如何製備用於製造基板(例如,矽晶圓上的積體電路)之表面的概念流程圖之另一具體實施例;
第12圖圖示如何製備用於製造基板(例如,矽晶圓上的積體電路)之表面的概念流程圖之又一具體實施例;
第13A圖圖示源於矩形VSB射擊的圖元劑量對應圖(glyph dosage map);
第13B圖圖示源於方形VSB射擊的圖元劑量對應圖;
第14A圖圖示一參數化圖元劑量對應圖;
第14B圖圖示用於第14A圖參數化圖元的另一劑量對應圖;以及
第15圖圖示如何製備用於製造基板(例如,矽晶圓上的積體電路)之表面的概念流程圖之另一具體實施例。
10...電子束曝光系統
12...表面
14...電子束源
16...電子束
18...穿孔板
20...穿孔
22...電子束
24...矩形穿孔板或模板遮罩
26...穿孔
28...圖案
30...電子束
32...活動平台

Claims (25)

  1. 一種用於分裂或遮罩資料準備或近接效應修正的方法,其係包含下列步驟:輸入待形成於一標線片上的一想要圖案;以及決定多個非重疊之可變定形束(VSB)射擊,其中該想要圖案係不同於該等多個VSB射擊之任一子集的聯集,該子集中之每個射擊係經加大尺寸或減少尺寸或為原始決定的尺寸。
  2. 如申請專利範圍第1項之方法,其更包含:由該等多個VSB射擊計算出在該標線片上的一計算圖案;以及如果該計算圖案與該想要圖案的差異超出一預定容限,修改該等多個VSB射擊及重新計算該計算圖案。
  3. 如申請專利範圍第2項之方法,其中該計算步驟包含帶電粒子束模擬。
  4. 如申請專利範圍第3項之方法,其中該帶電粒子束模擬包含下列各項組成之群中之至少一項:向前散射、向後散射、阻劑擴散、庫倫效應、蝕刻、霧化、負載、以及阻劑充電。
  5. 如申請專利範圍第1項之方法,其中該想要圖案為曲線(curvilinear)。
  6. 如申請專利範圍第1項之方法,其中每個VSB射擊包含一劑量,以及其中該等VSB射擊的劑量被允許相對於彼此而改變。
  7. 如申請專利範圍第2項之方法,其中該決定步驟與該修改步驟中之至少一者包含:利用一優化技術來決定該等多個VSB射擊。
  8. 如申請專利範圍第7項之方法,其中該等多個VSB射擊在數目上被最小化。
  9. 如申請專利範圍第7項之方法,其中該等多個VSB射擊中長寬比大於一預定最大值者在數目上被最小化。
  10. 如申請專利範圍第1項之方法,其更包含:輸入一圖元集,以及其中在該決定步驟中,該等多個VSB射擊包含一圖元。
  11. 一種用於利用帶電粒子束微影術製造一標線片的方法,該方法包含下列步驟:輸入待形成於該標線片上的一想要圖案;決定多個非重疊之可變定形束(VSB)射擊,其中該想要圖案係不同於該等多個VSB射擊之任一子集的聯集,該子集中之每個射擊係經加大尺寸或減少尺寸或為原始決定的尺寸;以及用該等多個VSB射擊形成該圖案於該標線片上。
  12. 如申請專利範圍第11項之方法,其更包含:由該等多個VSB射擊計算出在該標線片上的一計算圖案;以及如果該計算圖案與該想要圖案的差異超出一預定容限,修改該等多個VSB射擊及重新計算該計算圖案。
  13. 如申請專利範圍第12項之方法,其中該計算步驟包含帶 電粒子束模擬。
  14. 如申請專利範圍第13項之方法,其中該帶電粒子束模擬包含下列各項組成之群中之至少一項:向前散射、向後散射、阻劑擴散、庫倫效應、蝕刻、霧化、負載、以及阻劑充電。
  15. 如申請專利範圍第11項之方法,其中該想要圖案為曲線。
  16. 如申請專利範圍第11項之方法,其中每個VSB射擊包含一劑量,以及其中該等VSB射擊的劑量被允許相對於彼此而改變。
  17. 如申請專利範圍第12項之方法,其中該決定步驟與該修改步驟中之至少一者包含:利用一優化技術來決定該等多個VSB射擊。
  18. 如申請專利範圍第17項之方法,其中該等多個VSB射擊在數目上被最小化。
  19. 如申請專利範圍第11項之方法,其中在該想要圖案呈對稱時,該等多個VSB射擊被迫使呈對稱。
  20. 如申請專利範圍第11項之方法,其中該決定步驟係利用藉構造修正的確定性技術。
  21. 一種用於一設計之光學近接修正(OPC)的方法,該設計包含待形成於一表面上的一圖案,該表面是要用於一光學微影製程以轉印該圖案至一基板,該方法包含下列步驟:輸入用於該基板的一想要圖案;以及決定多個非重疊之可變定形束(VSB)射擊,其中用於該基板的該想要圖案之一OPC修正版係不同於該等 多個VSB射擊之任一子集的聯集,該子集中之每個射擊係經加大尺寸或減少尺寸或為原始決定的尺寸。
  22. 如申請專利範圍第21項之方法,其更包含:由該等多個VSB射擊計算出在該表面上的一計算圖案;以及如果該計算圖案與用於該基板的該想要圖案之該OPC修正版的差異超出一預定容限,修改該等多個VSB射擊及重新計算該計算圖案。
  23. 如申請專利範圍第21項之方法,其中每個VSB射擊包含一劑量,以及其中該等VSB射擊的劑量被允許相對於彼此而改變。
  24. 一種用於分裂或遮罩資料準備或近接效應修正的系統,其係包含:一輸入裝置,其係能夠接收待形成於一標線片上的一想要圖案;以及一計算裝置,其係能夠決定多個非重疊之可變定形束(VSB)射擊,其中該想要圖案係不同於該等多個VSB射擊之任一子集的聯集,該子集中之每個射擊係經加大尺寸或減少尺寸或為原始決定的尺寸。
  25. 如申請專利範圍第24項之系統,其中每個VSB射擊包含一劑量,以及其中該等VSB射擊的劑量被允許相對於彼此而改變。
TW98128358A 2008-09-01 2009-08-24 用於利用可變定形束微影術設計及製造標線片之方法 TWI467402B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US12/202,364 US7759026B2 (en) 2008-09-01 2008-09-01 Method and system for manufacturing a reticle using character projection particle beam lithography
US12/473,241 US7754401B2 (en) 2008-09-01 2009-05-27 Method for manufacturing a surface and integrated circuit using variable shaped beam lithography
US22520709P 2009-07-13 2009-07-13
US12/540,323 US7799489B2 (en) 2008-09-01 2009-08-12 Method for design and manufacture of a reticle using variable shaped beam lithography

Publications (2)

Publication Number Publication Date
TW201017454A TW201017454A (en) 2010-05-01
TWI467402B true TWI467402B (zh) 2015-01-01

Family

ID=41722227

Family Applications (1)

Application Number Title Priority Date Filing Date
TW98128358A TWI467402B (zh) 2008-09-01 2009-08-24 用於利用可變定形束微影術設計及製造標線片之方法

Country Status (6)

Country Link
US (1) US7799489B2 (zh)
EP (1) EP2321839A2 (zh)
JP (1) JP5797556B2 (zh)
KR (1) KR101671322B1 (zh)
TW (1) TWI467402B (zh)
WO (1) WO2010025060A2 (zh)

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8057970B2 (en) 2008-09-01 2011-11-15 D2S, Inc. Method and system for forming circular patterns on a surface
US8473875B2 (en) 2010-10-13 2013-06-25 D2S, Inc. Method and system for forming high accuracy patterns using charged particle beam lithography
US9341936B2 (en) 2008-09-01 2016-05-17 D2S, Inc. Method and system for forming a pattern on a reticle using charged particle beam lithography
US7901850B2 (en) 2008-09-01 2011-03-08 D2S, Inc. Method and system for design of a reticle to be manufactured using variable shaped beam lithography
US20120221985A1 (en) * 2011-02-28 2012-08-30 D2S, Inc. Method and system for design of a surface to be manufactured using charged particle beam lithography
US20130070222A1 (en) * 2011-09-19 2013-03-21 D2S, Inc. Method and System for Optimization of an Image on a Substrate to be Manufactured Using Optical Lithography
US9323140B2 (en) 2008-09-01 2016-04-26 D2S, Inc. Method and system for forming a pattern on a reticle using charged particle beam lithography
US20120219886A1 (en) 2011-02-28 2012-08-30 D2S, Inc. Method and system for forming patterns using charged particle beam lithography with variable pattern dosage
US9448473B2 (en) 2009-08-26 2016-09-20 D2S, Inc. Method for fracturing and forming a pattern using shaped beam charged particle beam lithography
US8703389B2 (en) 2011-06-25 2014-04-22 D2S, Inc. Method and system for forming patterns with charged particle beam lithography
EP2681760A4 (en) * 2011-02-28 2016-12-07 D2S Inc METHOD AND SYSTEM FOR PRODUCING PATTERNS USING A CARRIER BEAM LITHOGRAPHY
US9612530B2 (en) 2011-02-28 2017-04-04 D2S, Inc. Method and system for design of enhanced edge slope patterns for charged particle beam lithography
US9057956B2 (en) 2011-02-28 2015-06-16 D2S, Inc. Method and system for design of enhanced edge slope patterns for charged particle beam lithography
US8524427B2 (en) * 2011-04-14 2013-09-03 Taiwan Semiconductor Manufacturing Company, Ltd. Electron beam lithography system and method for improving throughput
JP5810642B2 (ja) * 2011-06-06 2015-11-11 大日本印刷株式会社 マスクデータ生成方法及びそれを用いたマスクの製造方法
US9034542B2 (en) 2011-06-25 2015-05-19 D2S, Inc. Method and system for forming patterns with charged particle beam lithography
KR20130007127A (ko) 2011-06-29 2013-01-18 삼성전자주식회사 반도체 발광 소자 패키지
US8719739B2 (en) 2011-09-19 2014-05-06 D2S, Inc. Method and system for forming patterns using charged particle beam lithography
JP6039910B2 (ja) * 2012-03-15 2016-12-07 キヤノン株式会社 生成方法、プログラム及び情報処理装置
US9343267B2 (en) 2012-04-18 2016-05-17 D2S, Inc. Method and system for dimensional uniformity using charged particle beam lithography
US20140129997A1 (en) * 2012-11-08 2014-05-08 D2S, Inc. Method and system for dimensional uniformity using charged particle beam lithography
JP6189933B2 (ja) 2012-04-18 2017-08-30 ディー・ツー・エス・インコーポレイテッドD2S, Inc. 荷電粒子ビームリソグラフィを用いる限界寸法均一性のための方法およびシステム
US8835082B2 (en) 2012-07-31 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for E-beam lithography with multi-exposure
US8812999B2 (en) 2013-01-02 2014-08-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system of mask data preparation for curvilinear mask patterns for a device
US8984451B2 (en) * 2013-02-22 2015-03-17 Aselta Nanographics Free form fracturing method for electronic or optical lithography
US8865377B2 (en) 2013-03-13 2014-10-21 D2S, Inc. Method and system for forming a diagonal pattern using charged particle beam lithography
US8949750B2 (en) 2013-03-13 2015-02-03 D2S, Inc. Method and system for forming a diagonal pattern using charged particle beam lithography
US9081289B2 (en) * 2013-03-15 2015-07-14 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for optimization of an imaged pattern of a semiconductor device
EP3037878B1 (en) * 2014-12-23 2020-09-09 Aselta Nanographics Method of applying vertex based corrections to a semiconductor design
CN104794262B (zh) * 2015-03-30 2017-10-31 西安电子科技大学 一种基于机电集成的星载网状天线赋形波束设计方法
KR102395198B1 (ko) 2015-09-22 2022-05-06 삼성전자주식회사 마스크 패턴의 보정 방법 및 이를 이용하는 레티클의 제조 방법

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200700932A (en) * 2005-06-24 2007-01-01 Taiwan Semiconductor Mfg Co Ltd Lithography process with an enhanced depth-of-depth
US20070280526A1 (en) * 2006-05-30 2007-12-06 Irfan Malik Determining Information about Defects or Binning Defects Detected on a Wafer after an Immersion Lithography Process is Performed on the Wafer

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4712013A (en) 1984-09-29 1987-12-08 Kabushiki Kaisha Toshiba Method of forming a fine pattern with a charged particle beam
JP2680074B2 (ja) 1988-10-24 1997-11-19 富士通株式会社 荷電粒子ビーム露光を用いた半導体装置の製造方法
JPH04155337A (ja) 1990-10-18 1992-05-28 Nec Corp フォトマスクの製造方法
JPH0536595A (ja) * 1991-08-02 1993-02-12 Fujitsu Ltd 電子線露光方法
JP3454983B2 (ja) 1995-08-25 2003-10-06 株式会社東芝 荷電ビーム描画方法
KR0165524B1 (ko) 1996-07-16 1999-03-20 김광호 포토리소그래피 공정의 노광방법
JP3085454B2 (ja) 1997-03-13 2000-09-11 日本電気株式会社 荷電粒子線露光方法
JPH10289861A (ja) 1997-04-16 1998-10-27 Nikon Corp マスクパターン形成方法
US6610989B1 (en) 1999-05-31 2003-08-26 Fujitsu Limited Proximity effect correction method for charged particle beam exposure
KR100327343B1 (ko) 2000-01-12 2002-03-06 윤종용 전자빔 리소그래피시 재산란된 전자빔에 의한 선폭변화를보정하는 방법 및 이를 기록한 기록매체
JP2002075830A (ja) 2000-08-29 2002-03-15 Nikon Corp 荷電粒子線露光方法、レチクル及びデバイス製造方法
JP3807909B2 (ja) 2000-09-01 2006-08-09 富士通株式会社 荷電粒子ビーム露光方法及び荷電粒子ビーム露光装置
EP1249734B1 (en) 2001-04-11 2012-04-18 Fujitsu Semiconductor Limited Rectangle/lattice data conversion method for charged particle beam exposure mask pattern and charged particle beam exposure method
US6982135B2 (en) 2003-03-28 2006-01-03 Taiwan Semiconductor Manufacturing Co., Ltd. Pattern compensation for stitching
US7536664B2 (en) * 2004-08-12 2009-05-19 International Business Machines Corporation Physical design system and method
JP4398342B2 (ja) * 2004-10-06 2010-01-13 株式会社東芝 荷電ビーム描画装置及び描画方法
JP2006294794A (ja) * 2005-04-08 2006-10-26 Toshiba Corp 電子ビーム露光装置および電子ビーム露光方法
JP4476975B2 (ja) 2005-10-25 2010-06-09 株式会社ニューフレアテクノロジー 荷電粒子ビーム照射量演算方法、荷電粒子ビーム描画方法、プログラム及び荷電粒子ビーム描画装置
JP5063071B2 (ja) * 2006-02-14 2012-10-31 株式会社ニューフレアテクノロジー パタン作成方法及び荷電粒子ビーム描画装置
JP4773224B2 (ja) 2006-02-14 2011-09-14 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画装置、荷電粒子ビーム描画方法及びプログラム
JP4814651B2 (ja) 2006-02-22 2011-11-16 富士通セミコンダクター株式会社 荷電粒子ビーム露光方法及びそれに用いられるプログラム
JP4843425B2 (ja) 2006-09-06 2011-12-21 エルピーダメモリ株式会社 可変成形型電子ビーム描画装置
US7897522B2 (en) 2006-11-21 2011-03-01 Cadence Design Systems, Inc. Method and system for improving particle beam lithography
US7953582B2 (en) 2006-11-21 2011-05-31 Cadence Design Systems, Inc. Method and system for lithography simulation and measurement of critical dimensions
US7902528B2 (en) 2006-11-21 2011-03-08 Cadence Design Systems, Inc. Method and system for proximity effect and dose correction for a particle beam writing device
US7579606B2 (en) 2006-12-01 2009-08-25 D2S, Inc. Method and system for logic design for cell projection particle beam lithography

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200700932A (en) * 2005-06-24 2007-01-01 Taiwan Semiconductor Mfg Co Ltd Lithography process with an enhanced depth-of-depth
US20070280526A1 (en) * 2006-05-30 2007-12-06 Irfan Malik Determining Information about Defects or Binning Defects Detected on a Wafer after an Immersion Lithography Process is Performed on the Wafer

Also Published As

Publication number Publication date
US20100055581A1 (en) 2010-03-04
TW201017454A (en) 2010-05-01
EP2321839A2 (en) 2011-05-18
JP5797556B2 (ja) 2015-10-21
KR20110063806A (ko) 2011-06-14
WO2010025060A3 (en) 2010-04-29
JP2012501475A (ja) 2012-01-19
KR101671322B1 (ko) 2016-11-01
US7799489B2 (en) 2010-09-21
WO2010025060A2 (en) 2010-03-04

Similar Documents

Publication Publication Date Title
TWI467402B (zh) 用於利用可變定形束微影術設計及製造標線片之方法
JP5970052B2 (ja) 可変整形ビームリソグラフィを用いたレチクルの光近接効果補正、設計、および製造のための方法
TWI605302B (zh) 使用帶電粒子束微影術之用於臨界尺寸一致性之方法
US9274412B2 (en) Method and system for design of a reticle to be manufactured using variable shaped beam lithography
JP5676449B2 (ja) 光近接効果補正、設計およびキャラクタプロジェクションリソグラフィを用いたレチクルの製造のための方法
US7981575B2 (en) Method for optical proximity correction of a reticle to be manufactured using variable shaped beam lithography
TWI496182B (zh) 以可變束模糊技術使用帶電粒子束微影術製造表面之方法及系統
US7901845B2 (en) Method for optical proximity correction of a reticle to be manufactured using character projection lithography
TW201346436A (zh) 使用帶電粒子束微影術之用於形成圖案之方法及系統
US20130205264A1 (en) Method and system for forming high precision patterns using charged particle beam lithography
TW201308029A (zh) 用於使用可變形束微影形成非曼哈頓圖案的系統及方法
TWI514437B (zh) 用以利用帶電粒子束微影術以多個暴露回合使圖樣破碎的方法與系統
TWI592762B (zh) 使用帶電粒子束微影術形成圖案的方法與系統
TWI567503B (zh) 用於帶電粒子束微影術用之增強圖案之設計的方法與系統