KR20110063806A - 가변 성형 빔 리소그래피를 이용하여 레티클을 설계 및 제조하기 위한 방법 - Google Patents

가변 성형 빔 리소그래피를 이용하여 레티클을 설계 및 제조하기 위한 방법 Download PDF

Info

Publication number
KR20110063806A
KR20110063806A KR1020117007511A KR20117007511A KR20110063806A KR 20110063806 A KR20110063806 A KR 20110063806A KR 1020117007511 A KR1020117007511 A KR 1020117007511A KR 20117007511 A KR20117007511 A KR 20117007511A KR 20110063806 A KR20110063806 A KR 20110063806A
Authority
KR
South Korea
Prior art keywords
pattern
shots
reticle
vsb
particle beam
Prior art date
Application number
KR1020117007511A
Other languages
English (en)
Other versions
KR101671322B1 (ko
Inventor
아키라 후지무라
마이클 터커
Original Assignee
디2에스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US12/202,364 external-priority patent/US7759026B2/en
Priority claimed from US12/473,241 external-priority patent/US7754401B2/en
Application filed by 디2에스, 인코포레이티드 filed Critical 디2에스, 인코포레이티드
Publication of KR20110063806A publication Critical patent/KR20110063806A/ko
Application granted granted Critical
Publication of KR101671322B1 publication Critical patent/KR101671322B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0275Photolithographic processes using lasers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3174Particle-beam lithography, e.g. electron beam lithography
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/62Pellicles, e.g. pellicle assemblies, e.g. having membrane on support frame; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/76Patterning of masks by imaging
    • G03F1/78Patterning of masks by imaging by charged particle beam [CPB], e.g. electron beam patterning of masks
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2051Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source
    • G03F7/2059Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source using a scanning corpuscular radiation beam, e.g. an electron beam
    • G03F7/2061Electron scattering (proximity) correction or prevention methods
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2051Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source
    • G03F7/2059Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source using a scanning corpuscular radiation beam, e.g. an electron beam
    • G03F7/2063Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source using a scanning corpuscular radiation beam, e.g. an electron beam for the production of exposure masks or reticles
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/143Electron beam

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Health & Medical Sciences (AREA)
  • Theoretical Computer Science (AREA)
  • Mathematical Physics (AREA)
  • Toxicology (AREA)
  • Optics & Photonics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electron Beam Exposure (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

본 발명은 레티클의 설계 및 제조시에 비-오버랩핑 가변 성형 빔(VSB) 샷들을 이용하는 방법을 개시하되, 이때 상기 다수의 샷들은 목적하는 패턴과 다르다. 또한, 본 발명은 레티클상에 형성될 목적하는 패턴의 프랙처어링 또는 마스크 데이터 준비 또는 근접 효과 보정을 위한 방법; 하전 입자 빔 리소그래피를 이용하여 레티클상에 패턴을 형성하는 방법; 및 목적하는 패턴의 광학 근접 보정(OPC)을 위한 방법도 개시한다. 샷들의 조사량은 서로에 대해 변화될 수 있다. 다수의 샷들은 다수의 샷들로부터 계산된 표면상의 패턴이 목적하는 패턴의 예정된 허용오차내에 놓이도록 결정될 수 있다. 몇몇 실시태양에서는, 최적화 기법을 이용하여 샷 계수를 최소화시킬 수 있다.

Description

가변 성형 빔 리소그래피를 이용하여 레티클을 설계 및 제조하기 위한 방법{METHOD FOR DESIGN AND MANUFACTURE OF A RETICLE USING VARIABLE SHAPED BEAM LITHOGRAPHY}
본 출원은 1) "캐릭터 사출 입자 빔 리소그래피를 이용하여 레티클을 제조하기 위한 방법 및 시스템(Method And System For Manufacturing A Reticle Using Character Projection Particle Beam Lithography)"이라는 발명의 명칭으로 2008년 9월 1일자로 출원된 미국 특허출원 제 12/202,364호; 2) "가변 성형 빔 리소그래피를 이용하여 표면 및 집적회로를 제조하기 위한 방법(Method For Manufacturing A Surface And Integrated Circuit Using Variable Shaped Beam Lithography)"이라는 발명의 명칭으로 2009년 5월 27일자로 출원된 미국 특허출원 제 12/473,241호; 3) "가변 성형 빔 리소그래피를 이용하여 레티클을 설계 및 제조하기 위한 방법(Method For Design And Manufacture Of A Reticle Using Variable Shaped Beam Lithography)"이라는 발명의 명칭으로 2009년 7월 13일자로 출원된 미국 가특허출원 제 61/225,207호; 및 4) "가변 성형 빔 리소그래피를 이용하여 레티클을 설계 및 제조하기 위한 방법(Method For Design And Manufacture Of A Reticle Using Variable Shaped Beam Lithography)"이라는 발명의 명칭으로 2009년 8월 12일자로 출원된 미국 특허출원 제 12/540,323호를 우선권으로 주장하고, 이들 문헌은 모두 본 출원에서 참조로 인용된다.
본 발명은 리소그래피에 관한 것으로, 보다 구체적으로는 가변 성형 빔(VSB, variable shaped beam) 하전된 입자 빔 리소그래피를 이용하여 레티클, 웨이퍼, 또는 임의의 다른 표면일 수 있는 표면의 설계 및 제조에 관한 것이다.
집적회로와 같은 반도체 디바이스를 생산하거나 제조하는 경우, 광학 리소그래피(Optical Lithography)를 이용하여 반도체 디바이스를 제작할 수 있다. 광학 리소그래피는 레티클(reticle)로부터 제조된 리소그래피 마스크 또는 포토마스크를 이용하여 반도체 또는 실리콘 웨이퍼와 같은 기판으로 패턴들을 전사시켜 집적회로를 생성시키는 프린팅 공정이다. 다른 기판들은 평판 디스플레이들 또는 그와 다른 레티클들을 포함할 수 있다. 또한, 극자외선(EUV)(extreme ultraviolet) 또는 X-선 리소그래피도 광학 리소그래피의 한 유형으로 간주된다. 레티클 또는 다수의 레티클들은 집적회로의 개별층에 상응하는 회로 패턴을 함유할 수 있으며, 이 패턴은 포토레지스트 또는 레지스트로서 알려진 방사선-감응성 물질의 층으로 코팅된 기판상의 일정한 구역상에 이미징될 수 있다. 패턴화된 층이 전사되면, 이 층은 에칭, 이온-주입(도핑), 금속화, 산화, 및 폴리싱(polishing)과 같은 다양한 다른 공정을 거칠 수 있다. 이러한 공정들은 기판내의 개별층을 피니싱(finishing) 하는데 이용된다. 다수의 들층이 요구되는 경우, 이러한 공정의 전공정 또는 변형 공정이 각각의 새로운 층에 대해 반복될 것이다. 궁극적으로는, 다수의 디바이스들 또는 집적회로들의 조합이 기판상에 존재할 것이다. 이어서, 이들 집적회로를 다이싱(dicing) 또는 소잉(sawing)에 의해 서로 분리시킨 다음, 이들을 개별 패키지내에 장착시킬 수 있다. 보다 일반적인 경우에는, 기판상의 패턴들은 디스플레이 픽셀들 또는 자기 기록 헤드들과 같은 압축 가공물(artifact)들을 한정하는데 이용될 수 있다.
집적회로와 같은 반도체 디바이스를 생산하거나 제조하는 경우, 마스크리스 다이렉트 라이트(maskless direct write)도 또한 반도체 디바이스를 제작하는데 이용될 수 있다. 마스크리스 다이렉트 라이트는 하전 입자 빔 리소그래피를 이용하여 반도체 또는 실리콘 웨이퍼와 같은 기판으로 패턴들을 전사시켜 집적회로를 생성시키는 프린팅 공정이다. 다른 기판들은 평판 디스플레이, 나노-임프린팅(nano-imprinting)을 위한 임프린트 마스크(imprint mask) 또는 평평한 레티클들을 포함할 수 있다. 층의 목적하는 패턴들은 표면상에 직접 라이팅되는데, 이러한 경우에 상기 표면은 또한 기판이다. 패턴화된 층이 전사되었을 때, 상기 층은 에칭, 이온-주입(도핑), 금속화, 산화, 및 폴리싱과 같은 다양한 다른 공정들을 거칠 수 있다. 이러한 공정들은 기판내의 개별층을 피니싱하는데 이용된다. 다수의 층들이 요구되는 경우, 이러한 공정의 전공정 또는 변형 공정이 각각의 새로운 층에 대해 반복될 것이다. 이들 층들의 일부는 광학 리소그래피를 이용하여 라이팅할 수 있지만, 다른 층들은 마스크리스 다이렉트 라이트를 이용하여 라이팅시켜 동일한 기판을 제작할 수 있다. 궁극적으로는, 다수의 디바이스 또는 집적회로의 조합이 기판상에 존재할 것이다. 이어서, 이들 집적회로를 다이싱 또는 소잉에 의해 서로 분리시킨 다음, 이들을 개별 패키지내에 장착시킬 수 있다. 보다 일반적인 경우에는, 표면상의 패턴들은 디스플레이 픽셀 또는 자기 기록 헤드들과 같은 압축 가공물들을 한정하는데 이용될 수 있다.
지적된 바와 같이, 광학 리소그래피에서, 리소그래피 마스크 또는 레티클은 기판상에 집적시킬 회로 구성요소(circuit components)들에 상응하는 기하학적 패턴들을 포함한다. 레티클을 제조하는데 사용되는 패턴들은 컴퓨터-이용 설계(CAD)(computer-aided design) 소프트웨어 또는 프로그램들을 사용하여 생성시킬 수 있다. 패턴을 설계하는 경우, CAD 프로그램은 레티클을 생성시키기 위하여 일련의 예정된 설계 규칙(design rule)을 따를 수 있다. 이러한 규칙은 프로세싱, 설계, 및 사용 한계(end-use limitations)로 설정한다. 사용 한계의 일례는 필수 공급 전압에서 충분히 동작할 수 없는 방식으로 트랜지스터의 기하를 한정한다. 특히, 설계 규칙은 회로 디바이스들 또는 접속 라인들 사이의 공간 허용오차(space tolerance)를 한정할 수 있다. 설계 규칙은, 예를 들면, 회로 디바이스들 또는 라인들이 바람직하지 못한 방식으로 서로 상호작용하지 않도록 하는데 이용된다. 예를 들면, 설계 규칙은 라인들이 단락(short circuit)을 유발할 수 있는 방식으로 서로 너무 근접하지 않도록 이용된다. 설계 규칙 한계는 다른 많은 것들 중에서도 확실하게 제작될 수 있는 최소 치수를 반영한다. 이러한 작은 치수를 참조할 경우, 일반적으로는 임계 치수의 개념을 도입한다. 이들은, 예를 들면, 최소 선폭(smallest width of line) 또는 2개의 라인들 사이의 최소 공간으로서 정의 되는데, 이때 이러한 치수들은 정교한 제어를 필요로 한다.
광학 리소그래피에 의한 집적회로 제작시의 하나의 목표는 레티클을 사용하여 기판상에 원형 회로 디자인을 복제하는데 있다. 집적회로 제작자들은 항상 가능한 한 효과적으로 반도체 웨이퍼 리츠(real estate)를 이용하려고 시도한다. 엔지니어들은 집적회로가 더 많은 회로 소자를 함유하고 더 적은 전력을 소모하도록 회로의 크기를 계속 감소시키고 있다. 집적회로 임계 치수의 크기가 감소하고 회로 밀도가 증가하면, 회로 패턴 또는 물리적인 디자인의 임계 치수는 광학 리소그래피에서 사용된 광학 노광 기구의 해상도 한계에 근접하게 된다. 회로 패턴의 임계 치수가 더 소형화되어 노광 기구의 해상도 값에 근접하면, 레지스트 층상에서 현상된 실질 회로 패턴에 대한 물리적인 디자인의 정밀한 전사가 더 어려워진다. 광학 리소그래피 공정에서 사용된 광 파장보다 더 작은 피처(feature)들을 갖는 패턴을 전사하는데 광학 리소그래피를 추가적으로 이용하기 위하여, 광학 근접 보정(OPC)(optical proximity correction)으로 알려진 공정을 개발하여 왔다. OPC를 변형시켜 근접 피처들을 가진 피처의 광학적 회절 및 광학적 상호작용과 같은 효과에 의해 야기된 왜곡(distortion)을 보정한다. 예를 들면, 소위 규칙-기본 OPC 타입의 OPC에서는, 광학 리소그래피에 의해 전사한 후의 패턴의 품질을 보강하기 위하여 에지 선택(edge selection), 구역 교점 또는 집합점, 및 형상의 오버사이징(oversizing) 또는 언더사이징(undersizing)과 같은 다양한 기하학적 작업 - 즉 개개 형상의 에지를 내측으로 또는 외측으로 이동시키는 작업 - 을 이용하여 설계된 패턴을 변형시킬 수 있다. OPC는 레티클을 사용하여 수행되는 모든 해상도 보강 기술을 포함한다.
OPC는 원형 물리적 설계 패턴(original physical design pattern), 즉, 상기 디자인과 기판상의 최종 전사 회로 패턴 사이의 차이를 감소시키기 위하여 마스크 패턴들에 서브-해상도 리소그래피 피처들(sub-resolution lithographic feature)을 부가할 수 있다. 서브-해상도 리소그래피 피처들은 물리적 설계시의 원형 패턴들과 상호작용하고 서로 상호작용하며, 최종 전사 회로 패턴을 개선하도록 근접 효과들을 보상한다. 패턴의 전사를 개선하는데 사용되는 하나의 피처는 서브-해상도 어시스트 피처(sub-resolution assist feature, SRAF)이다. 패턴 전사를 개선하기 위해 부가되는 다른 피처는 "세리프들(serifs)"로서 지칭된다. 세리프들은 최종의 전사된 이미지에서 코너를 날카롭게 하기 위해 패턴의 코너 상에 위치될 수 있는 작은 피처들이다. 광학 리소그래피의 한계들이 서브-파장 영역(regime) 내로 멀리 연장됨에 따라, OPC 피처들은 훨씬 더 민감한 상호작용들 및 효과들을 보상하기 위해 점점 더 복잡하게 만들어져야만 한다. 이미징 시스템들이 이들의 한계들에 가깝게 강요됨에 따라, 충분하게 미세한 OPC 피처들에 의해 레티클들을 생산하는 능력이 중요하게 되었다. 세리프들 또는 다른 OPC 피처들을 마스크 패턴에 부가하는 것이 바람직할지라도, 이는 마스크 패턴에서 총 피처 수를 또한 실질적으로 증가시킨다. 예를 들면, 종래 기법들을 이용하여 정사각형의 코너들 각각에 세리프를 부가하는 것은 마스크 또는 레티클 패턴에 8개 이상의 직사각형을 부가하는 것이다. OPC 피처들을 부가하는 것은 매우 힘든 작업이며, 비용이 많이 드는 컴퓨터 계산 시간을 요하며, 레티클들을 더 고가로 만든다. OPC 패턴들이 복잡할 뿐 아니라, 광학 근접 효과들이 최소 라인 및 공간 치수들에 비해 긴 범위이기 때문에 주어진 위치에서 정확한 OPC 패턴들은 이웃하는 곳에 있는 다른 기하 형태가 무엇인지에 상당히 의존한다. 따라서, 예를 들면, 라인 엔드(line end)는 레티클 상에서 그 가까이에 무엇이 있는지에 따라 상이한 크기의 세리프들을 가질 것이다. 이것은 대상물이 웨이퍼 상에 동일한 형상을 정확하게 생성할 수 있을 지라도 그렇다. 이러한 미세하지만 임계 변형들은 중요하며, 다른 것들로부터 레티클 패턴들이 형성될 수 있는 것을 방지한다. 주요 피처들, 즉 OPC 장식 이전의 디자인을 반영하는 피처들, 및 세리프들, 조그들(jogs), 및 SRAF을 포함할 수 있는 OPC 피처들의 견지에서 레티클 상에 라이팅될 OPC-장식된 패턴들을 설명하는 것이 통상적이다. 미세한 변형들이 의미하는 것을 정량하기 위해, 이웃에서 이웃까지 OPC 장식에서의 전형적인 미세한 변형은 주요 피처 크기의 5% 내지 80%일 수 있다. 명확성을 위해, OPC의 디자인에서의 변형들은 참조되는 것임을 알아야 한다. 라인-에지 거칠기 및 코너 라운딩과 같은 제조 변형들도 또한 실제 표면 패턴들내에서 존재할 것이다. 이러한 OPC 변형들이 웨이퍼상에 실질적으로 동일한 패턴들을 생성하는 경우, 그것이 의미하는 것은 웨이퍼상의 기하형태가 특정 에러 내에서 동일한 것을 목표한다는 것이고, 이는 기능의 세부사항들에 의존하여, 기하형태가 예컨대 트랜지스터 또는 와이어를 실행하도록 설계된다. 그럼에도 불구하고, 전형적인 사양은 주요 피처 범위의 2%에서 50% 내에 있다. 변형들을 또한 야기하는 다수의 제조 인자들이 있으나, 전체 에러의 OPC 구성요소는 열거된 범위내이다.
광학 리소그래피 또는 하전 입자 빔 리소그래피(charged particle beam lithography)를 이용하는 기법을 비롯하여 레티클상에 패턴을 형성하는데 사용되는 많은 기법들이 있다. 가장 일반적으로 사용되는 시스템은 정밀 전자 빔(precise electron beam)을 성형하여 레티클의 레지스트-코팅된 표면상에 조향(steer)하는 가변 성형 빔(variable shaped beam, VSB)으로서, 이는 하전 입자 빔 라이터 시스템의 타입이다. 이러한 형상은 간단한 형상이며, 일반적으로는 특정의 최소 및 최대 크기들 및 직교 좌표 평면(Cartesian coordinate plane)의 축선들에 평행한 면들의 직사각형, 및 특정의 최소 및 최대 크기들의 45도, 45도, 및 90도인 3 개의 내각을 갖는 삼각형으로 국한된다. 예정된 위치들에서, 전자들의 조사량(doses)은 이러한 간단한 형상으로 레지스트내로 발사된다. 이러한 타입의 시스템에 대한 전체 라이팅 시간은 샷들(shots)의 수에 따라 증가한다. 전자들의 조사량 또는 샷들은 통상적으로는 근접 에러 보정과 같은 후속 조사량 보정 단계 이전에 a) 타겟 패턴을 완전히 커버하고, b) 서로 오버랩되지 않으며, c) 균일한 초기-할당 조사량을 갖도록 설계된다. 이러한 3가지 제한은 레티클상의 레지스트가 패턴을 기록하는 방법을 매우 단순화시킨다. 그러나, OPC 피처들이 더 복잡하게 되기 때문에, 일련의 비-오버랩핑 단순 형상들(simple shapes)내로의 패턴들의 분할(division) 또는 프랙처어링(fracturing)은 엄청난 수의 단순 형상들을 생성하여 매우 긴 레티클 라이팅 시간을 유발할 수 있다.
기판을 제조하는데 사용되는 레티클을 준비하고 제조하는 것이 시간과 비용을 감소시키는데 유리하다. 보다 일반적으로는, 특정 표면을 준비하고 제조하는 것이 시간과 비용을 감소시키는데 유리하다. 예를 들면, 레티클상에 패턴들을 형성시키는데 요구되는 VSB 샷들의 수를 감소시키는 것이 바람직하다.
본 발명은 목적하는 패턴을 형성할 수 있는 다수의 비-오버랩핑 가변 성형 빔(VSB) 샷들(non-overlapping variable shaped beam(VSB) shots)을 결정하고 다수의 샷들의 집합체는 목적하는 패턴을 벗어나는, 레티클상에 형성되는 목적하는 패턴의 프랙처어링 또는 마스크 데이터 준비 또는 근접 효과 보정을 위한 방법을 개시한다. 샷들의 조사량은 서로에 대해 변화될 수 있다. 다수의 샷들은 다수의 샷들로부터 계산된 표면상의 패턴이 목적하는 패턴의 예정된 허용오차내에 놓이도록 결정될 수 있다. 몇몇 실시태양에서는, 최적화 기법을 이용하여 샷 계수를 최소화시킬 수 있다. 다른 실시태양에서, 다수의 샷들은 하나 이상의 미리 컴퓨터화된 VSB 샷들 또는 일군의 VSB 샷들, 즉, 글리프(glyphs)들로 부터 임의적으로 선택될 수 있다.
또한 본 발명은 샷들의 조사량이 서로에 대해 변화될 수 있고 다수의 샷들의 집합체가 목적하는 패턴을 벗어난, 다수의 비-오버랩핑 VSB 샷들을 이용하여 레티클상에 패턴을 형성하는 방법도 개시한다.
또한 본 발명은 OPC-보정 버전의 목적하는 기판 패턴을 표면상에 형성할 수 있는 다수의 비-오버랩핑 가변 성형 빔(VSB) 샷들을 결정하고 다수의 샷들의 집합체는 목적하는 패턴을 벗어나는, 기판에 대한 목적하는 패턴의 광학 근접 보정(OPC)을 위한 방법도 개시한다. 상기 샷들의 초기-할당된 조사량은 변할 수 있다.
본 발명 개시내용의 이러한 잇점 또는 다른 잇점들은 첨부된 도면들과 관련한 하기의 상세한 설명을 고려하면 자명해질 것이다.
도 1은 표면을 제조하는데 이용되는 가변 성형 빔 하전 입자 빔 라이터 시스템을 도시한 것이고;
도 2는 광학 리소그래피 시스템을 도시한 것이고;
도 3A는 기판상에 위치된 패턴의 디자인을 도시한 것이고;
도 3B는 도 3A에 도시된 디자인으로부터 레티클내에 형성된 패턴을 도시한 것이고;
도 3C는 도 3B의 레티클을 이용하여 기판의 포토레지스트내에 형성된 패턴을 도시한 것이고;
도 4A는 도 3A에 도시된 패턴의 광학 근접 보정된 버전을 도시한 것이고;
도 4B는 레티클내에 형성된 이후의 도 4A에 도시된 패턴의 광학 근접 보정된 버전을 도시한 것이고;
도 4C는 도 4B의 레티클을 이용하여 실리콘 웨이퍼의 포토레지스트내에 형성된 패턴을 도시한 것이고;
도 5A는 기판상에 형성된 패턴의 디자인을 도시한 것이고;
도 5B는 규정량의 조사량을 이용하여 기판상에 형성된 도 5A의 패턴을 도시한 것이고;
도 5C는 규정량 미만의 조사량을 이용하여 기판상에 형성된 도 5A의 패턴을 도시한 것이고;
도 5D는 규정량 이상의 조사량을 이용하여 기판상에 형성된 도 5A의 패턴을 도시한 것이고;
도 6A는 서로 매우 근접한 2개의 VSB 샷들을 도시한 것이고;
도 6B는 도 6A의 형상을 관통하는 라인에 따른 조사량의 그래프를 도시한 것이고;
도 6C는 도 6A의 샷들로부터 표면상에 생성된 패턴을 도시한 것이고;
도 7은 VSB 샷 프랙처어링 개념 흐름도를 도시한 것이고;
도 8A는 정사각형 패턴의 디자인을 도시한 것이고;
도 8B는 OPC 이후의 도 8A의 패턴을 도시한 것이고;
도 8C는 통상적인 비-오버랩핑 직사각형내의 도 8B의 패턴의 프랙처어링을 도시한 것이고;
도 8D는 슬라이버들(slivers)을 함유하지 않은 통상적인 비-오버랩핑 직사각형내의 도 8B의 패턴의 프랙처어링을 도시한 것이고;
도 8E는 본 발명 개시내용에 따른 비-오버랩핑 직사각형내의 도 8B의 패턴의 예시적인 프랙처어링을 도시한 것이고;
도 9A는 45도 회전된 직사각형의 패턴을 형성할 수 있는 비-오버랩핑 VSB 샷들의 통상적인 셋트를 도시한 것이고;
도 9B는 본 발명 개시내용의 예시적인 방법을 이용하여 45도 회전된 직사각형의 패턴을 형성할 수 있는 비-오버랩핑 VSB 샷들의 셋트를 도시한 것이고;
도 10A는 표면상에 형성된 패턴을 도시한 것이고;
도 10B는 도 10A의 패턴상의 OPC 프로세싱의 결과인 곡선형 패턴을 도시한 것이고;
도 10C는 본 발명 개시내용의 예시적인 방법을 이용하여 표면상에 도 10B의 곡선형 패턴을 형성할 수 있는 비-오버랩핑 VSB 샷들의 셋트를 도시한 것이고;
도 11A는 실리콘 웨이퍼상의 집적회로와 같은 기판을 제작하는데 사용되는 표면을 제조하는 방법을 나타내는 개념적 흐름도의 한가지 실시태양을 도시한 것이고;
도 11B는 실리콘 웨이퍼상의 집적회로와 같은 기판을 제작하는데 사용되는 표면을 제조하는 방법을 나타내는 개념적 흐름도의 또 다른 실시태양을 도시한 것이고;
도 12는 실리콘 웨이퍼상의 집적회로와 같은 기판을 제작하는데 사용되는 표면을 제조하는 방법을 나타내는 또 다른 개념적 흐름도를 도시한 것이고;
도 13A는 직사각형 VSB 샷으로부터의 글리프 조사량 맵을 도시한 것이고;
도 13B는 정사각형 VSB 샷으로부터의 글리프 조사량 맵을 도시한 것이고;
도 14A는 파라미터화된 글리프 조사량 맵을 도시한 것이고;
도 14B는 도 14A의 파라미터화된 글리프에 대한 또 다른 조사량 맵을 도시한 것이며;
도 15는 실리콘 웨이퍼상의 집적회로와 같은 기판을 제작하는데 사용되는 표면을 제조하는 방법을 나타내는 개념적 흐름도의 추가적인 실시태양을 도시한 것이다.
본 발명 개시내용의 개선점 및 잇점들은 샷 조사량을 비정규화(other-than-normal)함으로써 달성할 수 있으며, 또한 샷의 집합체를 타겟 패턴으로부터 벗어나게 하여 타겟 패턴을 완전히 커버하기 위하여 규정 조사량의 VSB 샷을 사용하는 보다 통상적인 실시 방법과 비교하여 감소된 수의 샷들로부터 패턴을 생성시킴으로써 달성할 수도 있다. 따라서, 표면을 제조하는 것과 연관된 긴 라이팅 시간 및 그 결과 고비용과 같은 종래의 문제점들을 어드레싱하는 방법 및 시스템이 제공된다.
도면들을 참조(여기서, 유사 번호는 유사 아이템을 지칭한다)하여 보면, 도 1은 가변 성형 빔(VSB)을 이용하여 본 발명 개시내용에 따른 표면(12)을 제조하는 리소그래피 시스템의 한가지 실시태양, 예를 들면 하전 입자 빔 라이터 시스템, 이 경우에는 전자 빔 라이터 시스템(10)을 나타낸 것이다. 전자 빔 라이터 시스템(10)은 전자 빔(16)을 개구 플레이트(aperture plate)(18)를 향하여 투사하는 전자 빔 소스(14)를 갖는다. 상기 플레이트(18)는 전자 빔(16)을 통과시키는 내부에 형성된 개구(aperture)(20)를 갖는다. 전자 빔(16)은 개구(20)을 관통하자 마자 (도시되지 않은) 렌즈의 시스템에 의해 전자 빔(22)으로서 또 다른 직사각형 개구 플레이트 또는 스텐실 마스크(24) 쪽으로 향하거나 편향된다. 스텐실 마스크(24)는 그의 내부에 형성된 직사각형 또는 삼각형과 같은 다양한 단순 형상을 한정하는 다수의 개구(26)를 갖는다. 스텐실 마스크(24)내에 형성된 각각의 개구(26)는 표면(12)내에 패턴을 형성하는데 이용될 수 있다. 전자 빔(30)은 하나의 개구(26)로부터 발현되어 패턴(28)으로서 표면(12)으로 진행한다. 표면(12)은 전자 빔(30)과 반응하는 (도시되지 않은) 레지스트로 코팅되어 있다. 전자 빔(22)은 진행하여 개구(26)의 가변 부위(variable portion)를 오버랩핑하여 패턴(28)의 크기 및 형상에 악영향을 미칠 수 있다. 표면(12)은 이동가능한 플랫폼(32)상에 실장된다. 플랫폼(32)은 하전 입자 빔(30)의 최대 편향능 또는 필드 사이즈(field size)보다 더 큰 패턴이 표면(12)으로 라이팅될 수 있도록 위치를 바꾼다. 하나의 실시태양에서, 표면(12)은 레티클일 수 있다. 이러한 실시태양에서, 레티클은 패턴에 노출된 후에 다양한 제조 단계를 거쳐 리소그래피 마스크가 된다. 이어서, 상기 마스크는 도 2에 도시된 광학 리소그래피 디바이스 또는 기계(34)내에 사용될 수 있다. 상기 광학 리소그래피 기계(34)는 광원(illumination source)(36), 마스크(37), 및 일반적으로는 크기가 감소된 레티클 패턴(28)의 이미지를 실리콘 웨이퍼(39)상으로 투사하여 집적회로를 생성시키는 하나 이상의 렌즈(38)를 포함한다. 보다 일반적으로, 상기 마스크(37)는 또 다른 디바이스 또는 기계에 이용되어 기판(39)상에 패턴(28)을 전사한다. 또 다른 실시태양에서, 표면(12)은 실리콘 웨이퍼와 같은 기판이다.
상기에서 지적된 바와 같이, 반도체 및 다른 나노-기술 제조업자들은 광학 리소그래피의 한계에 도달하였기 때문에, 기판상에 이상 패턴(ideal pattern)을 전사하기가 어렵다. 예를 들면, 도 3A는 기판의 레지스트내에 형성되는, 회로를 나타내는 이상 패턴(40)을 도시한 것이다. 그 위에 패턴(40)을 형성시키기 위한 시도로서 레티클 및 마스크를 생성시킨 경우, 상기 레티클은 패턴(40)의 완전한 표현은 아니다. 패턴(40)을 나타내려고 시도하는 레티클내에 형성될 수 있는 패턴(40)이 도 3B에 나타나 있다. 패턴(42)은 패턴(40)에 비해 더 둥글고 짧아진 피처를 갖는다. 패턴(42)이 광학 리소그래피 공정에 사용된 경우, 패턴(44)은 도 3C에 도시된 바와 같이 기판상의 포토레지스트내에 형성된다. 패턴(44)은 광학 근접 보정이 왜 필요한지를 나타낼 정도로 이상 패턴(40)에 아주 근접하지 못하다.
패턴들(40 및 44) 사이의 차이를 보상하기 위한 노력으로, 광학 근접 보정이 이용된다. 광학 근접 보정은 레티클을 변경하여 광학 회절, 이웃한 형상들과의 광학적 상호작용, 및 레지스트 공정 효과들에 의해 생성된 왜곡을 보상하도록 설계 패턴을 변경한다. 도 4A - 4C는 광학 근접 보정을 사용하여 광학 리소그래피 공정을 개선하여 보다 우수한 버전의 패턴(44)을 발현시키는 방법을 나타낸다. 특히, 도 4A는 패턴(40)의 변경된 버전인 패턴(50)을 도시한 것이다. 패턴(50)은 코너(corner)의 선명도(sharpness)를 감소시키는 광학 및 프로세싱 효과들을 감소시키기 위한 시도에서 여분의 구역을 제공하기 위하여 패턴(50)의 다양한 코너에 부가된 세리프 요소(serif element)(52)를 갖는다. 패턴(50)의 레티클이 생성되었을 때, 이는 레티클내에서 도 4B에 도시된 바와 같이 패턴(54)로서 나타날 수 있다. 광학 근접 보정된 패턴(54)이 광학 리소그래피 디바이스내에 사용되었을 때, 도 4C에 도시된 바와 같은 출력 패턴(56)이 생성된다. 패턴(56)은 패턴(44)보다도 이상 패턴(40)과 더 유사하며, 이는 광학 근접 보정에 기인한다. 광학 근접 보정을 이용하는 것이 유익하기는 하지만, 이는 모든 패턴이 레티클을 생성하기 위한 시간 및 비용을 증가시키는 변경 또는 장식을 필요로 할 수 있다. 또한, 레티클상에 형성된 다양한 패턴들은 OPC가 적용된 경우에 그들 사이에서 적절하게 미세한 차이를 가질 수 있으며, 이는 레티클 제조시에 시간 및 비용을 증가시킨다.
도 1을 참조하여 보면, 패턴이 레지스트-코팅된 표면(12)에 라이팅되었을 때, 표면상의 생성 패턴은 레지스트에 도달하는 입자들의 양, 소위 노광량 또는 조사량에 의존한다. 가변 성형 빔 샷의 조사량은 셔터 속도(shutter speed)로서, 이는 소정의 샷이 표면상에 투사되는 시간의 길이이다. "조사량 보정(Dose correction)"은 소정의 샷에 대한 조사량을, 예를 들면, 근접 효과 보정(PEC)을 위해 미세하게 변화시키는 공정 단계이다. 이러한 이유로, 최적 조사량 또는 "규정(normal)" 조사량은 모든 샷에 대해 동일하지 않을 것이다. 도 5A는 표면상에 라이팅되는 표본 다각형 패턴(60)을 도시한 것이다. 도 5B는 규정 조사량을 사용할 때 레티클상에 생성될 패턴(62)을 도시한 것이다. 상기 패턴(62)의 코너들이 상기 이상 패턴(60)에 비해 다소 더 둥글다는 사실에 주목해야 한다. 도 5C는 규정 조사량 미만으로 사용할 때 레티클상에 생성될 수 있는 패턴(64)을 도시한 것이다. 상기 규정 조사량 패턴(62)과 비교하였을 때 상기 패턴(64)은 일반적으로 더 얇으며, 상기 패턴의 긴 단부(long end)들은 더 짧다. 도 5D는 규정 조사량 이상으로 사용할 때 레티클상에 생성될 수 있는 패턴(66)을 도시한 것이다. 상기 패턴(66)은 상기 규정 조사량 패턴(62)보다 모든 치수에서 "통통(fatter)", 즉 조금 더 크다. 패턴들(62, 64 및 66) 사이의 차이는 조사량 변화에 대한 레지스트의 반응에 기인한다.
본 발명은 상기 샷 조사량을 변화시킬 뿐만 아니라 또한 상기 VSB 샷의 크기를 변형시킴으로써 레티클과 같은 표면에 상이한 패턴들을 라이팅할 수 있는 이러한 특징을 이용한다. 본 발명은 샷들이 타겟 패턴을 완전히 커버해야 하고 임의의 비-타겟-패턴 구역(non-target-pattern area)은 커버하지 않아야만 하는 통상의 제약 요건이 제거된 가변 조사량을 구비하고 있다. 이러한 기법들을 이용함으로써, 통상의 기법들을 사용할 경우보다 더 적은 양의 샷들을 사용하여 패턴들을 표면상에 형성시킬 수 있다. 그러나, 이러한 기법들의 이용은 비정규화 조사량으로부터 레지스트내에 레지스터링될 패턴을 계산하기 위하여 입자 빔 시뮬레이션과 같은 정밀한 방법에 의존한다. 그러나, 전체 디자인에 적용된 경우, 입자 빔 시뮬레이션 및 샷 최적화에 포함된 계산의 복잡도(computational complexity)가 높다. 따라서, 계산의 복잡도는 전체 디자인의 입자 빔 시뮬레이션이 요구되지 않는 경우에는 사람들로 하여금 균일한 규정 조사량을 이용하도록 강요한다.
본 발명에서 개시된 다양한 흐름도는 계산 장치로서 적절한 컴퓨터 소프트웨어를 가진 다목적 컴퓨터(general-purpose computer)들을 이용하여 실시할 수 있다. 다량의 계산이 요구되므로, 다수의 컴퓨터들 또는 프로세서 코어(processor core)들이 병렬로 사용될 수도 있다. 하나의 실시태양에서, 계산치를 흐름도내의 하나 이상의 계산-집중 단계(computation-intensive step)들에 대해 다수의 2-차원 기하학적 구역으로 세분하여 병렬 프로세싱을 지지할 수 있다. 다른 실시태양에서는, 특수 목적(special-purpose)의 하드웨어 장치를 이용하여 다목적 컴퓨터들 또는 프로세서 코어들을 사용하는 경우보다 더 빠른 속도로 하나 이상의 단계들의 계산을 실시할 수 있다. 하나의 실시태양에서, 본 발명의 개시내용에서 기술된 최적화 및 시뮬레이션 공정들은 가능한 해답들을 수정하여 재검토하는 반복 공정을 포함함으로써, VSB 샷들의 총수 또는 전체적인 하전 입자 빔 라이팅 시간, 또는 일부의 다른 파라미터를 최소화할 수 있다. 또 다른 실시태양에서, VSB 샷들의 초기 세트는 보정-구축 방법(correct-by-construction method)으로 결정될 수 있기 때문에 샷 변경이 전혀 요구되지 않는다.
변화하는 조사량 샷들을 이용하는 한 가지 복잡성은 패턴의 각각의 부분에 대한 레지스트 응답을 계산하는 것이다. 하전 입자 빔 시스템은 자연적인 블러링 반경(natural blurring radius)(대략 20-30nm 크기)을 가져 전달 에너지의 가우스분포(Gaussian distribution)가 각각의 샷의 명목 경계를 초과하게 된다. 따라서, 비-오버랩핑 샷들을 사용한 경우, 또는 비인접 샷들의 경우에 조차도, 레지스트의 구역은 다중 샷들로부터의 조사량을 수용할 수 있다. 이 경우, 각각의 샷들로부터의 조사량을 조합하여 총 조사량을 측정할 수 있다. 예를 들면, 단순 부가법을 이용하여 조사량을 조합할 수 있다. 예를 들면, 도 6A는 아주 근접하여 위치한 2개의 VSB 샷 패턴들(500 및 502)을 도시한 것이다. 도 6B는 패턴들(500 및 502)을 가로지르는 라인(503)을 따라 수용된 조사량을 도시한 것이다. 도 6B에서, 패턴(500)에 대해 VSB 샷으로부터 레지스트상에 기록된 조사량은 (504)이며, 패턴(502)에 대해 VSB 샷으로부터 레지스트상에 기록된 조사량은 (506)이다. 일점 쇄선(508)은 그 이상에서 레지스트가 패턴을 기록하는 임계치(508)을 나타낸다. 점선(510)은 조사량(504 및 506) 모두가 의미있는 구역내에서의 조사량(504 및 506)의 조합을 도시한 것이다. 조합된 조사량(501)은 패턴들(500 및 502) 사이의 어떤 지점에서도 레지스트 임계치(508) 아래로 내려가지 않는다는 사실에 주목해야 한다. 따라서, 조합 조사량 곡선(510)은 레지스트가, 도 6C에 도시되어 있는 바와 같이, 패턴들(500 및 502)을 단일의 조합 패턴(512)으로서 기록할 것이라는 사실을 보여준다. 또한, 예를 들면, 레지스트 하전량을 확인하기 위하여 다른 복잡한 수학 방정식을 이용하여 샷 조사량을 조합할 수도 있는데, 이때 앞의 샷들로부터 레지스트상에 축적된 음(-) 전하는 뒤의 샷들의 변형 및 왜곡을 야기할 수 있다. 도 6B는 단일 치수에 따른 조사량의 계산치를 도시한 것이다. 2차원 구역내에서의 조사량은 동 구역을 X-Y 격자 모양의 같은 크기의 사각형으로 분할하고, 각각의 격자 모양의 사각형에 대한 조사량을 계산한 다음, 이들 값들을 소위 조사량 맵이라 불리는 2차원 행렬(matrix)내에 저장함으로써 계산할 수 있다. 단일 VSB 샷 또는 VSB 샷들의 조합에 의해 표면상에 생성되는 것으로 알려진 조사량 맵은 소위 글리프(glyphs)라 칭한다. 글리프들의 라이브러리(library)를 미리 계산하여 광학 근접 보정 또는 마스크 데이터 준비 함수에 이용할 수 있다. 예를 들면, 패턴(512)는 샷들(500 및 502)로부터 생성되는 글리프로서 저장될 수 있다.
레지스트상의 구역들이 규정 조사량보다 상당히 많거나 적은 양을 수용하는 경우, 표면상의 생성 패턴을 예측하는 것이 훨씬 더 의미가 있다. 입자 빔 노광 시뮬레이션이 생성 패턴을 측정하는데 이용될 수 있다. 이 공정은 하전 입자 빔 시스템에 의한 레지스트-코팅된 표면의 노광을 시뮬레이션하여 하전 입자 빔 시스템의 물리적 특성 및 레지스트 및 상기 레지스트 하부의 표면의 전기-광학적 특성 및 화학적 특성을 확인한다. 입자 빔 노광 시뮬레이션은 전방 산란(forward scattering), 후방 산란(backward scattering), 레지스트 확산, 쿨롱 효과, 에칭, 연무살포(fogging), 로딩(loading) 및 레지스트 하전(resist charging)을 포함한 하전 입자 빔 노광 공정의 다양한 비이상적인 효과(non-ideal effect) 들을 모델링하는데 이용될 수 있다. 이러한 효과들의 대부분은 단기적인 효과(shorter-range effect)들로서, 이는 각각의 VSB 샷이 패턴의 다른 이웃한 부분에만 악영향을 미칠 것이라는 것을 의미한다. 그러나, 후방 산란, 연무살포 및 로딩은 장기적인 효과(long-range effect)들이며, 단지 패턴의 적은 부분만을 고려할 경우에는 정밀하게 시뮬레이션 할 수 없다. 레지스트 하전은 비록 단기적인 효과이기는 하지만 최종 샷 노광 순서가 알려진 후에 계산하여야만 한다.
예를 들면, 도 7은 글리프를 미리 계산함으로써 패턴을 위한 VSB 샷들을 생성하기 위한 흐름도의 하나의 실시태양, 소위 프랙처어링이라 불리는 공정을 도시한 것이다. 도 7 흐름도(900)에서, 목적하는 패턴(902)은 표면상에 형성되고 공정에 대한 일차 입력(primary input)인 패턴이다. 에치 보정(etch correction)은 에치 모델(906)을 기준하여 단계(904)에서 계산될 수 있다. 단계(904)는 목적하는 레지스트 패턴(908) - 즉, 에칭 이전에 레지스트상에 형성되는 목적 패턴 - 을 생성한다. 따라서, 목적하는 레지스트 패턴(908)은 글리프들의 조합에 의해 매칭되는 타겟 패턴이다. 이와는 별도로, VSB 샷(920)들의 조합을 단계(922)에서 시뮬레이션하여 글리프를 생성시켜 이를 글리프들(926)의 라이브러리에 부가할 수 있다. 입자 빔 시뮬레이션 단계(922)는 하나 이상의 단기적인 노광 효과들(924)을 위한 모델들을 이용한다. 따라서, 글리프 라이브러리(926)내의 생성된 글리프들은 글리프들내의 샷들에 대해 단기적인 노광 효과들을 예비-보상(pre-compensation)한다. 단계(910)에서, 글리프 라이브러리로부터 글리프들을 선택하여 위치시킨 다음 글리프 조사량 맵을 조합함으로써, 조합된 조사량 맵으로부터 레지스트상에 형성되는 패턴은 예정된 허용오차내에서 에치-보정된 목적하는 패턴(908)과 매칭될 것이다. 단계(910)는 글리프들을 선택하여 위치시키는 경우에 하나 이상의 장기적인 노광 효과(912)들을 이용한다. 단계(910)의 출력은 각각의 선택된 글리프들로부터의 샷들인 VSB 샷들(914)의 초기 목록이다. 이어서, VSB 샷들(914)의 초기 세트를 단계(916)에서 시뮬레이션한 다음 더 보정하거나 수정할 수 있다. 임의 단계(917)에서, 2개의 패턴들을 예정된 허용오차내에서 매칭하는 경우에는 단계(916)으로부터의 시뮬레이션된 패턴을 목적하는 레지스트 패턴(908)과 비교하여 결정할 수 있다. 본 발명의 몇 가지 실시태양에서, 단계(910 및 916)는 입증된 샷 리스트를 직접 생성시키기 위하여 보정-구축 방법인 스키핑 단계(917)를 이용할 수 있다. 예정된 허용오차내에서의 매칭이 확인되지 않는 경우, 단계(916)으로부터 입자 빔 시뮬레이션된 패턴이 에치-보정된 목적하는 패턴(908)의 예정된 허용오차내에 놓일 때까지 단계(916)에서 부가적인 보정 및 시뮬레이션을 실시할 수 있다. 예정된 허용오차내에 매칭이 전혀 달성되지 않을 수 있는 경우에는 또한 단계(917)에서 사용되는 허용오차를 조정할 수도 있다. 단계(917)의 결과가 하전된 입자 빔 시스템을 이용하여 레지스트-코팅된 표면에 라이팅하기에 적합한 입증된 샷 리스트(918)이다.
도 8A-E도는 샷들이 타겟 패턴을 가능한 한 밀접하게 충전하도록 구속하지 않을 경우에 샷들의 조사량을 변화시켜 샷 계수를 감소시킬 수 있는 방법의 일 실시예를 도시한 것이다. 도 8A는 전자적 설계-자동화 소프트웨어 시스템에 의해 발생될 수 있는, 기판상에 패턴을 형성하는 경우에 광학 리소그래피와 함께 사용되는 콘택트(contact)와 같은 이상 패턴(118)을 도시한 것이다. 패턴(118)은 정사각형의 형상이다. 도 8B는 패턴(118)의 OPC 처리에 의해 생성될 수 있는 곡선형의 패턴(120)을 도시한 것이다. 패턴(120)은 광학 리소그래피 공정을 이용하기 위한 마스크 제조시에 이용하기 위한 레티클상에 형성된다. 도 8C는 통상의 기법으로 VSB 샷들을 이용하여 레티클상에 패턴(120)을 라이팅하는데 이용될 수 있는 비-오버랩핑 직사각형의 하나의 세트(122)를 도시한 것이다. 알 수 있는 바와 같이, 직사각형들의 세트(122)의 집합체는 형상(120)과 아주 흡사하다. 그러나, 일부의 하전 입자 빔 시스템들은 높은 길이-대-폭 종횡비를 가진 샷, 소위 슬라이버(sliver)인 샷의 경우에는 비교적 부정확하다. 따라서, 직사각형들의 세트(122)는 통상적으로는 프랙처어링 소프트웨어에 의해 생성시키지 않는다. 도 8D는 표면에 형상(120)을 라이팅하는데 통상적으로 이용될 수 있는 비-오버랩핑 형상 - 직사각형 및 삼각형 - 의 또 다른 세트를 도시한 것이다. 이러한 형상들의 세트는 슬라이버를 이용하지 않고서 VSB 기술을 이용하여 슈팅할 수 있다. 샷 그룹(124)내에는 7개의 샷들이 있다. 그러나, 알 수 있는 바와 같이, 샷들의 집합 세트(124)는 패턴(120)과 매우 흡사하지 않다. 도 8E는 적절한 조사량을 사용하여 목적하는 패턴(120)에 근접한 레티클상에 패턴을 기록할 수 있는 본 발명 개시내용의 5개의 샷 그룹(130)을 도시한 것이다. 샷 그룹(130)은 샷(132), 샷(134), 샷(136), 샷(138) 및 샷(140)으로 구성된다. 샷 그룹(130)내의 샷의 조사량은 서로에 대해 변할 수 있다. 레지스트상에 기록된 패턴은 형상(142)으로, 이는 예정된 허용오차내에서 목적하는 형상(120)과 등가이다. 5개의 샷 그룹(130)은 7개의 샷 그룹(124)에서 보다 더 목적하는 패턴(120)에 더 근접한 레지스트상의 패턴을 기록할 수 있다. 본 실시예는 가변 조사량을 이용하여 샷들의 집합체를 타겟 패턴을 효과적으로 이용하여 샷 계수를 감소시킬 수 있는 경우와 다르게 하는 방법을 보여준다. 샷들의 간단한 집합체에 의해 형성되는 패턴과 실질적으로 다른 패턴들이 형성될 수 있다. 더욱이, 샷들이 데카르트 평면(Cartesian plane)의 축선에 평행한 경우에 조차도 곡선형 형상들이 형성될 수 있다. 샷 그룹(130)은 사전에 미리 계산하여 모든 콘택트들을 콘택트 패턴(118)과 매칭시키는데 이용하기 위한 글리프로서 유용하게 만들 수 있다.
도 9A-B는 통상적인 방법들과 비교하였을 때, 본 발명의 개시내용을 이용하였을 경우에 샷 계수를 감소시킬 수 있는 추가적인 방법을 도시한 것이다. 도 9A는 통상의 VSB 샷들을 이용하여 집적회로 디자인용의 상호접속 와이어(interconnection wire)와 같은 45도 회전된 직사각형 패턴을 형성할 수 있는 방법의 한가지 실시예(150)를 도시한 것이다. 직사각형 및 삼각형 VSB 샷들 모두가 실시예(150)에 이용된다. VSB 샷들의 세트의 기하학적 집합체는 타겟 패턴 구역을 정확하게 커버한다. 모든 샷들은 규정 조사량을 이용할 수 있다. 이러한 패턴을 형성하는 데에는 18개의 샷들, 예를 들면 5개의 직사각형 샷들과 13개의 삼각형 샷들이 필요하다. 도 9B는 본 발명의 개시내용에 따른 비-오버랩핑 샷들을 이용하여 도 9A에서와 동일한 45도 직사각형 패턴을 형성할 수 있는 방법의 한가지 실시예(152)를 도시한 것이다. 도 9B에서 알 수 있는 바와 같이, 샷들의 집합체는 일부의 샷들이 목적하는 패턴의 경계를 넘어서 까지 연장하며 목적하는 패턴 모두가 완전하게 커버되지 않는다는 점에서 타겟 패턴을 벗어난다. 규정 조사량과 다른 샷 조사량들이 이용될 수 있다. 샷들의 세트로부터 형성될 패턴을 계산하고 형성될 패턴이 타겟 패턴과 등가임을 입증하는데 입자 빔 시뮬레이션이 이용될 수 있다. 본 실시예(152)에서는, 이러한 패턴을 형성하는데 13개의 비-오버랩핑 샷들이 이용된다. 또한, 도 9B의 기법이 30도 회전된 직사각형과 같은 모든 각도의 패턴들과 함께 이용될 수 있다는 사실에도 주목해야 한다. 그와는 대조적으로, 도 9A의 통상의 방법은 에지가 다수의 45도가 아닌 각도를 갖는 경우에는 잘 작동할 수 없는데, 그 이유는 대부분의 VSB 하전 입자 빔 시스템들상의 삼각형 개구가 45도 직각 삼각형(right triangle)들이기 때문이다.
도 10A-C는 많은 컴플렉스 패턴이 VSB 샷들의 집합체가 타겟 패턴과 같지 않은 경우의 VSB 샷들과 함께 형성될 수 있는 방법의 한 가지 실시예를 도시한 것이다. 도 10A에서, 패턴(180)은, 예를 들면, 광학 리소그래피 공정에서 사용하기 위한, 컴퓨터-이용 설계 소프트웨어 시스템에 의해 생성될 수 있는 2개의 정사각형 형상(182 및 184)으로 이루어진다. 도 10B는 패턴(180)의 OPC 프로세싱에 의해 생성될 수 있는 상응하는 패턴(186)을 도시한 것이다. 본 실시예는 2개의 동일한 형상들(182 및 184)의 OPC 프로세싱이 미세하게 다른 형상의 세트들을 생성할 수 있음을 보여준다. 레티클상에 패턴(186)을 형성시키는 데에는 다수의 통상적인 VSB 샷들이 요구된다. 도 10C는 본 발명의 개시내용에 따른 레티클상에 곡선형 패턴(186)을 생성할 수 있는 비-오버랩핑 가변 조사량 VSB 샷들의 세트(196)를 도시한 것이다. 샷들의 세트(196)의 집합체는 최대한 타겟 패턴과 매칭하지 않는다. 도 10C에서, 최소 샷 크기 또는 최대 샷 종횡비 한계는 전혀 관찰되지 않았다. 도 10C에 나타나 있는 바와 같이, 샷들의 세트(196)는 소위 슬라이버라고 하는 많은 수의 좁은 샷들을 포함한다. 오버랩핑 샷들과는 달리, 비-오버랩핑 샷들이 곡선형 패턴들을 생성시키는데 이용된 경우에는 약간의 슬라이버의 생성은 불가피할 수 있다.
도 11A는 광학 리소그래피를 이용하여 실리콘 웨이퍼상에서 집적회로와 같은 기판을 제작하는 경우에 사용되는 표면을 제조하기 위한 본 발명의 실시태양의 개념적 흐름도(250)이다. 제 1 단계(252)에서, 집적회로의 물리적 디자인과 같은 물리적 디자인을 설계한다. 이는 논리 게이트(logic gate), 트랜지스터, 금속층, 및 집적회로에서와 같이 물리적 디자인에서 확인되는 기타 다른 아이템을 결정하는 단계를 포함한다. 이어서, 단계(254)에서, 광학 근접 보정을 실시한다. 본 개시내용의 실시태양에서, 이는 미리-계산된 글리프들 또는 파라미터화된 글리프들의 라이브러리를 입력으로 취하는 단계를 포함할 수 있는데, 이는 OPC를 수행하기 위한 컴퓨터 조작시간을 감소시키는데 유리할 수 있다. 본 개시내용의 실시태양에서, OPC 단계(254)는 또한 샷 계수 또는 라이팅 시간의 동시 최적화를 포함할 수도 있으며, 또한 프랙처어링 작업, 오버랩핑 샷들을 허용하는 샷 배치 작업, 비정상적 조사량을 허용하는 조사량 배정 작업을 포함할 수도 있거나, 또는 샷 순서 최적화 작업 또는 다른 마스크 데이터 준비(MDP, mask data preparation) 작업 등을 포함할 수도 있다. OPC 단계(254)는 또한 입자 빔 시뮬레이션을 이용할 수도 있다. 광학 근접 보정이 완결되면, 마스크 디자인은 단계(256)에서 현상된다. 이어서, 단계(258)에서, 프랙처어링 작업, 샷 배치 작업, 조사량 배정 작업, 또는 샷 순서 최적화 작업을 포함할 수 있는 마스크 데이터 준비 작업이 일어날 수 있다. OPC 단계(254) 또는 MDP 단계(258)의 단계들 중의 어느 하나, 또는 이들 2가지 단계들(254 또는 258)과 독립적인 별개의 프로그램은 표면상으로 슈팅하여 레티클상에서 요구되는 패턴들 모두 또는 대부분을 라이팅할 수 있는 많은 수의 글리프 또는 파라미터화된 글리프들을 측정하기 위한 프로그램을 포함할 수 있다. 본 개시내용에서는 하나의 단계에서 OPC와 다양한 마스크 데이터 준비 작업의 일부 또는 모두를 조합하는 것이 고려된다. 마스크 데이터 준비(MDP) 단계(258)는 비정상적 조사량 배정이 허용되고 샷들의 생성된 세트의 집합체를 레티클상의 타겟 패턴들의 세트와 다르게 할 수 있는 프랙처어링 작업을 포함할 수 있으며, 또한 입자 빔 시뮬레이션을 포함할 수도 있다. MDP 단계(258)는 또한 글리프들의 측정된 세트의 조합에 기초하여 표면상의 패턴을 계산하고, 글리프들의 세트를 변형시킴으로써 마스크 디자인과 거의 매칭되는 계산된 표면 패턴을 생성하기 위한 작업을 포함할 수도 있다. 마스크 데이터 준비 작업은 또한 표면상에 형성될 패턴들을 얼마간의 그와 약간 다른 패턴들과 함께 입력하는 단계, 및 샷 조사량에 있어서의 변화 또는 샷 오버랩에 있어서의 변화를 계산하여 샷 계수 또는 총 라이팅 시간을 감소시키기 위하여 입자 빔 노광 시뮬레이션을 이용하는 단계를 포함할 수도 있다. 표면상의 약간 다른 패턴들의 세트는 기판상에서 실질적으로 동일한 패턴을 생성하도록 설계될 수 있다. 마스크 데이터 준비가 완결되면, 표면이 전자 빔 라이터 시스템과 같은 마스크 라이터 시스템내에서 생성된다. 이와 같은 특정 단계는 단계(262)로서 인지된다. 전자 빔 라이터 시스템은 단계(264)에 나타나 있는 바와 같이 스텐실 마스크내의 개구를 통하여 전자들의 빔을 표면상으로 투사하여 표면상에 패턴들을 형성시킨다. 이어서, 완성된 표면이 단계(266)에 나타나 있는 광학 리소그래피 기계에서 이용될 수 있다. 마지막으로, 단계(268)에서, 실리콘 웨이퍼와 같은 기판이 생성된다. 글리프 생성 단계(274)는 단계(276)내의 글리프들 또는 파라미터화된 글리프들의 세트에 대한 정보를 제공한다. 상술된 바와 같이, 글리프 생성 단계(274)는 입자 빔 시뮬레이션을 이용할 수 있다. 또한, 논의된 바와 같이, 글리프 또는 파라미터화된 글리프 단계(276)는 OPC 단계(254) 또는 MDP 단계(258)에 정보를 제공한다.
도 11B는 OPC 및 MDP 작업이 단일 단계에서 유리하게 조합된, 실리콘 웨이퍼상에서 집적회로와 같은 기판을 제작하는 경우에 사용되는 표면을 제조하기 위한 방법의 보다 상세한 흐름도(280)이다. 제 1 단계(282)에서, 집적회로의 물리적 디자인과 같은 물리적 디자인이 얻어진다. 상기 물리적 디자인은 통상의 CAD 물리적 설계 소프트웨어로부터 직접 얻은 집적회로 디자인일 수 있거나, 또는, 예를 들면, 불 연산(Boolean operation)을 실시하고, 사이징(sizing)하고, 바이어싱(biasing) 하거나, 또는 하나 또는 다수의 설계 층들의 타겟을 변경함으로써 집적회로 디자인으로부터 생성시킬 수 있다. 이어서, 단계(284)에서, 마스크 데이터 보정(Mask Data Correction)(MDC)으로 명명된 단일 단계에서 OPC 및 MDP 작업들을 실시한다. 하전 입자 빔 라이터 시스템 및 마스크 제조 공정의 특징에 관한 정보(296)가 MDC 단계(296)에 공급된다. 상기 정보(296)는, 예를 들면, 전방 산란, 후방 산란, 레지스트 확산, 쿨롱 효과, 레지스트 하전, 연무살포, 최대 샷 크기, 최대 샷 종횡비 및 샷 기하학적 설명들을 포함할 수 있다. 상기 정보(296)는 또한 가능한 VSB 샷들의 라이브러리를 포함할 수도 있다. 또 다른 실시태양에서는, 미리 컴퓨터화되거나 미리 계산된 글리프들(297)의 라이브러리가 MDC 단계에 공급될 수도 있다. OPC를 실시하는데 필요한 정보(298)도 또한 MDC 단계(284)에 공급된다. MDC 단계(284)는 광학 근접 효과 보정(298)을 실시할 경우에 하전 입자 빔 시스템 및 공정와 관련한 유용한 정보(296)를 이용한다. MDC 단계(284)는 목적하는 웨이퍼 이미지를 달성하기 위하여 VSB 샷들의 생성된 세트를 최적화한다. 목적하는 웨이퍼 이미지, 즉 MDC 단계의 타겟은 물리적 디자인(282)일 수 있거나 또는 물리적 디자인(282)으로부터 유도될 수 있다. 최적화 단계는 VSB 샷들, 그들의 위치, 및 그들의 조사량들을 선택하는 단계를 포함할 수 있다. VSB 샷들, 그들의 위치, 및 그들의 조사량들의 선택은 하전 입자 빔 시스템 정보(296), VSB 샷들의 데이터베이스, 글리프들의 라이브러리, 또는 이들의 조합에 기초할 수 있다. 프랙처어링된 데이터의 최적화는 마스크 이미지의 시뮬레이션, 시뮬레이션된 마스크 이미지에 기초한 웨이퍼 이미지의 시뮬레이션, 및 시뮬레이션된 웨이퍼 이미지와 타겟 웨이퍼 이미지의 비교를 포함할 수 있다. 이러한 비교 결과가 최적화 표준으로서 이용될 수 있다. 다른 최적화 표준에는 또한 하기의 것들이 포함될 수도 있다: VSB 샷들의 수, VSB 샷들의 최소 크기(즉, 슬라이버), 동일한 환경에서 동등한 타겟 웨이퍼 이미지들에 대한 VSB 샷들의 동등한 세트의 생성, 및 물리적 디자인(282)내에 시메트리컬(symmetrical) 패턴들을 라이팅하기 위한 VSB 샷들의 시메트리컬 세트들의 생성. 다음으로, MDC 단계(284)에 의해 생성된 준비된 마스크 레이아웃(286)이 마스크 라이터 시스템(288)에 이용되어 표면(290)상에 패턴들을 생성한다. 이어서, 완성된 표면은 단계(292)에 나타나 있는 광학 리소그래피 기계에 이용될 수 있다. 최종적으로, 단계(294)에서 웨이퍼상에 이미지가 생성된다.
이제부터 도 12를 참조하면, 광학 리소그래피를 이용하여 실리콘 웨이퍼상에서 집적회로와 같은 기판을 제작하는 경우에 사용되는 표면을 제조하기 위한 방법의 또 다른 개념적 흐름도(300)가 나타나 있으며, 여기에서 마스크 데이터 준비 출력으로부터 생성된 마스크 디자인은 등가의 표준에 기초한 OPC 단계 후의 마스크 디자인과 비교된다. 제 1 단계(302)에서, 집적회로의 물리적 디자인과 같은 물리적 디자인을 설계한다. 이는 설계자가 기판상에 전사하기를 원하는 이상 패턴일 수 있다. 이어서, 단계(304)에서, 단계(302)에서 생성된 이상 패턴의 광학 근접 보정을 실시한다. 이는 준비하는데 필요한 글리프들을 선택하는 것을 포함한다. 광학 근접 보정은 또한 가능한 글리프들을 입력하는 단계를 포함할 수도 있는데, 이때 글리프들은 입자 빔 노광 시뮬레이션을 이용하여 샷 조사량을 변화시키거나 또는 샷 오버랩을 변화시켜 계산함으로써 결정한다. 추가적으로, 광학 근접 보정은 가능한 글리프들로부터 글리프를 선택하는 단계, 선택된 글리프에 기초하여 기판상의 전사된 패턴을 컴퓨터로 계산하는 단계, 및 컴퓨터로 계산한 패턴이 예정된 임계치보다 더 많이 목적하는 보정된 패턴으로부터 확산하는 경우에 또 다른 글리프를 선택하는 단계를 포함할 수 있다. 광학 근접 보정이 완결되면, 마스크 디자인은 단계(304)에서 현상된다. 이어서, 단계(306)에서, 마스크 디자인을 준비한다. 마스크 디자인이 준비되면, 마스크 데이터 준비 단계(308)에서 마스크 디자인의 보강이 더 일어난다. 마스크 데이터 준비 단계는 또한 글리프들의 선택된 세트의 조합에 기초하여 표면상의 패턴을 계산하는 단계, 및 글리프들의 세트를 변화시킴으로써 마스크 디자인과 거의 매칭되는 계산된 표면 패턴을 생성시키는 작업을 포함할 수도 있다. 보정-구축 "결정적(deterministic)" 계산을 실시하는 경우에는 잠정적으로는 단지 일회 반복하는 것을 포함하여, 패턴 매칭, 조사량 배정, 및 등가성 첵킹(equivalence checking)을 반복하여 실시할 수도 있다. 이러한 단계들은 보강된 등가 마스크 디자인을 준비할 경우에 도움이 될 것이다.
마스크가 보강되면, VSB 샷들의 세트와 같은 등가 마스크 디자인이 단계(30)에서 생성된다. 등가 마스크 디자인이 마스크 디자인과 실질적으로 등가성인지를 측정하는데 사용될 수 있는 테스트를 위한 2가지의 모티베이션이 있다. 하나의 모티베이션은 마스크 검사에 통과하는 것이다. 다른 하나의 모티베이션은 칩 또는 집적회로가 제작되었을 때 그들이 적절히 기능하는지를 확인하는 것이다. 패턴 매칭 작업이 매칭되었음을 선언하는데 대한 정확도(closeness)는 등가 기준의 세트에 의해 측정할 수 있다. 등가성 기준은 적어도 부분적으로는 리소-등가성(litho-equivalence)에 의해 공급될 수 있다. 리소-등가성은 예정된 기하학적 규칙의 세트, 및 매칭, 부분 매칭 또는 전혀 매칭되지 않았음을 선언하는 수학 방정식의 세트에 의해 측정하거나, 또는 마스크 디자인의 리소그래피 시뮬레이션 및 등가의 마스크 디자인의 리소그래피 시뮬레이션을 실시한 다음 예정된 기하학적 규칙을 이용하여 거기에서 얻은 2개의 결과를 비교하여 측정하거나, 또는 매칭, 부분 매칭 또는 전혀 매칭되지 않았음을 선언하는 수학 방정식의 세트에 의해 측정할 수 있다. MDP 단계(308)는 생성되는 등가 마스크 디자인(310)이 등가성 기준을 용인하도록 유지하면서 글리프들 또는 파라미터화된 글리프들의 예정된 세트를 이용하여 샷 계수 또는 라이팅 시간에 대해 최적화할 수 있다. 또 다른 실시태양에서, OPC 및 MDP는 보정-구축 방법으로 조합할 수 있는데, 이 경우에는 마스크 디자인(306)이 등가의 마스크 디자인(310)과 별도로 생성될 수 없다.
등가의 마스크 디자인을 보정하는 것으로 결정되면, 전자 빔 라이터 시스템과 같은 하전 입자 빔 라이터 시스템내에서 표면을 준비한다. 이 단계는 단계(314)로서 확인된다. 전자 빔 라이터 시스템은 스텐실 마스크내의 개구를 통하여 전자들의 빔을 표면상으로 투사하여 표면상에 패턴들을 형성시킨다. 단계(316)에서 표면이 완성되는데, 이것이 마스크 이미지이다. 이어서, 완성된 표면이 단계(318)에 나타나 있는 광학 리소그래피 기계에서 이용되어 표면상에서 확인된 패턴들이 실리콘 웨이퍼와 같은 기판으로 전사되어 집적회로가 제조될 수 있다. 마지막으로, 단계(320)에서, 반도체 웨이퍼와 같은 기판이 생성된다. 글리프 생성 단계(326)는 단계(328)내의 글리프들 또는 파라미터화된 글리프들의 세트에 대한 정보를 제공한다. 상술된 바와 같이, 글리프 생성 단계(326)는 입자 빔 시뮬레이션을 이용할 수 있다. 또한, 논의된 바와 같이, 글리프 또는 파라미터화된 글리프 단계(328)는 OPC 단계(304) 또는 MDP 단계(308)에 정보를 제공한다.
다시 도 11A를 참조하면, 상기에서 논의된 바와 같이, 하나의 실시태양에서 OPC 단계(254)는 MDP 단계(258)의 다양한 기능들을 포함할 수 있다. 광학 근접 보정 시스템은 미리 컴퓨터 계산되거나 미리 계산된 글리프들의 큰 라이브러리와 함께 시작할 수 있다. 이어서, 광학 근접 보정 시스템은 레티클 디자인에 대해 집적회로의 본래의 물리적 디자인의 광학 근접 보정 변환을 실시하는데 가능한 한 많이 유용한 글리프들을 사용할 수 있다. 글리프들은 연관된 샷 계수 및 라이팅 시간 최적화 값 또는 값들로 각각 마킹할 수 있으며, 더 낮은 샷 계수 또는 라이팅 시간을 선택함으로써 광학 근접 보정 시스템, 마스크 데이터 준비 시스템, 또는 몇몇 독립적인 프로그램을 샷 계수 또는 라이팅 시간에 대해 최적화할 수 있다. 이러한 최적화는 각각의 글리프가 패턴과 매칭되도록 글리프들을 선택하는 특정의 순서로 샷 계수 또는 라이팅 시간를 선택하기 위한 최상의 글리프를 선택하는 것을 최적화하도록 선택되는 경우에는 그리디한 방식(greedy manner)으로, 또는 글리프 선택의 교환이 총괄 샷 계수 또는 라이팅 시간을 최적화하는 경우에는 시뮬레이션된 어니일링과 같은 반복적인 최적화 방식으로 실시할 수 있다. 몇몇 목적하는 패턴들은 글리프들의 유용한 세트를 이용하여 레티클상에 형성시키지 못할 수도 있으며, 이러한 패턴들은 특정의 미리 컴퓨터 계산된 글리프의 일부분이 아닌 개별적인 VSB 샷들을 이용하여 형성시킬 필요가 있다.
이제 도 15를 참조하여 보면, 실리콘 웨이퍼와 같은 기판상에 직접 라이팅된 표면을 제조하는 방법의 또 다른 개념적 흐름도(700)가 나타나 있다. 제 1 단계(702)에서, 집적회로의 물리적 디자인과 같은 물리적 디자인을 결정한다. 이는 설계자가 기판상에 전사하기를 원하는 이상 패턴일 수 있다. 이어서, 단계(704)에서, 근접 효과 보정(PEC), 및 기타 다른 데이터 준비(DP) 단계들을 실시하여 기판 라이팅 시스템에 대한 입력 데이터를 준비하는데, 이때 물리적 디자인의 결과는 약간 다른 다수의 패턴들을 함유한다. 단계(704)는 또한 단계(724)로부터 입력가능한 글리프들 또는 파라미터화된 글리프들을 포함할 수도 있는데, 이때 상기 글리프들은 VSB 샷들을 기본으로 하며, 상기 글리프들은 글리프 발생 단계(722) 내에서의 샷 조사량을 변화시키거나 또는 샷 위치을 변화시켜 계산함으로써 결정한다. 단계(704)는 또한 글리프들의 선택된 세트의 조합을 기준하여 웨이퍼상의 패턴을 계산하고 글리프들의 세트를 변화시킴으로써 단계(702)내에서 생성된 물리적 디자인에 거의 매칭되는 계산된 웨이퍼 패턴을 생성시키는 작업을 포함할 수도 있다. 보정-구축 "결정적(deterministic)" 계산을 실시하는 경우에는 잠정적으로는 단지 일회 반복하는 것으로 포함하여, 패턴 매칭, 조사량 배정, 및 등가성 첵킹을 반복하여 실시할 수도 있다. 단계(704)의 결과가 웨이퍼 라이팅 지침들(706)의 세트이다. 이어서, 웨이퍼 라이팅 지침들(706)을 이용하여 전자 빔 라이터 시스템과 같은 웨이퍼 라이터 기계내에서 웨이퍼를 제조한다. 이러한 단계는 단계(710)으로 확인된다. 상기 전자 빔 라이터 시스템은 전자들의 빔을 조정가능한 개구를 통하여 표면상으로 투사하여 표면내에 패턴들을 형성시킨다. 상기 표면은 단계(712)에서 완성된다. 글리프 발생 단계(722)는 단계(724)에서 글리프들 또는 파라미터화된 글리프들의 세트에 정보를 제공한다. 글리프들 또는 파라미터화된 글리프들 단계(724)는 PEC 및 데이터 준비 단계(704)에 정보를 제공한다. 단계(710)는 실리콘 웨이퍼상에 집적회로를 생성시키기 위하여 프로세싱의 각각의 층에서 요구되는 바와 같이 반복 적용하는 단계를 포함할 수 있는데, 이때 상기 층의 일부는 도 11A 및 도 12와 관련하여 기술된 방법을 이용하여 처리한 것이고, 나머지는 도 15와 관련하여 상기에서 개략적으로 기술된 방법을 이용하여 처리하거나, 또는 다른 웨이퍼 라이팅 방법을 이용하여 생성시킨 것이다.
글리프 생성 단계는 샷 또는 샷들의 그룹을 위한 조사량 맵을 계산한 다음, 향후에 사용하기 위하여 샷 정보 및 계산된 조사량 맵을 저장하는 공정이다. 계산된 조사량 맵은 글리프를 포함하는 샷들로 부터 레지스트-코팅된 표면에 의해 수용되는 조사량을 나타낸다. 도 13A 및 B는 광학 근접 보정, 프랙처어링, 근접 효과 보정, 또는 마스크 데이터 준비의 기타 다른 단계들에 의해 이용될 수 있는 글리프들의 실례들을 도시한 것이다. 도 13A는 하나의 직사각형 VSB 샷의 2-차원 조사량 맵(600)의 일례를 도시한 것이다. 샷의 명목적인 윤곽(602)도 또한 나타나 있다. 본 실시예에서의 VSB 샷의 명목 조사량은 명목 조사량의 1.0 배이다. 각각의 그리드에 나타낸 값은 명목 조사량의 계산된 분율을 나타내며, 이때 표면은 표면상의 X, Y 표본점에서 수용할 것이다. 알 수 있는 바와 같이, VSB 샷의 명목 경계 외측에서 의미있는 에너지가 기록된다. 이 글리프가 0.6의 레지스트 임계치를 갖는 레지스트-코팅된 표면상의 샷이고 다른 샷들은 의미있는 조사량에 전혀 기여하지 않는 경우, 레지스트는 직사각형 샷 윤곽(602)와 유사한 패턴을 기록할 것이다. 도 13B는 단일의 정사각형 VSB 샷의 글리프 조사량 맵(620)의 일례를 도시한 것으로 명목 샷 윤곽(622)도 또한 나타나 있다. 본 실시예에서의 샷의 명목 조사량은 명목 조사량의 0.6 배이다. 이 글리프가 0.5의 레지스트 임계치를 갖는 레지스트-코팅된 표면상의 샷이고 다른 샷들은 의미있는 조사량에 전혀 기여하지 않는 경우, 레지스트는 모든 측면에서 샷 윤곽보다 조금 작은 패턴을 기록할 것이며, 이때 상기 패턴의 코너들은 둥글다. 조사량 그리드들(600 및 620)은 단지 예시를 위한 것이며, 실제보다 더 조잡하다. 표면의 등급에서 1nm 과 40nm 사이의 그리드 크기가 현대의 반도체 공정을 위한 글리프 조사량들을 계산하는데 유용하다. 부가적으로, 글리프 생성의 하나의 실시태양에서, 조사량들은 (600 및 620)에 나타나 있는 바와 같은 2-차원 조사량 맵으로서 저장될 수 없지만, 조사량 값들의 2-차원 세트를 생성하기 위한 지침들의 세트로서 저장될 수 있다.
도 14A 및 B는 파라미터화된 글리프의 하나의 실시예를 도시한 것이다. 도 14A에 도시된 조사량 맵(802)은 폭(812)을 갖는 직사각형 샷(804) 또는 본 실시예에서는 8개의 그리드 유닛들에 대한 것이다. 2개의 수직선들(806 및 808)은 폭(810)을 갖는 조사량 맵 또는 본 실시예에서는 4개의 그리드 유닛들의 영역을 한정한다. 조사량 맵(802)의 상기 영역(810)내에서, 각각의 열에서의 모든 그리드 정사면체는 동일한 조사량 값을 갖는다. 도 14B는 폭(832)을 갖는 직사각형 샷(824) 또는 본 실시예에서는 12개의 그리드 유닛들에 대한 조사량 맵(820)을 도시한 것이다. 조사량 맵(802)이 수직선들(806 및 808) 사이에서 함유하는 그리드 컬럼보다 조사량 맵(820)이 수직선들(826 및 828) 사이에서 4개 이상의 그리드 컬럼을 더 함유한다는 것을 제외하고는, 조사량 맵(820)은 그리드 정사면체의 조사량 값들을 포함하여 조사량 맵(802)와 유사하다. 조사량 맵(820)의 이러한 "신축가능한(stretchable)" 부분은 폭(830) 또는 본 실시예에서는 8개의 그리드 유닛들을 갖는다. 도 14A의 라인(806)과 (808) 사이 또는 도 14B의 라인(826)과 (828) 사이의 영역과 같은 신축가능한 치수를 따라 조사량이 동일한 경우에 신축가능하거나 파라메타화가능한 영역을 확인함으로써, 샷들(804 및 824)과 동일한 높이를 갖는 직사각형 샷에 대한 조사량 맵이 폭(812)보다 더 큰 임의의 폭을 갖는 샷들에 대해서도 생성될 수 있다. 하전 입자 빔 시스템의 한계는 조사량 맵을 생성시키는데 이용될 수 있는 이러한 방법으로 직사각형 샷들의 크기를 더 제한할 수 있다. 다른 실시태양에서는, 조사량 맵내의 반복된 조사량 패턴은 도 14A 및 B의 실시예와 같은 연속적인 길이를 갖기 보다는 단지 불연속적인 길이를 갖는 단일의 샷들 또는 샷들의 그룹에 대해서도 조사량 맵을 생성시킬 수 있다. 본 실시예는 파라미터화된 글리프에 대한 조사량 맵을 생성시킬 수 있는 방법을 보여준다. 다른 실시태양에서는, 높이 또는 직경과 같은 다른 치수들이 파라미터화될 수 있다.
본 명세서는 특정 실시태양에 대하여 상세히 기술하였지만, 본 기술분야의 전문가들이 상술한 내용을 이해하였다면 이들 실시태양에 대한 개조, 변경 및 등가물을 쉽게 인지할 수 있을 것이다. 본 기술 분야의 전문가들은 본 발명, 특히 첨부된 특허청구의 범위에 설명된 본 발명의 사상 및 범주를 벗어나지 않고서도 가변 성형 빔 리소그래피를 이용하여 레티클을 설계하고 제조하는 본 발명의 시스템 및 방법에 대한 이들 및 기타 다른 개선 및 변경을 실시할 수 있다. 또한, 본 기술 분야의 전문가들은 전술한 설명이 단지 예시이지 본 발명을 국한하려는 것이 아님을 인지할 것이다. 따라서, 본 발명은 첨부된 특허청구의 범위 및 그들의 등가물의 범주에 속하는 개선 및 변경을 포함하는 것으로 이해되어야 한다.

Claims (25)

  1. 프랙처어링(fracturing) 또는 마스크 데이터 준비 또는 근접 효과 보정을 위한 방법으로서,
    레티클상에 형성될 목적하는 패턴(desired pattern)을 입력하는 단계; 및
    다수의 비-오버랩핑 가변 성형 빔(VSB)(non-overlapping variable shaped beam(VSB)) 샷들을 결정하는 단계 ― 상기 다수의 VSB 샷들의 특정 서브세트(subset)의 집합체(union)는 상기 목적하는 패턴과 다르되, 상기 서브세트내의 각각의 샷은 오버사이징(oversized)되거나 또는 언더사이징(undersized)되거나 또는 본래-결정된 크기임 ― 를 포함하는
    프랙처어링(fracturing) 또는 마스크 데이터 준비 또는 근접 효과 보정을 위한 방법.
  2. 제 1 항에 있어서,
    상기 다수의 VSB 샷들로부터 상기 레티클상의 계산된 패턴을 계산하는 단계; 및
    상기 계산된 패턴이 예정된 허용오차보다 많이 상기 목적하는 패턴과 다른 경우에 상기 다수의 VSB 샷들을 교정하고 상기 계산된 패턴을 다시 계산하는 단계를 더 포함하는
    프랙처어링 또는 마스크 데이터 제조 또는 근접 효과 보정을 위한 방법.
  3. 제 1 항에 있어서,
    상기 계산 단계가 하전 입자 빔 시뮬레이션을 포함하는
    프랙처어링 또는 마스크 데이터 제조 또는 근접 효과 보정을 위한 방법.
  4. 제 3 항에 있어서,
    상기 하전 입자 빔 시뮬레이션이 전방 산란, 후방 산란, 레지스트 확산, 쿨롱 효과, 에칭, 연무살포(fogging), 로딩 및 레지스트 하전으로 이루어진 군중의 하나 이상을 포함하는
    프랙처어링 또는 마스크 데이터 제조 또는 근접 효과 보정을 위한 방법.
  5. 제 1 항에 있어서,
    상기 목적하는 패턴이 곡선을 이루는
    프랙처어링 또는 마스크 데이터 제조 또는 근접 효과 보정을 위한 방법.
  6. 제 1 항에 있어서,
    각각의 VSB 샷이 조사량(doses)을 포함하며,
    상기 VSB 샷들의 상기 조사량이 서로에 대해 변하는
    프랙처어링 또는 마스크 데이터 제조 또는 근접 효과 보정을 위한 방법.
  7. 제 1 항에 있어서,
    상기 결정하는 단계 및 교정하는 단계중의 하나 이상의 단계가 상기 다수의 VSB 샷들을 결정하기 위하여 최적화 기법을 이용하는 단계를 포함하는
    프랙처어링 또는 마스크 데이터 제조 또는 근접 효과 보정을 위한 방법.
  8. 제 7 항에 있어서,
    상기 다수의 VSB 샷들의 수가 최소화되는
    프랙처어링 또는 마스크 데이터 제조 또는 근접 효과 보정을 위한 방법.
  9. 제 7 항에 있어서,
    예정된 최대치보다 더 큰 종횡비를 갖는 상기 다수의 VSB 샷들의 수가 최소화되는
    프랙처어링 또는 마스크 데이터 제조 또는 근접 효과 보정을 위한 방법.
  10. 제 1 항에 있어서,
    글리프들(glyphs)의 세트를 입력하는 단계를 더 포함하고,
    상기 결정 단계에서, 상기 샷들의 세트가 글리프를 포함하는
    프랙처어링 또는 마스크 데이터 제조 또는 근접 효과 보정을 위한 방법.
  11. 하전 입자 빔 리소그래피를 이용하여 레티클을 제조하는 방법으로서,
    상기 레티클상에 형성될 목적하는 패턴을 입력하는 단계;
    다수의 비-오버랩핑 가변 성형 빔(VSB) 샷들을 결정하는 단계 ― 상기 다수의 VSB 샷들의 임의의 서브세트의 집합체는 상기 목적하는 패턴과 다르되, 상기 서브세트내의 각각의 샷은 오버사이징되거나 또는 언더사이징되거나 또는 본래-결정된 크기임 ―; 및
    상기 다수의 VSB 샷들을 사용하여 상기 레티클상에 상기 패턴을 형성하는 단계를 포함하는
    하전 입자 빔 리소그래피를 이용하여 레티클을 제조하는 방법.
  12. 제 11 항에 있어서,
    상기 다수의 VSB 샷들로부터 상기 레티클상의 계산된 패턴을 계산하는 단계; 및
    상기 계산된 패턴이 예정된 허용오차보다 많이 상기 목적하는 패턴과 다른 경우에 상기 다수의 VSB 샷들을 교정하고 상기 계산된 패턴을 다시 계산하는 단계를 더 포함하는
    하전 입자 빔 리소그래피를 이용하여 레티클을 제조하는 방법.
  13. 제 11 항에 있어서,
    상기 계산 단계가 하전 입자 빔 시뮬레이션을 포함하는
    하전 입자 빔 리소그래피를 이용하여 레티클을 제조하는 방법.
  14. 제 13 항에 있어서,
    상기 하전 입자 빔 시뮬레이션이 전방 산란, 후방 산란, 레지스트 확산, 쿨롱 효과, 에칭, 연무살포, 로딩 및 레지스트 하전으로 이루어진 군중의 하나 이상을 포함하는
    하전 입자 빔 리소그래피를 이용하여 레티클을 제조하는 방법.
  15. 제 11 항에 있어서,
    상기 목적하는 패턴이 곡선을 이루는
    하전 입자 빔 리소그래피를 이용하여 레티클을 제조하는 방법.
  16. 제 11 항에 있어서,
    각각의 VSB 샷이 조사량을 포함하며,
    상기 VSB 샷들의 상기 조사량이 서로에 대해 변하는
    하전 입자 빔 리소그래피를 이용하여 레티클을 제조하는 방법.
  17. 제 11 항에 있어서,
    상기 결정하는 단계 및 교정하는 단계중의 하나 이상의 단계가 상기 다수의 VSB 샷들을 결정하기 위하여 최적화 기법을 이용하는 단계를 포함하는
    하전 입자 빔 리소그래피를 이용하여 레티클을 제조하는 방법.
  18. 제 17 항에 있어서,
    상기 다수의 VSB 샷들의 수가 최소화되는
    하전 입자 빔 리소그래피를 이용하여 레티클을 제조하는 방법.
  19. 제 11 항에 있어서,
    상기 목적하는 패턴이 대칭인 경우에 상기 다수의 VSB 샷들을 강제로 대칭으로 만드는
    하전 입자 빔 리소그래피를 이용하여 레티클을 제조하는 방법.
  20. 제 11 항에 있어서,
    상기 결정 단계가 보정-구축(correction-by-construction) 결정 기법을 이용하는
    하전 입자 빔 리소그래피를 이용하여 레티클을 제조하는 방법.
  21. 패턴을 기판으로 전사하기 위하여 광학 리소그래피 공정에서 이용되는 표면상에 형성될 패턴을 포함하는 디자인의 광학 근접 보정(OPC)(optical proximity correction)을 위한 방법으로서,
    기판용의 목적하는 패턴을 입력하는 단계; 및
    다수의 비-오버랩핑 가변 성형 빔(VSB) 샷들을 결정하는 단계 ― 상기 다수의 VSB 샷들의 임의의 서브세트의 집합체는 상기 기판용의 상기 목적하는 패턴의 OPC-보정된 버전과 다르되, 상기 서브세트내의 각각의 샷은 오버사이징되거나 또는 언더사이징되거나 또는 본래-결정된 크기임 ―를 포함하는
    표면상에 형성될 패턴을 포함하는 디자인의 광학 근접 보정(OPC)을 위한 방법.
  22. 제 21 항에 있어서,
    상기 다수의 VSB 샷들로부터 상기 표면상의 계산된 패턴을 계산하는 단계; 및
    상기 계산된 패턴이 예정된 허용오차보다 많이 상기 기판용의 상기 목적하는 패턴의 OPC-보정된 버전과 다른 경우에 상기 다수의 VSB 샷들을 교정하고 상기 계산된 패턴을 다시 계산하는 단계를 더 포함하는
    표면상에 형성될 패턴을 포함하는 디자인의 광학 근접 보정(OPC)을 위한 방법.
  23. 제 21 항에 있어서,
    각각의 VSB 샷이 조사량을 포함하며,
    상기 VSB 샷들의 상기 조사량이 서로에 대해 변하는
    표면상에 형성될 패턴을 포함하는 디자인의 광학 근접 보정(OPC)을 위한 방법.
  24. 프랙처어링 또는 마스크 데이터 준비 또는 근접 효과 보정을 위한 시스템으로서,
    레티클상에 형성될 목적하는 패턴을 수용할 수 있는 입력 장치; 및
    다수의 비-오버랩핑 가변 성형 빔(VSB) 샷들을 결정할 수 있는 계산 장치 ― 상기 다수의 VSB 샷들의 임의의 서브세트의 집합체는 상기 목적하는 패턴과 다르되, 상기 서브세트내의 각각의 샷은 오버사이징되거나 또는 언더사이징되거나 또는 본래-결정된 크기임 ―를 포함하는
    프랙처어링 또는 마스크 데이터 준비 또는 근접 효과 보정을 위한 시스템.
  25. 제 24 항에 있어서,
    각각의 VSB 샷이 조사량을 포함하며,
    상기 VSB 샷들의 상기 조사량이 서로에 대해 변하는
    프랙처어링 또는 마스크 데이터 준비 또는 근접 효과 보정을 위한 시스템.
KR1020117007511A 2008-09-01 2009-08-18 가변 성형 빔 리소그래피를 이용하여 레티클을 설계 및 제조하기 위한 방법 KR101671322B1 (ko)

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
US12/202,364 US7759026B2 (en) 2008-09-01 2008-09-01 Method and system for manufacturing a reticle using character projection particle beam lithography
US12/202,364 2008-09-01
US12/473,241 US7754401B2 (en) 2008-09-01 2009-05-27 Method for manufacturing a surface and integrated circuit using variable shaped beam lithography
US12/473,241 2009-05-27
US22520709P 2009-07-13 2009-07-13
US61/225,207 2009-07-13
US12/540,323 2009-08-12
US12/540,323 US7799489B2 (en) 2008-09-01 2009-08-12 Method for design and manufacture of a reticle using variable shaped beam lithography
PCT/US2009/054229 WO2010025060A2 (en) 2008-09-01 2009-08-18 Method for design and manufacture of a reticle using variable shaped beam lithography

Publications (2)

Publication Number Publication Date
KR20110063806A true KR20110063806A (ko) 2011-06-14
KR101671322B1 KR101671322B1 (ko) 2016-11-01

Family

ID=41722227

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020117007511A KR101671322B1 (ko) 2008-09-01 2009-08-18 가변 성형 빔 리소그래피를 이용하여 레티클을 설계 및 제조하기 위한 방법

Country Status (6)

Country Link
US (1) US7799489B2 (ko)
EP (1) EP2321839A2 (ko)
JP (1) JP5797556B2 (ko)
KR (1) KR101671322B1 (ko)
TW (1) TWI467402B (ko)
WO (1) WO2010025060A2 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102012105772A1 (de) 2011-06-29 2013-01-03 Samsung Electronics Co., Ltd. Halbleiter-Leuchtdiodenvorrichtungs-Verpackung
US10012900B2 (en) 2015-09-22 2018-07-03 Samsung Electronics Co., Ltd. Method of correcting mask pattern and method of manufacturing reticle

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120219886A1 (en) 2011-02-28 2012-08-30 D2S, Inc. Method and system for forming patterns using charged particle beam lithography with variable pattern dosage
US8057970B2 (en) 2008-09-01 2011-11-15 D2S, Inc. Method and system for forming circular patterns on a surface
US9341936B2 (en) 2008-09-01 2016-05-17 D2S, Inc. Method and system for forming a pattern on a reticle using charged particle beam lithography
US20120221985A1 (en) * 2011-02-28 2012-08-30 D2S, Inc. Method and system for design of a surface to be manufactured using charged particle beam lithography
US8473875B2 (en) 2010-10-13 2013-06-25 D2S, Inc. Method and system for forming high accuracy patterns using charged particle beam lithography
US20130070222A1 (en) * 2011-09-19 2013-03-21 D2S, Inc. Method and System for Optimization of an Image on a Substrate to be Manufactured Using Optical Lithography
US9323140B2 (en) 2008-09-01 2016-04-26 D2S, Inc. Method and system for forming a pattern on a reticle using charged particle beam lithography
US7901850B2 (en) 2008-09-01 2011-03-08 D2S, Inc. Method and system for design of a reticle to be manufactured using variable shaped beam lithography
US9448473B2 (en) 2009-08-26 2016-09-20 D2S, Inc. Method for fracturing and forming a pattern using shaped beam charged particle beam lithography
US8703389B2 (en) 2011-06-25 2014-04-22 D2S, Inc. Method and system for forming patterns with charged particle beam lithography
WO2012118616A2 (en) * 2011-02-28 2012-09-07 D2S, Inc. Method and system for forming patterns using charged particle beam lithography
US9612530B2 (en) 2011-02-28 2017-04-04 D2S, Inc. Method and system for design of enhanced edge slope patterns for charged particle beam lithography
US9057956B2 (en) 2011-02-28 2015-06-16 D2S, Inc. Method and system for design of enhanced edge slope patterns for charged particle beam lithography
US8524427B2 (en) * 2011-04-14 2013-09-03 Taiwan Semiconductor Manufacturing Company, Ltd. Electron beam lithography system and method for improving throughput
JP5810642B2 (ja) * 2011-06-06 2015-11-11 大日本印刷株式会社 マスクデータ生成方法及びそれを用いたマスクの製造方法
US9034542B2 (en) 2011-06-25 2015-05-19 D2S, Inc. Method and system for forming patterns with charged particle beam lithography
JP6039910B2 (ja) * 2012-03-15 2016-12-07 キヤノン株式会社 生成方法、プログラム及び情報処理装置
JP6234998B2 (ja) 2012-04-18 2017-11-22 ディー・ツー・エス・インコーポレイテッドD2S, Inc. 荷電粒子ビームリソグラフィを用いてパターンを形成するための方法およびシステム
US9343267B2 (en) 2012-04-18 2016-05-17 D2S, Inc. Method and system for dimensional uniformity using charged particle beam lithography
WO2013158574A1 (en) 2012-04-18 2013-10-24 D2S, Inc. Method and system for critical dimension uniformity using charged particle beam lithography
US8835082B2 (en) * 2012-07-31 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for E-beam lithography with multi-exposure
US8959463B2 (en) * 2012-11-08 2015-02-17 D2S, Inc. Method and system for dimensional uniformity using charged particle beam lithography
US8812999B2 (en) 2013-01-02 2014-08-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system of mask data preparation for curvilinear mask patterns for a device
US8984451B2 (en) * 2013-02-22 2015-03-17 Aselta Nanographics Free form fracturing method for electronic or optical lithography
US8949750B2 (en) 2013-03-13 2015-02-03 D2S, Inc. Method and system for forming a diagonal pattern using charged particle beam lithography
US8865377B2 (en) 2013-03-13 2014-10-21 D2S, Inc. Method and system for forming a diagonal pattern using charged particle beam lithography
US9081289B2 (en) * 2013-03-15 2015-07-14 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for optimization of an imaged pattern of a semiconductor device
EP3037878B1 (en) * 2014-12-23 2020-09-09 Aselta Nanographics Method of applying vertex based corrections to a semiconductor design
CN104794262B (zh) * 2015-03-30 2017-10-31 西安电子科技大学 一种基于机电集成的星载网状天线赋形波束设计方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0536595A (ja) * 1991-08-02 1993-02-12 Fujitsu Ltd 電子線露光方法
JP2006294794A (ja) * 2005-04-08 2006-10-26 Toshiba Corp 電子ビーム露光装置および電子ビーム露光方法
KR20070082031A (ko) * 2006-02-14 2007-08-20 가부시키가이샤 뉴플레어 테크놀로지 패턴 작성 방법 및 하전 입자빔 묘화 장치

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4712013A (en) * 1984-09-29 1987-12-08 Kabushiki Kaisha Toshiba Method of forming a fine pattern with a charged particle beam
JP2680074B2 (ja) * 1988-10-24 1997-11-19 富士通株式会社 荷電粒子ビーム露光を用いた半導体装置の製造方法
JPH04155337A (ja) 1990-10-18 1992-05-28 Nec Corp フォトマスクの製造方法
JP3454983B2 (ja) * 1995-08-25 2003-10-06 株式会社東芝 荷電ビーム描画方法
KR0165524B1 (ko) * 1996-07-16 1999-03-20 김광호 포토리소그래피 공정의 노광방법
JP3085454B2 (ja) * 1997-03-13 2000-09-11 日本電気株式会社 荷電粒子線露光方法
JPH10289861A (ja) * 1997-04-16 1998-10-27 Nikon Corp マスクパターン形成方法
US6610989B1 (en) * 1999-05-31 2003-08-26 Fujitsu Limited Proximity effect correction method for charged particle beam exposure
KR100327343B1 (ko) * 2000-01-12 2002-03-06 윤종용 전자빔 리소그래피시 재산란된 전자빔에 의한 선폭변화를보정하는 방법 및 이를 기록한 기록매체
JP2002075830A (ja) * 2000-08-29 2002-03-15 Nikon Corp 荷電粒子線露光方法、レチクル及びデバイス製造方法
JP3807909B2 (ja) * 2000-09-01 2006-08-09 富士通株式会社 荷電粒子ビーム露光方法及び荷電粒子ビーム露光装置
EP1249734B1 (en) * 2001-04-11 2012-04-18 Fujitsu Semiconductor Limited Rectangle/lattice data conversion method for charged particle beam exposure mask pattern and charged particle beam exposure method
US6982135B2 (en) * 2003-03-28 2006-01-03 Taiwan Semiconductor Manufacturing Co., Ltd. Pattern compensation for stitching
US7536664B2 (en) * 2004-08-12 2009-05-19 International Business Machines Corporation Physical design system and method
JP4398342B2 (ja) * 2004-10-06 2010-01-13 株式会社東芝 荷電ビーム描画装置及び描画方法
US20060292501A1 (en) * 2005-06-24 2006-12-28 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography process with an enhanced depth-on-focus
JP4476975B2 (ja) * 2005-10-25 2010-06-09 株式会社ニューフレアテクノロジー 荷電粒子ビーム照射量演算方法、荷電粒子ビーム描画方法、プログラム及び荷電粒子ビーム描画装置
JP4773224B2 (ja) * 2006-02-14 2011-09-14 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画装置、荷電粒子ビーム描画方法及びプログラム
JP4814651B2 (ja) * 2006-02-22 2011-11-16 富士通セミコンダクター株式会社 荷電粒子ビーム露光方法及びそれに用いられるプログラム
US20070280526A1 (en) * 2006-05-30 2007-12-06 Irfan Malik Determining Information about Defects or Binning Defects Detected on a Wafer after an Immersion Lithography Process is Performed on the Wafer
JP4843425B2 (ja) 2006-09-06 2011-12-21 エルピーダメモリ株式会社 可変成形型電子ビーム描画装置
US7953582B2 (en) * 2006-11-21 2011-05-31 Cadence Design Systems, Inc. Method and system for lithography simulation and measurement of critical dimensions
US7897522B2 (en) * 2006-11-21 2011-03-01 Cadence Design Systems, Inc. Method and system for improving particle beam lithography
US7902528B2 (en) * 2006-11-21 2011-03-08 Cadence Design Systems, Inc. Method and system for proximity effect and dose correction for a particle beam writing device
US7579606B2 (en) * 2006-12-01 2009-08-25 D2S, Inc. Method and system for logic design for cell projection particle beam lithography

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0536595A (ja) * 1991-08-02 1993-02-12 Fujitsu Ltd 電子線露光方法
JP2006294794A (ja) * 2005-04-08 2006-10-26 Toshiba Corp 電子ビーム露光装置および電子ビーム露光方法
KR20070082031A (ko) * 2006-02-14 2007-08-20 가부시키가이샤 뉴플레어 테크놀로지 패턴 작성 방법 및 하전 입자빔 묘화 장치

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102012105772A1 (de) 2011-06-29 2013-01-03 Samsung Electronics Co., Ltd. Halbleiter-Leuchtdiodenvorrichtungs-Verpackung
US10012900B2 (en) 2015-09-22 2018-07-03 Samsung Electronics Co., Ltd. Method of correcting mask pattern and method of manufacturing reticle

Also Published As

Publication number Publication date
TWI467402B (zh) 2015-01-01
US20100055581A1 (en) 2010-03-04
WO2010025060A2 (en) 2010-03-04
KR101671322B1 (ko) 2016-11-01
TW201017454A (en) 2010-05-01
JP5797556B2 (ja) 2015-10-21
EP2321839A2 (en) 2011-05-18
US7799489B2 (en) 2010-09-21
JP2012501475A (ja) 2012-01-19
WO2010025060A3 (en) 2010-04-29

Similar Documents

Publication Publication Date Title
KR101671322B1 (ko) 가변 성형 빔 리소그래피를 이용하여 레티클을 설계 및 제조하기 위한 방법
JP5970052B2 (ja) 可変整形ビームリソグラフィを用いたレチクルの光近接効果補正、設計、および製造のための方法
TWI605302B (zh) 使用帶電粒子束微影術之用於臨界尺寸一致性之方法
US9274412B2 (en) Method and system for design of a reticle to be manufactured using variable shaped beam lithography
US7981575B2 (en) Method for optical proximity correction of a reticle to be manufactured using variable shaped beam lithography
US7754401B2 (en) Method for manufacturing a surface and integrated circuit using variable shaped beam lithography
US8431914B2 (en) Method and system for manufacturing a surface using charged particle beam lithography with variable beam blur
JP2015043472A (ja) 2次元ドーズマップおよび荷電粒子ビームリソグラフィを用いたレチクルの設計および製造のための方法
TW201314484A (zh) 用於最佳化待使用光學微影術製造之基板上之影像的方法及系統
US9091946B2 (en) Method and system for forming non-manhattan patterns using variable shaped beam lithography
US9164372B2 (en) Method and system for forming non-manhattan patterns using variable shaped beam lithography

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20190930

Year of fee payment: 4