TWI450772B - 將非金屬沉積物從含鋁基板移除之非破壞性及選擇性沉積移除方法 - Google Patents

將非金屬沉積物從含鋁基板移除之非破壞性及選擇性沉積移除方法 Download PDF

Info

Publication number
TWI450772B
TWI450772B TW099105853A TW99105853A TWI450772B TW I450772 B TWI450772 B TW I450772B TW 099105853 A TW099105853 A TW 099105853A TW 99105853 A TW99105853 A TW 99105853A TW I450772 B TWI450772 B TW I450772B
Authority
TW
Taiwan
Prior art keywords
panel
solution
aluminum
sdr
holes
Prior art date
Application number
TW099105853A
Other languages
English (en)
Other versions
TW201032911A (en
Inventor
Liyuan Bao
Anbei Jiang
Sio On Lo
Yukari Nishimura
Joseph F Sommers
Samantha S H Tan
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201032911A publication Critical patent/TW201032911A/zh
Application granted granted Critical
Publication of TWI450772B publication Critical patent/TWI450772B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4407Cleaning of reactor or reactor parts by using wet or mechanical methods
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/02Cleaning or pickling metallic material with solutions or molten salts with acid solutions
    • C23G1/12Light metals
    • C23G1/125Light metals aluminium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P20/00Technologies relating to chemical industry
    • Y02P20/50Improvements relating to the production of bulk chemicals
    • Y02P20/582Recycling of unreacted starting or intermediate materials

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Detergent Compositions (AREA)
  • Cleaning And De-Greasing Of Metallic Materials By Chemical Methods (AREA)

Description

將非金屬沉積物從含鋁基板移除之非破壞性及選擇性沉積移除方法
本專利申請案係關於及主張美國專利申請案第12/709,369號(2010年2月19日申請)之優先權利益,該案主張美國臨時專利申請案第61/156,728號(2009年3月2日申請)之優先權利益。
本發明具體上關於腔室元件清潔及翻新之領域。
半導體裝置之製造通常包含形成複數之層,包括非金屬層,例如層間介電質、蝕刻停止層、及保護層。許多這些層通常使用化學氣相沉積(CVD)法沉積,此沉積法在內部腔室元件(例如面板)亦形成沉積物種之沉積物,亦已知如氣體分布組件之噴器頭。
沉積物必須常規地從內部腔室表面移除,以便使其不成為污染源,可使用電漿清潔化學作用,以就地清潔腔室元件。或者,腔室元件可自腔室移出,並於溶液中異地清潔。此類清潔溶液通常包含硝酸(HNO3 )及氫氟酸(HF)之化學物質。
本發明之實施例揭示一種清潔溶液及用於自腔室元件移除沉積物之方法。
在一實施例中,清潔溶液為一種選擇性沉積移除(SDR)溶液,以選擇性自含鋁基板移除非金屬沉積物。在一實施例中,SDR溶液包含至少一種酸、供應鋞離子之pH緩衝液、C2 至C6 鏈之直鏈或支鏈-二醇或-三醇以保護含鋁基板免於酸侵害、及水(H2 O)。在一實施例中,以溶液之wt%計,SDR溶液包含15.5% +/- 2% HF或緩衝HF酸、3.8% +/- 0.5% NH4 F pH緩衝液、59.7% +/- 5%乙二醇、及均衡H2 O。
在一實施例中,SDR溶液可與含鋁基板接觸,以實質上不與含鋁基板反應而移除非金屬沉積物。利用本發明之實施例,例如鋁面板之含鋁基板可被清潔而實質上不蝕刻面板孔洞,從而維持孔洞直徑之完整性,並增加面板可清潔或翻新之次數,同時保持在處理孔徑公差內。
本發明實施例揭示一種清潔溶液及自腔室元件表面移除沉積物之方法。
本文所述各實施例參照圖式敘述,然而,某些實施例可不以此一或多個特定詳細說明實施,或合併其他已知方法方法及配置實施。為了徹底理解本發明,在下列敘述中,提出許多詳細說明,例如組成物、及方法等。此整篇說明書中所提及之「一個實施例」或「一實施例」意指相關實施例中所述之特定特徵、結構、組成物或特性包含於本發明至少一實施例中。因此,在此整篇說明書中不同地方出現之「在一個實施例中」或「一實施例」一詞並不必然論及本發明之相同實施例。此外,特定特徵、結構、組成物或特性可以一或多個實施例中任何適當方式組合。
依據實施例,非金屬沉積物可使用選擇性沉積移除(SDR)溶液,選擇性地自例如鋁面板之含鋁基板上移除。SDR溶液實質上並不蝕刻面板孔洞,因此維持孔洞孔洞直徑之完整性,並增加面板可清潔或翻新之次數,同時保持在處理孔徑公差內。在一實施例中,以溶液之wt%計,SDR溶液包含15.5% +/- 2% HF或緩衝HF酸、3.8% +/- 0.5% NH4 F pH緩衝液、59.7% +/- 5%乙二醇、及均衡H2 O。
可使用本文所述方法移除之沉積物包括非金屬材料、非金屬氧化物,例如二氧化矽(SiO2 )、含碳氧化物(CDO)、碳氫化矽(SiCH)、非金屬氮化物,例如氮化矽(Si3 N4 )、非金屬碳化物,例如碳化矽(SiC)、及其他非金屬介電材料。沉積物亦可包括處理腔室元件之電漿清除的相關殘餘物,例如AlF3
可使用本文所述方法清潔或翻新之處理腔室元件的實例為PRODUCER.RTM.化學氣相沉積(CVD)反應器之鋁面板,可獲自Applied Materials,Inc. of Santa Clara,Calif。實施例亦可被利用於清潔或翻新其他含鋁基板,例如鋁及鋁合金基板。
當「選擇性移除」(或其衍生詞彙)於本文使用時,意圖表示自基板移除或剝除沉積物而實質上不蝕刻或侵蝕下方之基板。當「實質上不蝕刻」(或其衍生詞彙)於本文使用時,意圖表示當經由目視檢驗或顯微鏡測量測定至萬分之一英吋(0.0001英吋),在下方基板上並無可偵測到的化學侵蝕。
在一實施例中,清潔溶液為一種選擇性沉積移除(SDR)溶液,用以自含鋁基板選擇性地移除非金屬沉積物。在一實施例中,SDR溶液包含至少一種酸、pH緩衝液、保護含鋁基板免於酸侵害之C2 至C6 鏈之直鏈或支鏈-二醇或-三醇、及水(H2 O)。在一實施例中,以溶液之wt%計,SDR溶液包含15.5% +/- 2% HF或緩衝HF酸、3.8% +/- 0.5% NH4 F pH緩衝液、59.7% +/- 5%乙二醇、及均衡H2 O。
咸信自含鋁基板選擇性移除非金屬沉積物與足以在至少一部分無覆蓋沉積物之含鋁基板上(即在裸露基板上),形成乙氧化物層之量的C2 至C6 鏈之直鏈或支鏈-二醇或-三醇(例如乙二醇)存在下有關。由於此保護性乙氧化物層,鋁基板避免被SDR溶液中之酸(例如HF)化學侵蝕。保護性乙氧化物層可形成於原本無覆蓋沉積物之部分基板上,並在沉積物自部分基板移除後形成。結果,在180分鐘或更長之長期暴露時間,乙二醇保護基板免於受SDR溶液中之酸成分化學侵蝕。在另外之實施例中,基板保護成分可包括任何C2 至C6 鏈之直鏈或支鏈-二醇或三醇,例如丙二醇、丁二醇、乙二醇、或甘油及其組合,其為SDR溶液重量之59.7% +/- 5%。
在一實施例中,包含SDR溶液重量之15.5% +/- 2%的酸成分。在一實施例中,酸成分包含氟離子,例如HF或緩衝HF。在一實施例中,緩衝HF為49重量%為HF且其餘為水。在另一實施例中,酸可包含其他酸類,例如氫氯酸、硫酸、硝酸、氫氟化鈉、四氟硼酸銨、及氟化鋇。這些成分之任一種或其組合可以SDR溶液重量之15.5% +/- 2%的數量範圍存在於SDR溶液中。例如,酸成分可為49重量%緩衝HF,其包含SDR溶液重量之15.5% +/- 2%。
在一實施例中,包含SDR溶液之3.8重量% +/- 0.5重量%的pH緩衝成分。在一實施例中,pH緩衝成分包含一可供應鋞離子(H+ )之成分,以致於SDR溶液之pH在沉積物移除及自基板至後續於在相同SDR溶液浴中清潔之基板期間並無明顯變化。當SDR溶液之酸成分與沉積物反應,會消耗鋞離子。在一實施例中,pH緩衝成分包含氟化銨(NH4 F)。
SDR溶液之剩餘物由水所構成,儘管可包含例如表面活性劑及pH調節劑之添加劑於SDR溶液中以取代或部分取代平衡水。
可利用數種可得之技術將SDR溶液施用於基板,例如在濕性化學浴或化學噴霧工具之噴霧中,暴露基板以定時浸漬,直至沉積物完全被移除。在可剝除-檢查-剝除-檢查直至完全移除之循環範圍內,此方法可為多步驟。
在一實施例中,將含鋁基板浸入SDR溶液浴中,以移除非金屬沉積物而實質上不與含鋁基板反應。對於SDR溶液之必要暴露時間可取決於沉積組成物及厚度。在一實施例中,沉積物為非金屬氧化物,例如二氧化矽(SiO2 )、含碳氧化物(CDO)、碳氫化矽(SiCH)、非金屬氮化物,例如氮化矽(Si3 N4 )、非金屬碳化物,例如碳化矽(SiC)、及其他非金屬介電材料。在一實施例中,沉積物為AlF3 殘渣。在一實施例中,沉積物可為BlOk.TM膜,其為一種由矽、碳及氫所構成之非晶薄膜(a-SiC:H),具有數微米之厚度。在一實施例中,含鋁基板為一種實質上由鋁組成之面板。例如該面板可來自PRODUCER.RTM.化學氣相沉積(CVD)反應器。
第1圖為說明含複數孔洞102之面板100的俯視圖。參考本揭示全文而製作面板100中特定之孔洞數目。僅為了說明之目的,孔洞1-24沿著外環A設置,孔洞25-40沿著中環B設置,孔洞41-48沿著內環D設置,且孔洞49設置於面板100之中心C。
第2A圖-第2D圖為一系列面板孔洞202影像之俯視圖,說明在室溫下不同SDR清潔溶液暴露時間之BLOk.TM(一種SiC系材料)沉積物204之移除。如圖所示,在第2A圖中,以SDR溶液清潔前可見到BLOk.TM沉積物,在第2B圖中,於室溫下浸漬於SDR溶液120分鐘後,BLOk.TM沉積物204部分被移除。在第2C圖中,於室溫下浸漬於SDR溶液180分鐘後,及在第2D圖中,於室溫下浸漬於SDR溶液300分鐘後,BLOk.TM沉積物204完全被移除。
第3圖為一圖表,說明在室溫下不同SDR清潔溶液暴露時間,通過最初覆蓋BLOk.TM沉積物之個別面板孔洞之流量量測,以個別面板孔洞對應於第1圖所說明者。如圖所示,在以SDR溶液清潔前,通過面板孔洞之流量讀數受限制且不一致。於室溫將面板浸入SDR溶液120分鐘後,流速讀數增加且更加一致。於室溫將面板浸入SDR溶液180分鐘後,流量讀數及一致性再次增加。300分鐘後之量測相似於180所量測者,表示BLOk.TM沉積物在180分鐘後完全被移除。因此,第2圖及第3圖之結果是一致的,BLOk.TM沉積物於室溫浸入SDR溶液180分鐘後完全被移除。
第4圖為一圖表,說明在室溫下不同SDR清潔溶液暴露時間,個別面板孔洞之尺寸顯微鏡量測。如第4圖所示,在接觸SDR溶液前面板具有0.0161英吋一致的孔洞尺寸。甚至在浸入SDR溶液間隔300分鐘後,經顯微鏡量測之孔洞尺寸並無增大。因此,該量測顯示孔洞尺寸在於室溫浸漬於SDR溶液300分鐘後並無實質上變化。此表示顯著改善習知移除溶液,例如HNO3 /HF溶液,其亦會化學侵蝕鋁面板,並在短暫的暴露時間後導致增大孔洞尺寸。如第4圖中所說明,當經顯微鏡量測至萬分之一英吋(0.0001英吋),面板孔洞直徑並不增大。因此,利用本發明實施例,例如鋁面板之含鋁基板可被清潔,而實質上不會蝕刻面板孔洞,因此維持孔洞直徑完整性,並增加面板可清潔或翻新之次數,同時保持在處理孔徑公差內。
根據實施例之SDR溶液及清潔方法提供優於習知清潔方法之額外優點。例如SDR溶液可有效清潔具有表面著色電弧孔洞之面板,而實質上不蝕刻電弧孔洞。第5A圖為一面板影像之俯視圖,說明SDR清潔前因電弧穿越孔洞510所產生之表面著色斑(由相對較暗之孔洞表示)。如第5B圖所說明,於室溫在SDR溶液清潔180分鐘後完全移除因電弧穿越孔洞510所產生之表面著色斑。當因電弧穿越孔洞所存在之表面著色斑,沉積物可在電弧位置部份或完全燒化。結果,習知清潔溶液優先化學侵蝕鋁面板發生電弧之孔洞,導致增大孔洞尺寸。利用本發明實施例,可清潔含有因電弧穿越孔洞所產生之表面著色斑的鋁面板,以移除表面著色斑而不增大孔洞尺寸。
根據實施例之SDR溶液及清潔方法亦允許獨立調整表面結構(或表面粗糙度),因為SDR溶液實質上並不會蝕刻鋁。例如,當基板使用於CVD腔室時,其可較佳的地具有特定表面粗糙度,以捕集沉積物並避免沉積物污染處理中之製品。在一實施例中,後處理可包含將基板接觸90/10 NHO3 /HF化學作用數分鐘,後處理可用於粗操化基板表面。
在前述說明書中已敘述本發明不同之實施例,然而,很明顯地,在不背離附隨之申請專利範圍所提出之本發明廣泛的精神與範圍,可進行各種修飾及變化。因此,說明書及圖式欲被認為是說明的意思而不是限制的意思。
100...面板
102、202、510...孔洞
204...沉積物
A...外環
B...中環
C...中心
D...內環
第1圖為一俯視圖,說明含複數孔洞之面板。
第2A圖-第2D圖為一系列面板孔洞影像之俯視圖,說明在室溫下不同SDR清潔溶液暴露時間之BLOk.TM沉積物之移除。
第3圖為一圖表,說明在室溫下不同SDR清潔溶液暴露時間,通過個別面板孔洞之流量量測。
第4圖為一圖表,說明在室溫下不同SDR清潔溶液暴露時間,個別面板孔洞之尺寸量測。
第5A圖為一面板影像之俯視圖,說明SDR清潔前因電弧穿越孔洞所產生之表面著色斑。
第5B圖為一面板影像之俯視圖,說明於室溫以SDR清潔180分鐘後,移除因電弧穿越孔洞所產生之表面著色斑。

Claims (8)

  1. 一種自一含鋁面板選擇性移除一非金屬沉積物之方法,該方法包含下列步驟:以一溶液接觸一含鋁面板,直至一非金屬沉積物自該面板移除,該含鋁面板包含複數個孔洞,且該溶液包含:15.5% +/- 2% HF或緩衝HF酸;3.8% +/- 0.5% NH4 F pH緩衝液;59.7% +/- 5%之一C2 至C6 鏈之直鏈或支鏈-二醇或-三醇;以及水;其中該非金屬沉積物為一介電質。
  2. 如申請專利範圍第1項所述之方法,其中該C2 至C6 鏈之直鏈或支鏈-二醇或-三醇為乙二醇。
  3. 如申請專利範圍第1項所述之方法,其中該介電質係選自由a-SiC:H、SiO2 及SiC所組成之群組。
  4. 如申請專利範圍第3項所述之方法,其中該接觸步驟包含以下步驟:浸漬該面板於該溶液中180分鐘或更久。
  5. 如申請專利範圍第4項所述之方法,其中該複數個孔洞 具有一直徑,且該複數個孔洞之該直徑在該接觸期間並不會增加超過0.0001英吋。
  6. 如申請專利範圍第5項所述之方法,進一步包含下列步驟:在該面板接觸該溶液後,將該面板暴露於一酸中,以增加該面板之一表面之表面粗糙度。
  7. 如申請專利範圍第5項所述之方法,其中該面板包括因電弧穿越孔洞所產生之表面著色斑。
  8. 如申請專利範圍第4項所述之方法,其中該接觸步驟在約室溫下進行。
TW099105853A 2009-03-02 2010-03-01 將非金屬沉積物從含鋁基板移除之非破壞性及選擇性沉積移除方法 TWI450772B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15672809P 2009-03-02 2009-03-02
US12/709,369 US8398779B2 (en) 2009-03-02 2010-02-19 Non destructive selective deposition removal of non-metallic deposits from aluminum containing substrates

Publications (2)

Publication Number Publication Date
TW201032911A TW201032911A (en) 2010-09-16
TWI450772B true TWI450772B (zh) 2014-09-01

Family

ID=42666464

Family Applications (1)

Application Number Title Priority Date Filing Date
TW099105853A TWI450772B (zh) 2009-03-02 2010-03-01 將非金屬沉積物從含鋁基板移除之非破壞性及選擇性沉積移除方法

Country Status (6)

Country Link
US (1) US8398779B2 (zh)
EP (1) EP2404314A4 (zh)
KR (1) KR101279455B1 (zh)
CN (1) CN102341892B (zh)
TW (1) TWI450772B (zh)
WO (1) WO2010101759A2 (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9447365B2 (en) * 2012-07-27 2016-09-20 Applied Materials, Inc. Enhanced cleaning process of chamber used plasma spray coating without damaging coating
TW201632719A (zh) * 2015-03-10 2016-09-16 Wei-Xuan Tang 內燃機積碳清除方法

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6284721B1 (en) * 1997-01-21 2001-09-04 Ki Won Lee Cleaning and etching compositions
CN1708572A (zh) * 2002-10-31 2005-12-14 高极技术材料公司 用于去除灰化和未灰化铝蚀刻后残留物的超临界二氧化碳化学制剂
CN1802731A (zh) * 2003-05-02 2006-07-12 Ekc技术公司 半导体工艺中后蚀刻残留物的去除
TW200636835A (en) * 2005-04-13 2006-10-16 Magnachip Semiconductor Ltd Composition for cleaning semiconductor device and method for cleaning semiconductor device using the same
TW200728455A (en) * 2006-01-12 2007-08-01 Air Prod & Chem PH buffered aqueous cleaning composition and method for removing photoresist residue
TW200908148A (en) * 2007-03-31 2009-02-16 Advanced Tech Materials Methods for stripping material for wafer reclamation

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3642549A (en) 1969-01-15 1972-02-15 Ibm Etching composition indication
US4040897A (en) 1975-05-05 1977-08-09 Signetics Corporation Etchants for glass films on metal substrates
US4343677A (en) 1981-03-23 1982-08-10 Bell Telephone Laboratories, Incorporated Method for patterning films using reactive ion etching thereof
US4517106A (en) 1984-04-26 1985-05-14 Allied Corporation Soluble surfactant additives for ammonium fluoride/hydrofluoric acid oxide etchant solutions
ATE127167T1 (de) 1988-07-19 1995-09-15 Henkel Corp Reinigungs/desoxydationssystem ohne chrom.
US5162259A (en) 1991-02-04 1992-11-10 Motorola, Inc. Method for forming a buried contact in a semiconductor device
JPH09275091A (ja) 1996-04-03 1997-10-21 Mitsubishi Electric Corp 半導体窒化膜エッチング装置
JP3292108B2 (ja) 1997-09-01 2002-06-17 松下電器産業株式会社 エッチング液及びこれを用いた薄膜トランジスタの製造方法
KR100332971B1 (ko) 1998-06-03 2002-09-04 주식회사 심텍 적층형피씨비기판의블라인드비아홀형성방법
BR9816101A (pt) * 1998-12-07 2002-02-05 Nokia Networks Oy Processo e sistema para realizar controle de potência em uma rede de comunicação móvel, elemento de rede e estação base
US6248704B1 (en) 1999-05-03 2001-06-19 Ekc Technology, Inc. Compositions for cleaning organic and plasma etched residues for semiconductors devices
US6458648B1 (en) 1999-12-17 2002-10-01 Agere Systems Guardian Corp. Method for in-situ removal of side walls in MOM capacitor formation
US20020119245A1 (en) 2001-02-23 2002-08-29 Steven Verhaverbeke Method for etching electronic components containing tantalum
JP3403187B2 (ja) 2001-08-03 2003-05-06 東京応化工業株式会社 ホトレジスト用剥離液
WO2003036705A1 (fr) 2001-10-26 2003-05-01 Asahi Glass Company, Limited Procede et compose de polissage, et son procede de production
KR100455503B1 (ko) 2002-06-17 2004-11-06 동부전자 주식회사 반도체 소자의 콘택홀 세정 방법
US6677286B1 (en) 2002-07-10 2004-01-13 Air Products And Chemicals, Inc. Compositions for removing etching residue and use thereof
KR100554515B1 (ko) 2003-02-27 2006-03-03 삼성전자주식회사 세정액 및 이를 이용한 기판의 세정방법
US7045072B2 (en) * 2003-07-24 2006-05-16 Tan Samantha S H Cleaning process and apparatus for silicate materials
US7624742B1 (en) * 2004-04-05 2009-12-01 Quantum Global Technologies, Llc. Method for removing aluminum fluoride contamination from aluminum-containing surfaces of semiconductor process equipment
US7112289B2 (en) 2004-11-09 2006-09-26 General Chemical Performance Products Llc Etchants containing filterable surfactant
US7846349B2 (en) * 2004-12-22 2010-12-07 Applied Materials, Inc. Solution for the selective removal of metal from aluminum substrates
TWI373536B (en) * 2004-12-22 2012-10-01 Applied Materials Inc Solution for the selective removal of metal from aluminum substrates
US20070248767A1 (en) * 2006-04-19 2007-10-25 Asm Japan K.K. Method of self-cleaning of carbon-based film
KR100818708B1 (ko) * 2006-08-18 2008-04-01 주식회사 하이닉스반도체 표면 세정을 포함하는 반도체소자 제조방법
JP5224228B2 (ja) * 2006-09-15 2013-07-03 Nltテクノロジー株式会社 薬液を用いた基板処理方法

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6284721B1 (en) * 1997-01-21 2001-09-04 Ki Won Lee Cleaning and etching compositions
CN1708572A (zh) * 2002-10-31 2005-12-14 高极技术材料公司 用于去除灰化和未灰化铝蚀刻后残留物的超临界二氧化碳化学制剂
CN1802731A (zh) * 2003-05-02 2006-07-12 Ekc技术公司 半导体工艺中后蚀刻残留物的去除
TW200636835A (en) * 2005-04-13 2006-10-16 Magnachip Semiconductor Ltd Composition for cleaning semiconductor device and method for cleaning semiconductor device using the same
TW200728455A (en) * 2006-01-12 2007-08-01 Air Prod & Chem PH buffered aqueous cleaning composition and method for removing photoresist residue
TW200908148A (en) * 2007-03-31 2009-02-16 Advanced Tech Materials Methods for stripping material for wafer reclamation

Also Published As

Publication number Publication date
EP2404314A4 (en) 2016-08-10
EP2404314A2 (en) 2012-01-11
CN102341892A (zh) 2012-02-01
CN102341892B (zh) 2014-09-17
WO2010101759A3 (en) 2010-11-18
TW201032911A (en) 2010-09-16
US8398779B2 (en) 2013-03-19
KR101279455B1 (ko) 2013-06-26
KR20110132424A (ko) 2011-12-07
US20100218788A1 (en) 2010-09-02
WO2010101759A2 (en) 2010-09-10

Similar Documents

Publication Publication Date Title
US10633738B2 (en) Chamber component with protective coating suitable for protection against fluorine plasma
US20150218700A1 (en) Chamber component with protective coating suitable for protection against flourine plasma
JP4091540B2 (ja) 表面から汚染物質を除去する方法およびそのために有用な組成物
US20170029628A1 (en) Yttrium-base sprayed coating and making method
US20130115418A1 (en) Multilayer rare-earth oxide coatings and methods of making
KR20130047671A (ko) 알루미늄 플라즈마 챔버 부분들의 세정 방법
JP6797816B2 (ja) 成膜装置の洗浄方法
JP2023171815A (ja) 水性組成物及びこれを用いた洗浄方法
TWI450772B (zh) 將非金屬沉積物從含鋁基板移除之非破壞性及選擇性沉積移除方法
US20170009136A1 (en) Selective etching of reactor surfaces
TWI511189B (zh) 化學處理以減少含碳化矽之半導體處理部件中加工引起的次表面損壞
TW201325744A (zh) 具有氧化釔包覆層的工件的污染物的處理方法
US7541094B1 (en) Firepolished quartz parts for use in semiconductor processing
TWI679702B (zh) 用於處理腔室中的腔室部件以及處理腔室部件的方法
JP6486215B2 (ja) プラズマ処理装置
TW201913803A (zh) 用於塗敷石英表面的石英表面處理方法
KR101416103B1 (ko) 불순물 제거용 세정액 및 이를 이용한 불순물 제거방법
WO2016152142A1 (ja) 洗浄方法
JP2000016821A (ja) 半導体ウエーハ処理用治具の製作方法及び治具
KR20240068623A (ko) 프로세스 챔버 구성요소들에 대한 진보된 배리어 니켈 산화물(bnio) 코팅 개발

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees