TWI415211B - 傳送一個或多個基板於製程模組之間或裝卸站之間的方法 - Google Patents

傳送一個或多個基板於製程模組之間或裝卸站之間的方法 Download PDF

Info

Publication number
TWI415211B
TWI415211B TW098144546A TW98144546A TWI415211B TW I415211 B TWI415211 B TW I415211B TW 098144546 A TW098144546 A TW 098144546A TW 98144546 A TW98144546 A TW 98144546A TW I415211 B TWI415211 B TW I415211B
Authority
TW
Taiwan
Prior art keywords
substrate
substrates
loading
chamber
process modules
Prior art date
Application number
TW098144546A
Other languages
English (en)
Other versions
TW201027660A (en
Inventor
Lawrence Chung-Lai Lei
Alfred Mak
Rex Liu
Kon Park
Tzy-Chung Terry Wu
Simon Zhu
Gene Shin
Xiaoming Wang
Original Assignee
Archers Systems Usa Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Archers Systems Usa Inc filed Critical Archers Systems Usa Inc
Publication of TW201027660A publication Critical patent/TW201027660A/zh
Application granted granted Critical
Publication of TWI415211B publication Critical patent/TWI415211B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67706Mechanical details, e.g. roller, belt
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67754Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a batch of workpieces
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)

Description

傳送一個或多個基板於製程模組之間或裝卸站之間的方法
本發明所揭露之實施例大體上係有關於一種用來處理基板之系統(system)以及方法,其中該基板舉例但不限於玻璃與其他使用於太陽能或光伏(photovoltaics,PV)工業之基板,以及使用於半導體工業之晶圓。且本發明揭露之實施例特別是有關於一種包含一個或多個橫向移動室(mobile transverse chamber)在多個製程模組(process module)之間傳輸基板的系統與方法。
半導體元件、平面顯示面板以及光伏或太陽能電池之製作需要對各種基板執行多重之製程,例如蝕刻、化學氣相沉積(chemical vapor deposition,CVD)、濺鍍(sputtering)以及清潔等製程,以製作預定之裝置或產品。這些製程可能由一單一且個別之製程機台(process tool)或模組(module)來分別執行一單一的製程步驟。由於必須進行多重的製程步驟,基板便必須由一製程機台被傳送至下一製程機台,因此容易導致基板之破損或污染。再者,在不同製程機台之間傳送基板會增加整體製程時間與製造成本。
業界已使用了多種製程建構設計。傳統一貫式作業生產線製造系統(inline processing tool)之流程係使多個製程機台以線型方式設置,並依序將基板由一製程機台傳送至下一製程機台,為業界所知,其具有流程效率不佳之問題,特別是當各製程機台需要不同之製程時間時。舉例而言,當經由較快速的製程機台處理完成之基板必須各別等待製程時間較漫長之下游製程機台以進行下一製程時,便會產生流程瓶頸。
因此,業界研發出了系統建構設計,以提供能進行多重製程之多重製程機台。常見的多重製程機台例如叢聚式(cluster)系統。叢聚式系統使用了以環狀方式排列之多個製程反應室單元(process chamber unit),這些製程反應室單元基本上會連接於一單一且巨大而不能移動之真空傳送室(vacuum transfer chamber),其具有一真空傳送搬運裝置(vacuum transfer robot),以將基板經由多個裝卸室(load lock chamber)而在上述製程反應室(process chamber)之間傳送。由於基板係在單一的製程設備內被傳送而進行不同之製程,其被污染的可能性便因而降低。此外,基板可以更快速地在製程反應室單元之間被傳送,能縮短整體製程時間。
然而,傳統的叢聚式系統仍然有幾點明顯的限制條件。第一,叢聚式系統所包含之製程設備在實際上有其數量限制。為了將製程設備加至叢聚式系統之群組設備中,必須增加傳送室的尺寸以提供足夠的空間來將基板由傳送室傳輸到製程反應室單元,因此需要具有較長伸展距離的傳送搬運裝置。再者,若需要在原群組設備中再加入一新的機台,而當目前的叢聚式設備沒有足夠的空間來容納這個新機台時,則便必須設計一全新的叢聚式設備。因此,這樣的系統設計不利於更新與擴充。
第二點,上述大型固定之真空傳送室具有複雜的機械設計,且不易供大型基板之傳送使用。舉例而言,用來製作光伏或平面面板之大尺寸玻璃或矽基板便需要較大之旋轉半徑,以轉動對應之大型真空傳送室,同時需要大型真空泵與昂貴的搬運裝置元件才能快速地進行上述之基板傳送程序。
再者,製作這類光伏與半導體產品所需要的製程步驟可能有各種不同之製程時間週期,會在製程產線上導致嚴重的瓶頸。例如在製作光伏電池時,需要以沉積製程製作各種厚度之多層薄膜。通常本徵層(intrinsic layer,I-layer)、N型摻雜層(n-doped layer,N-layer,或稱負型層)以及P型摻雜層(p-doped layer,P-layer,或稱正型層)的沉積製程需要明顯不同之沉積時間,以達到預定之薄膜層厚度。若在沉積一製程時間較短之膜層之後,接著要再進行一需要較長製程時間之膜層沉積時,第二膜層之製作便會產生瓶頸,進而限制影響了生產效率,此問題在連續式或一貫式作業之製造程序中會更加明顯,而在多重接面型(multiple junction)光伏電池的製作中,上述問題又更加嚴重。
所以,習知系統與流程仍需要被進一步改善。
一般而言,本發明所揭露之實施例係有關於用來處理基板之系統與方法,上述之基板舉例但不限於玻璃以及其他使用於太陽能工業(solar industry)或光伏工業(photovoltaic industry)之基板,以及使用於半導體工業之晶圓。更確切地,本發明所揭露之實施例係有關於包含一或多個橫向移動室之一種基板處理系統與方法,以用來在不同製程模組之間傳輸基板。
在某些實施例中,本發明揭露了基板處理之系統,其包含一或多個橫向移動室,可在二或多個製程模組之間移動,以在這些二或多個的製程模組之中,將一或多個基板運送給至少其中一製程模組。各橫向移動室之設計包括當其在製程模組之間移動或運送一或多個基板至這些製程模組時,會個別維持具有一特定之氣體條件。
在另一實施例中,本發明提供一種處理基板之系統,其包含:二或多個製程模組,且各製程模組包含一用來處理基板之製程反應室;一基板搬運裝置(substrate handling robot);一裝卸室,用來接收由基板搬運裝置傳來的基板;以及一橫向基板處理裝置(transverse substrate handler),用來接受由裝卸室傳來的基板以及將基板傳送給該二或多個製程模組之至少其中之一。橫向基板處理裝置基本上包含一或多個橫向移動室,可在該二或多個製程模組之間移動,以及可將一或多個基板運送至該二或多個製程模組之至少其中一者。其特別之優點在於當各橫向移動室在該等製程模組之間移動或運送一或多個基板時,會個別維持具有一特定之氣體條件。
該系統可設計為具有單一線型(single line)或一貫式作業(in-line)系統,亦即這些橫向基板處理裝置以及製程模組係以直線方式排列設置,而橫向移動室則係沿著一軌道而直線移動。此外,本發明可提供兩平行或雙一貫式作業(dual in-line)系統,每一個直線配置可選擇性地各具有不同的長度。再者,橫向移動室可供位於其相反兩側之製程模組使用。不同於習知之一貫式系統,如下文中更詳細的敘述,本發明提供了更多的彈性與靈活性,能減少瓶頸問題以及增加產量。根據本發明,其他種形式的排列或設置方式也是有可能的。舉例而言(但不限於此),本發明系統也可被設置成一叢聚式系統,其中複數個製程模組與橫向基板處理裝置係排設成環形、U形或其他形狀。更進一步地,本發明系統可採用多個堆疊(stacked)之製程模組並搭配多個堆疊之橫向基板處理裝置。因此,根據以下所揭露與描述的幾個特定實施例,習於此技藝者應可瞭解,依據本發明之精神與範圍,本發明仍可有各種其他之系統配置與排列方式。
根據以下一些實施例所揭露者,本發明基板處理系統包含二個或更多之製程模組、一基板搬運裝置、一裝卸室以及一橫向基板處理裝置,以接收由裝卸室傳來的複數個基板,以及將該等基板傳送至上述二或多個製程模組之至少其中之一。各製程模組包含一製程反應室可用來處理該等基板,裝卸室則係用來接收由基板搬運裝置傳送之基板。橫向基板處理裝置包含一或多個橫向移動室,以將一或多個基板運送至該二或多個製程模組之至少其中之一。各橫向移動室在運送該一或多個基板時,會個別維持在一特定之氣體條件下。橫向基板處理裝置更包含一或多個軌道以使該一或多個橫向移動室能橫向移動,其中軌道係設於該等製程模組之入口的鄰近處。本發明橫向基板處理裝置另可包含一或多個驅動系統以驅使該一或多個橫向移動室在軌道上移動。
本發明另提供了傳送複數個基板至一或多個製程模組之方法,其包含了使一或多個運送於該軌道上之橫向移動室,其係設置在該一或多個製程模組之鄰近處,其中當橫向移動室在移動或者在運送基板時,各橫向移動室會維持具有一特定的氣體條件。該方法亦包含將該等基板裝載於至少一或多個橫向移動室之至少其中一者,並啟動(actuating)一或多個驅動系統以推動該一或多個橫向移動室之至少其中一者沿著軌道移動。此外,該方法另包含將該等基板之至少其中之一由維持於該特定之氣體條件下之該橫向移動室運送至該二或多個製程模組之至少一者。
另一方面,本發明又另提供了一種在二或多個製程模組或裝卸站(load lock station)之間傳送基板的方法,其包含:將至少一基板裝載於一或多個該橫向移動室內,其中該等橫向移動室係由設於該二或多個製程模組鄰近處之一軌道所載送,且在運送基板時,各橫向移動室係維持在一特定的氣體條件下;啟動一或多個驅動系統以推動該一或多個橫向移動室沿著該軌道;使該橫向移動室接合至該等製程模組之至少其中一者的一側;以及從該橫向移動室將至少一基板運送給至少一該製程模組。
又另一方面,本發明之實施例提供了在彈性傳輸基板時,能將熱散失減少到最小。舉例而言,在作為說明之一實施例中,本發明提供了在多個製程模組或裝卸站之間傳送一或多個基板之方法,其包含以下步驟:對於位在一起始處理位置(initial processing location)P1之基板S1確認一目標位置(destination location)D1,若一基板S2在使用目標位置D1,則使基板S1維持在起始處理位置P1。若目標位置D1是可使用的,則將基板S1傳送至目標位置D1。此外,若目標位置D1正被基板S2所使用,則本發明方法另包含為基板S2確認一目標位置D2。在某些實施例中,本發明方法更包含一步驟:根據基板S1或S2何者需要較長的處理時間,而決定先將基板S1或S2之一傳送至其相對應之目標位置D1或D2。
又更另一方面,本發明提供了一製程模組設施,其包含:至少一製程反應室設於一框架(frame)內、一底座(subfloor)與該製程反應室相鄰設置、至少一固定式泵與電箱(electrical box)設於該底座之上、以及氣體控制線路(gas control line)與真空排氣線路(vacuum exhaust line)設於該底座內並連接於該製程反應室。
一般而言,於此揭露的實施例與處理機板的系統與方法有關,例如用於太陽能工業或光伏工業的玻璃和其他基板以及用於半導體工業的晶圓,但本發明不限於此。較特別的是,於此所揭露的一些實施例與處理基板有關的系統和方法包括以一個或多個橫向移動室在製程模組以及其他站台(如裝卸站)之間傳輸基板。
在一些實施例中,提供一種處理基板的系統,其包括:一或多個橫向移動室在二或多個製程模組之間進行移動,且運送一或多個基板至二或多個製程模組中的至少其一。當移動於製程模組間以及一或多個基板運送至製程模組時,每一橫向移動室各自獨立地維持一特定的氣體條件。
本發明另提供一種傳送基板至二或多個製程模組的方法,其包括運送一或多個的橫向移動室,其中橫向移動室承載於軌道上並鄰近於二或多個的製程模組,且每一橫向移動室在移動或運送基板其間可各自獨立地維持一特定的氣體條件。此方法亦包括裝載基板至一或多個的橫向移動室內的至少其一,並啟動一或多個的驅動系統以沿著軌道推動一或多個的橫向移動室的至少其一。此外,此方法還包括在維持特定氣體條件期間,將基板從一或多個的橫向移動室的至少其一運送至二或多個的製程模組中的至少其一。
請參照圖1A、圖1B以及圖1C所繪示之本發明之一實施例之一種系統示意圖。系統100大體包括橫向基板處理裝置110、裝卸室120以及二個或多個的製程模組150、152,其中每一製程模組150、152包括一用以處理基板的製程反應室。
利用本發明的系統100以及方法,可處理任何數目的基板以及晶圓。舉例來說,如矽、玻璃或金屬板等光電基板可被製作成太陽能電池。就本發明的優點而言,本發明之系統能適應性地使用多樣的處理方法來形成多種裝置與應用。
在一實施例中,多個電漿增益化學氣相沈積(plasma-enhanced chemical vapor deposition,PECVD)模組的設置可分別沉積出用來產生光伏裝置(photovoltaic device)的摻雜層以及未摻雜層之各層,例如P型摻雜(如摻雜硼的矽層)、I型(如本徵矽層)以及N型摻雜(如摻雜磷的矽層)。在其他實施例中,每一製程模組也可僅沉積單一型態的層,例如P型摻雜、I型或N型摻雜中之其中一者。
在一示範例中,是利用本發明的系統100來製作單接面(single junction)的光伏電池(photovoltaic cell)或太陽能電池(solar cell)。較特別的是,可利用具有透明導電氧化物(transparent conductive oxide,TCO)薄膜的玻璃基板來進行沉積,如利用具有氧化鋅(ZnO)的玻璃基板,但本發明不限於此。在利用雷射切割製程對透明導電氧化物層進行切割之後,本發明之系統中便可沉積P型摻雜矽、本徵矽以及N型摻雜矽之後續層。最終膜會進一步分割成多個電池單元,而後沉積形成一TCO背面電極層(back contact layer)。
本發明整體上的彈性架構的優點是可讓使用者以選擇性地設定系統佈局。為了形成太陽能電池或光伏電池,本發明的系統100利用較多的製程模組來沉積I型矽層或N型矽層以及利用相對較少的製程模組來沉積P型矽層的方式來達成。如圖1A所示,多個本徵矽層/N型矽層模組152-1、152-2、…152-5係連續地設置於系統100。其係因為沉積N型摻雜層以及本徵層比沉積P型摻雜層需要更長的製程時間,因此,系統100提供了較多數量之製程模組以用來沉積本徵矽層與與N型矽層,而配置了較少數量之製程模組以用來沉積P型矽層,以有效增快整體基板處理程序。
在另一示範例中,可利用本發明的系統100來重複進行P-I-N層的沉積以製作堆疊式太陽能電池(tandem solar cell)或多重接面(multiple junction)太陽能電池。在又一實施例中,多重接面太陽能電池的製作是透過本發明之多重生產線配置系統(multi-line system configuration)所進行的沉積製程來實現。
系統建構實施例
參考圖1A、圖1B以及圖1C所分別繪示的透視圖、頂視圖以及側視圖。系統100大體包括橫向基板處理裝置110、裝卸室120以及二個或多個的製程模組(process module)150、152,其中每一製程模組150、152包括一用以處理基板之製程反應室。
一般可從光電廠、半導體廠或晶圓代工廠中的主要生產線或運送裝置來獲得基板或晶圓。本領域熟知此項技藝者應理解,基板搬運裝置(未繪示)通常被設定來從主要生產線拾取基板,並將基板運送至特定的工作站以進行特定的處理程序。在一示範例中,可設定基板搬運裝置運輸基板至裝卸室120,其中本領域熟習此項技藝者皆知一般的基板搬運裝置包括終端受動器(end effector,未繪示)。在一些實施例中,搬運裝置可同時運輸多個基板。舉例來說,從裝卸室120拾取一處理過的基板之後,雙臂型搬運裝置系統(dual-blade type robot system)可被用來從主生產線傳輸一基板。基板搬運裝置可採取水平移動的方式將基板運送至裝卸室120,再將基板從裝卸室120運回至主生產線。另一方面,基板搬運裝置也可選擇性地另以垂直移動的方式在堆疊的模組或位於不同高度的多個模組之間進行傳輸。舉例來說,並請參照圖1A以及圖1C,在靠近裝卸室120處,系統100可選擇性地包括預熱器130(pre-heater)以及冷卻架140(cool down rack)。依據所需的製程順序,基板搬運裝置可垂直地移動並使基板在生產線以及預熱器130及/或冷卻架140及/或裝卸室120之間進行傳輸。在其他實施例中,一旋轉搬運裝置(rotating robot)位於靠近運送裝置處,並位於預熱器130與冷卻架140以及裝卸室120之間,其中預熱器130以及冷卻架140位在搬運裝置之一側,而裝卸室120位在搬運裝置之另一側。如此,搬運裝置可同時供裝卸室120以及預熱器/冷卻架使用。
裝卸室120可包括兩個狹長入口122、124。第一狹長入口122可自基板搬運裝置接收一基板,且允許處理過的基板自系統100離開並回至主生產線。第二狹長入口124可用以運送一基板至橫向基板處理裝置110,並將基板從橫向基板處理裝置110運送回來。通常地,裝卸室120可為基板或晶圓產生一隔離環境並維持一所要的氣體條件。在一些實施例中,這個所要的氣體條件為一低壓或一真空環境。
橫向基板處理裝置110通常可自裝卸室120接收多個基板並傳送基板至二個或多個的製程模組150、152中的至少其一。橫向基板處理裝置110通常包含一或多個的橫向移動室112、軌道114以及一個或多個的驅動系統116。
每一橫向移動室112可運送一或多個的基板至二個或多個的製程模組150、152中的至少其一。橫向移動室112由軌道114所承載,且可透過一或多個的驅動系統116沿著軌道114推動橫向移動室112。在一些實施例中,橫向移動室112被用來運送單一基板。在其他實施例中,橫向移動室112被用來運送兩個基板,其中第一基板進行運送以在製程模組150、152之一進行處理,而第二基板則在製程模組150、152之另一進行處理。在又一實施例中,橫向移動室112成對地運送基板。
就優點而言,橫向基板處理裝置110包括二或多個的橫向移動室,其中當橫向移動室在製程模組之間移動時,每一橫向移動室112可各自獨立地維持一氣體條件。換句話說,在運送基板期間,使用者可指定每一橫向移動室所維持的氣體條件,其中每一橫向移動室內的氣體條件可不同。如此,可使基板具有製程彈性。舉例來說,為了容納超過一個橫向移動室,在維持一氣體條件的當下,一轉移站(handoff station,未繪示)可從一橫向移動室接收基板並運送至另一橫向移動室。
在一些實施例中,橫向基板處理裝置110可包括兩個橫向移動室112。在運送基板期間,每一橫向移動室可各自獨立地維持一特定氣體條件。在一些實施例中,氣體條件為橫向移動室內的氣體壓力。在其他實施例中,氣體條件為橫向移動室內之氣體環境的型態,舉例來說,其可包括空氣或氦氣(Helium,He)、氖氣(Neon,Ne)、氬氣(Argon,Ar)、氪氣(Krypton,Kr)、氙氣(Xenon,Xe)等鈍氣。因為橫向移動室包含一隔離環境,所以橫向移動室可以維持一所要的化學環境,舉例而言,可選擇反應氣體作為氣體條件,如矽甲烷(silane,SiH4 )氣體、氧氣(oxygen,O2 )、二氯矽甲烷(dichlorosilane,SiCl2 H2 )氣體、氧化亞氮(nitrous oxide,N2 O)氣體、四乙氧基矽烷(tetraethylorthosilicate,TEOS,Si(OC2 H5 )4 )氣體、磷化氫(phosphine,PH3 )氣體、砷化氫(arsine,AsH3 )氣體、乙硼烷(diborane,B2 H6 )氣體等及其混合物。而氣體之壓力範圍可包括真空至大氣壓。
就本發明的另一個觀點來看,橫向移動室112不但可維持所要的氣體條件,還可另外維持一所要的熱環境。在本例中,可對橫向移動室進行加熱。在本實施例中,橫向移動室更包括一熱源。舉例來說,在腔室中維持一高含氧環境的當下,可利用加熱橫向移動室的內部來促成氧化作用或使自生氧化層自然生成,但本發明不以此為限。
在一說明例中,橫向移動室內的氣體可維持在500~1000毫托(mTorr)的範圍中,更常的情況下是在50~100mTorr的範圍中。在一些實施例中,橫向移動室維持一氣體條件可使橫向移動室以及製程模組的氣壓差(ΔP)落在10~500mTorr的範圍中。
軌道114承載一或多個的橫向移動室112,其中軌道114位在靠近製程模組150、152的入口處。在一些實施例中,軌道114承載橫向移動室112的重量。在另一些實施例中,軌道114維持橫向移動室112的運動方向。舉例來說,軌道可以是一承載軌道,其中承載軌道可透過一個或多個的機械軸承(mechanical bearing)而與橫向移動室接觸,以承載橫向移動室112之重量。在又一實施例中,軌道114可以是一驅動軌道,其中驅動軌道可被用來推動橫向移動室112。在更一實施例中,軌道114更可進一步包括一導軌(guide),其中導軌可引導橫向移動室112的運動方向以防止橫向模組轉動或傾斜。軌道也可承載水平對位機構(leveling mechanism)。單一軌道114可提供上述多種功能。在一些實施例中,系統100可包括二個或多個的軌道114。在另一些實施例中,系統100在每一軌道114上可包括一個橫向移動室112。在又一些實施例中,系統100在每個軌道上包括二個或多個的橫向移動室112。單一軌道可包含兩個平行的承載件以分擔傳送模組的載重並防止模組沿著軌道軸轉動。
橫向移動室112可透過各自的驅動系統116來推動。在一些實施例中,驅動系統116需要額外的構件(如上述提及的驅動軌道)以移動橫向移動室112。舉例來說,驅動系統116可包括一線性馬達(linear motor)、一齒條(rack)和齒輪(pinion)系統,或者是一滑輪(pulley)和傳送帶系統(belt system)。在另一些實施例中,每一橫向移動室112具有各自的驅動系統116。在又一些實施例中,驅動系統116可屬於軌道114的一部分。驅動系統116可選擇性的與軌道彼此獨立。一纜線軌道系統(cable track system)可被用來供氣以及提供電源至橫向基板處理裝置。
製程模組150、152可由任何適用於光伏裝置(PV device)以及半導體製程的製程模組所組成。舉例來說,適合的製程模組包括化學氣相沉積室(chemical vapor deposition chamber,CVD chamber)、電漿增益化學氣相沈積室(plasma enhanced chemical vapor deposition chamber,PECVD chamber)、原子層沈積室(atomic laver deposition chamber,ALD chamber)、蝕刻室(etching chamber)、物理氣相沉積室(physical vapor deposition chamber,PVD chamber)、退火爐(annealing furnace)、快速熱退火爐(rapid thermal annealing furnace,RTP furnace)、常壓化學氣相沈積室(atmospheric pressure CVD chamber,APCVD chamber)、蒸氣塗佈室(evaporative coating chamber)等,但本發明不限於此。
本發明還有許多其他實施的可能性,以圖2~圖4所說明的選擇實施例為例。較特別的是,如圖2中所繪示的兩個平行系統,橫向移動室112可供製程模組150、152所使用,而製程模組150、152係設置於橫向移動室112相反兩側之鄰近處。在本實施例中,一橫向移動室112由軌道114所承載並位在多個製程模組之間,其中橫向移動室112包括兩個位在橫向移動室112之對側的開口或長縫154、155。
請參照圖3,其繪示一U形叢聚式系統。在本實施例中,製程模組以及橫向基板處理裝置被配置在一具有多段軌道114a、114b及114c的U形排列中。系統也可選擇性地配置在一環形排列中,如圖4所繪示的製程模組以及軌道即配置在環形排列中。
為了提高生產力率或減少製程時間,在本發明之系統的一些實施例中,可利用堆疊式製程模組並透過具有組合堆疊之橫向基板處理裝置來達成,如圖5所示。
如上述的示範例中,基板的運輸與處理是以水平配置的方式來進行。在一選擇實施例中,可垂直地運輸基板,其中這些基板通常(但非必要)為成對的,如圖6A以及圖6B所示。在本例中,製程模組在製程期間內的配置可垂直地承載基板,且橫向移動室的配置可用來傳輸一個或多個的垂直配置的基板。
如此,當一特定的實施方法於此描述時,本領域熟知此項技藝者應理解各種其他系統佈局及配置在本發明之範圍及教導的可能性。然,本發明之系統的彈性使多種系統結構以及佈局成為可能。
橫向基板處理裝置以及橫向移動室
本發明的系統在處理基板時具有極大的彈性,特別是笨重且難處理的大片基板。此外,本發明的彈性使複雜的製程方法得以在一整合的系統中完成。舉例來說,本發明可使基板進行平行處理,這對於同時需要長時間處理及短時間處理的基板來說特別有優勢,但本發明不以此為限。而優點還有,在維持一所需氣體環境的當下,本發明的橫向移動室可在二或多個的製程模組之間移動,並運送一或多個的基板至二或多個的製程模組中的至少其一。在移動於製程模組之間以及運送一個或一個以上的基板至製程模組期間,每一橫向移動室可獨立地維持一特定氣體條件。請參照圖7以及圖8所分別繪示的頂視圖(top plan view)以及立體圖(isometric views),其表示橫向移動室112的一實施例。在圖7中,是繪示橫向移動室在112裝卸室或裝卸站120處之接合(docking)樣態。橫向移動室112由軌道114所承載,且本實施例之驅動系統116由一線性馬達機組160所組成,其中線性馬達機組160以沿著軌道114的線型方式來推動橫向移動室112。
為了將基板傳送至橫向移動室112並將基板傳送回來,且將基板傳送至一特定的製程模組或其他站台並將基板傳送回來,橫向移動室112更包括一傳送搬運裝置機組(transfer robot assembly)170。
一般來說,傳送搬運裝置機組170可確保橫向移動室112中的基板在傳輸期間位於如圖10所繪示的一回縮位置(retracted position),且在製程期間可將基板移動至如圖11所繪示之一伸展位置(extended position)中的製程模組和其他站台並將基板自製程模組和其他站台移回。
在一些實施例中,傳送搬運裝置機組170包括一基板承載台(substrate holder)172以及一線性致動器(linear actuator)。基板承載台172可承載二或多個的基板。舉例來說,基板承載台可具有多個槽(slot)以承載二或多個的基板。在其他實施例中,基板承載台可承載二或多個的匣子(cartridge),其中每一匣子可用來承載一或多個的基板。線性致動器可移動基板承載台以使基板或匣子被裝載至基板承載台上的一空槽(empty slot),或使一基板或一匣子可從基板承載台上之一已裝載的槽(loaded slot)進行卸載。線型致動器可由任何適合的致動機構所構成,例如軌道以及線性馬達、齒條以及齒輪系統,或者滑輪以及傳送帶系統,但本發明並不以此為限。
在一說明例中,如圖9~圖11所示,傳送搬運裝置機組170由基板承載台172所組成,其中基板承載台172由一擺臂機構(swing arm mechanism)174所啟動。基板承載台172可由任意適合的支撐件所形成。在一例中,基板承載台172由一完整的平板所組成。在另一例中,如圖所示,基板承載台172由多個分叉部件(prongs)176所組成,其中分叉部件176支撐基板。在本實施例中,基板承載台可進一步在分叉部件176的外緣包括軌道178。軌道178可在其邊緣支撐基板,並可包括一集中機構(centering mechanism),如止擋裝置(stop)或緩衝裝置(bumper)179,以在傳輸過程中用來集中並保護基板。
基板承載台172可承載一個或多個的基板,在一實施例中,橫向移動室112可置放兩個基板,如圖9所繪示的剖視圖。本例提供頂基板承載台172a以及底基板承載台172b,較佳地,每一基板承載台為獨立設置以增加系統的彈性以及提高生產率。
如圖10以及圖11所示,在回縮位置以及伸展位置之間移動的擺臂機構174可用來啟動基板承載台172。如圖12所示,擺臂機構174通常由擺臂180以及滑座(slide)182所構成。擺臂180的一端在滑座182的通道183中移動,而擺臂180的另一端(對向端)透過由擺臂驅動軸(swing arm drive shaft)188所驅動的滑動軸承186以及連桿而樞轉於固定柱184。
在一些實施例中,橫向移動室112包括二或多個的狹長入口。請再參照圖2,第一狹長入口154位在橫向移動室的一端,而第二狹長入口155位在橫向移動室的另一端(對向端)。在另一些實施例中,狹長入口被用來將基板從橫向移動室112的一端運送至橫向移動室112的另一端。舉例來說,製程模組150、152可分別安置在兩條不同的直線上,而軌道則可位在這兩條直線之間。橫向移動室112可透過第一狹長入口而從位在軌道一側之直線上的製程模組來裝卸基板,並可透過第二狹長入口而從位在軌道另一側之直線上的製程模組來裝卸基板。
就優點而言,在此系統中運輸基板的當下及選擇性地與製程反應室及/或與其他站台連接的當下,橫向移動室112可用來維持一特定氣體條件。在一些實施例中,橫向移動室112包括接合機組(docking assembly)190,如圖8以及圖13所示。在一些實施例中,接合機組190係設在橫向移動室112上。在其他實施例中,接合機組190可設在製程模組與裝卸室上。
在將基板由橫向移動室112傳送至製程模組150、152或其他處理站時,接合機組190大體上可協助維持橫向移動室112內環境之完整性。接合機組190更可透過建立一正壓或同於製程模組或工作站方向的氣流來降低橫向移動室的交互汙染(cross contamination)。如此,在製程反應室或其他站台進行接合時,氣體或大氣不會流進橫向移動室。在一揭露之實施例中,維持在橫向移動室內之氣體的氣壓範圍大約介於在500mTorr至1000mTorr之間,更常的情況下是介於50mTorr至100mTorr的範圍中。在一些實施例中,橫向移動室維持一氣體條件可使橫向移動室以及製程模組的氣壓差(ΔP)落在10~500mTorr的範圍中。
請參照圖13以及14,接合機組190由在連接至一製程模組或其他站台的當下用來維持氣體條件的可延展膨脹的薄膜(expandable membrane)或伸縮囊(bellows)194以及一真空凸緣裝置(vacuum flange)192所組成。通常地,薄膜194為可變形的,而真空凸緣裝置192可包括一凸緣、一O形環(O-ring)以及一邊緣密封墊(lip seal),且其透過氣壓缸夾鉗(pneumatically actuated cylinder clamp)195而配置於製程反應室或裝卸室的一平坦密封表面上。
一附著在緩衝中介抽氣口(buffer media pumping port)198的固定側的真空裝置(vacuum source)連接至可擴張或可形變的薄膜194。本實施例亦提供一緩衝中介洩氣閥(buffer media vent valve)199。在一例中,一固定式泵(stationary pump)200設置於裝卸室附近,而在每一製程反應室中線連接至緩衝中介抽氣口198。當橫向移動室在一製程模組進行接合時,在可延展膨脹的伸縮囊194以及製程模組之間可形成一氣囊(air pocket)或氣室(air gap)。固定式泵200連接至緩衝中介抽氣口198,且其在打開製程反應室以及從橫向移動室傳送基板之前先進行抽氣以使該氣室成為真空狀態。此舉產生同於製程反應室方向的正氣流,並使橫向移動室112與任何反應氣體或其他於製程反應室中的污染物隔離。
當橫向移動室112與各自的製程模組150、152或裝卸室120連接時,至少一製程模組可選擇性地透過包括一固定式泵200的設置來排除橫向移動室112以及製程模組150、152或裝卸室120之間氣室中的空氣。
在更一實施例中,提供一種移動式抽氣泵(mobile evacuation pump)196以及一種固定式泵200,其中移動式抽氣泵196由橫向移動室112所支撐,而固定式泵200由製程模組或裝卸室所支撐。在本實施例中,在進行接合時,移動式抽氣泵196可被用來排空形成於橫向移動室以及製程反應室之間的氣室。一旦氣室被排空,製程反應室便會打開,而後固定式泵200便會排空製程反應室以及橫向移動室兩者。由於固定式泵200可為大容量以足夠排空一相對大的空腔而抽氣泵196可具有較小的容量以用來僅排空氣室,如此易支撐於一移動式平台,因而提供極大的彈性和優點。在傳送基板期間,固定式泵200可選擇性地被用來排空氣室且進一步排空橫向移動室以及製程反應室或裝卸室。
在另一實施例中,一大泵可被用來與一連串的真空管路(a series of vacuum line)連接,其中這些真空管路連接至每一緩衝中介抽氣口198,且被位於緩衝中介抽氣口198的氣動閥(air operated valve或pneumatic valve)所隔離。在本實施例中,真空管路可以是能夠加速排除氣室的一真空貯槽(vacuum reservoir)。
為了有助於接合的進行,可利用數個水平對位機構及/或導軌機構。舉例來說,如圖13至圖14所示,框架以及/或橫向移動室112可包含水平對位機構210。本系統可利用任意適合的水平對位機構,例如調整桿(adjustment rod)、壓縮繫桿(compression tie rod)、對位栓球(leveling hitch ball)等,但本發明不限於此。一平衡軌道212也可包含在框架中以增加穩定性。安全導引滾輪214可進一步被包含於軌道114及/或設置於橫向移動室112的底部。纜線載送軌道置放電線以及空氣管線,並可由一彈性傳送帶或如連桿的軌道所組成。
基板處理以及接合之方法
就優點而言,本發明所提供的基板處理具有彈性。圖15為根據一些實施例所繪示的一種傳送基板至二個或多個的製程模組的方法。在步驟1010中,提供一或多個的橫向移動室。橫向移動室承載於一軌道上,並沿著軌道移動。軌道的位置鄰近於二或多個的製程模組,如此橫向移動室得以與一對應的製程模組連接或接合。
在運送基板期間,每一橫向移動室的設置可獨立地維持一特定的氣體條件。在一些實施例中,氣體條件為橫向移動室內的氣壓。在另一實施例中,氣體條件為橫向移動室內之氣體環境的型態,舉例來說,其可包括空氣或氦氣(Helium,He)、氖氣(Neon,Ne)、氬氣(Argon,Ar)、氪氣(Krypton,Kr)、氙氣(Xenon,Xe)等鈍氣。在更一實施例中,氣體條件還可以由易反應的氣體所組成,如矽烷(silane,SiH4 )氣體、氧氣(oxygen,O2 )、二氯矽甲烷(dichlorosilane,SiCl2 H2 )氣體、氧化亞氮(nitrous oxide,N2 O)氣體、四乙氧基矽烷(tetraethylorthosilicate,TEOS,Si(OC2 H5 )4 )氣體、磷化氫(phosphine,PH3 )氣體、砷化氫(arsine,AsH3 )氣體、乙硼烷(diborane,B2 H6 )氣體等及其混合物。
橫向移動室內氣壓的範圍可以介在真空及大氣壓力之間。在一說明例中,橫向移動室內的氣體可維持在500~1000mTorr的範圍中,更常的情況下是在50~100mTorr的範圍中。在一些實施例中,橫向移動室維持一氣體條件以使橫向移動室以及製程模組的氣壓差(ΔP)落在10~500mTorr的範圍中。而當提供兩個橫向移動室時,其可獨力地維持受控環境,其中第一橫向移動室可在一氣體條件(例如真空)下運送基板,而第二橫向移動室可在第二氣體條件(例如氬氣)下運送基板。
在步驟1020中,基板被裝載在一個或一個以上的橫向移動室的至少其中之一中。在一些實施例中,將基板裝載至至少一橫向移動室可透過操作傳輸搬運裝置機組170來達成。在另一些實施例中,在操作傳輸搬運裝置機組170之前,一凸緣被用來將橫向移動室連接至裝卸室120或製程模組150、152。在又一些實施例中,一抽氣泵被用來排空橫向移動室以及裝卸室或製程模組之間的氣囊。
在步驟1030中,可啟動一或多個的驅動系統以沿著軌道來推動一或多個的橫向移動室內的至少其一。驅動系統可包括一線性馬達、一齒條和齒輪系統,或一滑輪和傳送帶系統。驅動系統被操作用以沿著軌道來移動橫向移動室並將橫向移動室置於一裝卸室或一對應的製程模組附近。在一些實施例中,驅動系統包括一位置感測器或觸動感測器以判定橫向移動室的位置。在一些實施例中,驅動系統包括一回饋控制機構以提升橫向移動室的移動以及定位。
在步驟1040中,將至少一基板從至少一橫向移動室運送至二或多個的製程模組之至少其一。在一些實施例中,透過操作傳送搬運裝置機組170,而將基板從橫向移動室運送至製程模組。類似於步驟1020中的裝載程序,一凸緣可被用來將橫向移動室連接至製程模組150、152。在一些實施例中,一抽氣泵(evacuation pump)被用來排空橫向移動室以及裝卸室或製程模組之間的氣囊。
熱散失最小化之基板傳輸方法
從另一觀點來看,提供一種可減少熱量損失之傳送基板的方法,如圖16a所繪示的流程圖。在一些實施例中,利用一排程器(scheduler)1200來表明用以傳輸一個或多個的基板的操作流程控制規則。
在一些實施例中,排程器1200作為一狀態機(state machine)。在本例中,排程器1200的主要功能是協調系統100中的各種構件,如此在製程中可提供全面的基板操作流程。
排程器1200通常用來增加系統100之產量的性能。然而,在本發明中,排程器1200益於促進製程一致性(process consistency),此意謂當透過橫向移動室而使基板在製程模組之間傳送時,基板實質上維持恆溫或基板的熱損耗降低。排程器1200通常利用前視工作日程法(forward looking scheduling method)以減少大量的時間任一基板置放於橫向移動室內。
在一實施例中,排程器1200係根據以下前視規則(forward looking rule)安排基板傳輸動作:
(a)每當一基板在製程反應室內完成了處理程序,排程器會在協調好或建立完成該基板在系統100內的傳送路徑以後,才會啟動由該製程反應室傳送出該基板之程序。此意謂沒有基板會閒置地停滯在一橫向移動室內以等待下一個可用的(availability)製程步驟或傳送站(transfer station),其中傳送站如裝卸室、製程模組或任何其他處理站台。如此,排程器不會開始進行一基板的傳送或傳輸動作除非且直到一開放路徑(open path)以使基板可被運送至其下一個製程步驟或傳送點(transfer point);以及
(b)有關傳輸基板的優先順序,以最長的製程時間停滯於製程模組中的基板具有最高的優先性。
在一例中,上述規則可實施於圖16a所繪示的流程圖中,其繪示處理過的基板之傳輸路徑協調邏輯(transport path reconciliation logic)的一實施例。在步驟1210中,方法始於處理一置放於製程反應室P1中的特定基板S1完畢後。在步驟1220中,檢查關於基板S1的工作流程狀態(job flow status)。特別的是,可確認基板S1的下一個目標位置或腔室。在步驟1230中,檢查基板S1的下一個目標位置或腔室D1是否為可用的(available),其中可用的表示未被佔據。若否,則基板S1維持在製程反應室P1,如步驟1240。若是,則檢查另一基板S2目前是否位在目標位置或腔室D1,如步驟1250。若否,則排程器開始將基板S1從製程反應室P1傳輸至目標位置或腔室D1,如步驟1260。若是,則排程器檢查關於基板S2的工作流程狀態及確認其目標位置或腔室D2,如步驟1220。當一特定的實施方法於此描述時,本領域熟知此項技藝者應理解前視排程器規則(forward looking scheduler rule)之其他特定實施方法在本發明之範圍及教導的可能性。
舉例來說,在一說明例中,一種在製程模組或裝卸站之間傳送一個或多個的基板的方法如以下敘述。確認一基板S1在一起始處理位置P1時的一目標位置D1。倘若基板S2佔據目標位置D1,則基板S1維持在起始處理位置P1;倘若目標位置D1為可使用的(即未被佔據),則傳送基板S1至目標位置D1。此外,倘若基板S2佔據目標位置D1,則方法更包括確認基板S2的一目標位置D2之步驟。在一些實施例中,方法更包括根據基板S1或基板S2中的哪一個具有最長的製程時間,以決定基板S1或基板S2中的哪一個先傳送至其對應的目標位置D1或目標位置D2。
圖16b為依據本發明一些實施例之用以控制系統以及執行方法的電腦系統1300的方塊圖。系統1300通常包括一或多個的處理單元(CPU's)1302、可任意選擇一或多個的網路或其他的通信介面1304、記憶體1310以及一或多個的用以互相連接這些元件的通信匯流排1308。通信匯流排1308可包括電路(circuitry,有時稱晶片組chipset),其在系統元件之間互連並控制通信。系統1300可任意選擇包括一使用者介面(user interface),例如一顯示裝置1306以及一輸入裝置1305。記憶體1310可包括高速隨機存取記憶體(high speed random access memory);其也可包括非揮發性記憶體,例如一或多個的磁碟儲存裝置(magnetic disk storage device)。記憶體1310可包括大量儲存裝置(mass storage),其可以遠距設置於中央處理單元1302。
記憶體1310或記憶體1310中的非揮發性記憶裝置包括一電腦可讀取儲存媒體(computer readable storage medium)。在一些實施例中,記憶體1310儲存以下的程式(program)、模組以及資料結構(data structure)或其子集合(subset):一作業系統(operating system)1311包括處理各種系統服務以及執行硬體相關任務之程序;使用一任選網路通信模組(optional network communication module)1312,其可透過一或多個的有線或無線通信網路介面(communication network interfaces)1304以及一個或一個以上的通信網路(communication network)以將系統1300連接至其他電腦,其中通信網路例如是網際網路(Internet)、其他廣域網路(wide area network)、區域網路(local area networks)、都會區域網路(metropolitan area network)等;傳輸操作模組1320,其中操作模組1320控制或管理指令以使基板在裝卸站、製程模組等之間進行傳輸,其透過橫向移動室,且自橫向移動室、裝卸站以及製程模組來裝卸基板;製程反應室操作模組1330控制或管理指令以控制處理基板的製程步驟以及方法以形成p-i-n接面等,以進一步形成光伏電池;以及排程器模組1340控制或管理指令以控制系統中基板之流程的階層以及路徑,如圖16a所繪示的流程圖。
上述每一識別元件可被儲存在一或多個的之前提及的記憶裝置中,且對應至一組上述用以執行功能的指令。上述識別模組或程式(例如指令組)無需以獨立之軟體程式(separate software program)、程序或模組來執行,如此在不同的實施例中這些模組中的不同的子集合(subset)便可結合或以不同的方法再進行重新配置。在一些實施例中,記憶體1310可儲存模組的子集合以及上述之資料結構。此外,記憶體1310可儲存額外的模組以及之前未述的資料結構。
雖然圖16b繪示一種「系統」,但相較於此描述的實施例之一結構示意,圖16b意指更多可在一組處理器中所提供(如在客戶中或在伺服器中)的各種不同特徵之功能上的敘述。實務上,且本領域熟知此項技藝者應理解,圖中分離的項目可結合在一起且一些項目也可分離。舉例來說,圖16b中一些分離的項目可在單一伺服器(server)上實施,而單一項目則可在一或多個的伺服器上實施。然而,其間用於執行系統之資源的實際數目以及特徵會隨著實施方法的不同而改變。
本實施例之方法可受控於儲存於一電腦可讀取儲存媒體的指令,並透過一或多個的伺服器中的一或多個的處理器來進行執行。每一操作步驟繪示於圖16a以及圖16b中,其可對應至儲存於一電腦記憶體或電腦可讀取儲存媒體的指令。電腦可讀取儲存媒體可包括磁碟儲存裝置或光碟儲存裝置(optical disk storage device)、固態儲存裝置(例如快閃記憶體)、或其他非揮發性記憶裝置、或其他裝置。儲存在電腦可讀取儲存媒體之上的電腦可讀取指令為原始碼(source code)、組合語言碼(assembly language code)、目的碼(object code)或其他指令格式(instruction format),其由一個或多個的處理器編譯(interpret)。
製程反應室整合設施
就本發明的又一個觀點來看,提供一種具有整合設施的製程模組設施300,如圖17所示。在一實施例中,製程模組設施300通常包括設置於框架中的製程反應室302、底座306以及製程反應室泵。底座306置放氣體控制線路以及其他管路(未繪示)。製程反應室泵308較佳地配置在靠近製程反應室302附近,並透過底座306中的氣體控制線路而與製程反應室302連接。額外地但非必須地,電控裝置310可被置放於靠近製程反應室附近,並透過置放於底座306中的電線(未繪示)與製程反應室連接。由於本發明的整合設施(integrated facility)300為模組化(modular)而具有彈性,且可易於組合在現行的晶圓廠(fab)中,其一般置於混凝土板上,如此便具有應用現行半導體廠的優勢。
雖然本發明已以實施例揭露如上,然其並非用以限定本發明,任何所屬技術領域中具有通常知識者,在不脫離本發明之精神和範圍內,當可作些許之更動與潤飾,故本發明之保護範圍當視後附之申請專利範圍所界定者為準。
100...系統
110...橫向基板處理裝置
112...橫向移動室
114、178...軌道
116...驅動系統
120...裝卸室
122、124...狹長入口
130...預熱器
140...冷卻架
150、152、152-1、152-2、152-3、152-4、152-5...製程模組
160...線性馬達機組
170...傳送搬運裝置機組
172...基板承載台
172a...頂基板承載台
172b...底基板承載台
174...擺臂機構
176...分叉部件
179...制動裝置或緩衝裝置
180...擺臂
183...溝槽通道
182...滑座
190...接合機組
192...真空凸緣裝置
194...薄膜或伸縮囊
196...移動式抽氣泵
198...緩衝中介抽氣口
199...緩衝中介洩氣閥
200...固定式泵
210...水平對位機構
212...平衡軌道
214...安全導引滾輪
300...製程模組設備
302...製程反應室
306...底座
310...電子控制裝置
1010、1020、1030、1040、1210、1220、1230、1240、1250、1260...步驟
1200...排程器
S1、S2...基板
D1、D2...腔室
P1...製程反應室
1300...電腦系統
1302‧‧‧處理單元
1304‧‧‧通信介面
1305‧‧‧輸入裝置
1306‧‧‧顯示裝置
1308‧‧‧通信匯流排
1310‧‧‧記憶體
1311‧‧‧作業系統
1312‧‧‧網路通信模組
1320‧‧‧傳輸操作模組
1330‧‧‧製程反應室操作模組
1340‧‧‧排程器模組
圖1A繪示本發明之一實施例之一種系統的透視示意圖。
圖1B繪示本發明之一實施例之一種系統的上視示意圖。
圖1C繪示本發明之一實施例之一種系統的前視示意圖。
圖2繪示本發明之另一實施例之一種系統的上視示意圖。
圖3繪示本發明之又一實施例之一種系統的上視示意圖。
圖4繪示本發明之更一實施例之一種系統的線狀環形配置上視示意簡圖。
圖5繪示本發明之再一實施例之一種系統的前視示意圖。
圖6A以及圖6B分別繪示本發明之還一實施例之一種系統的頂視圖以及等角視圖。
圖7繪示本發明之一實施例之一種系統中橫向移動室在裝卸站處之接合樣態的頂面視圖。
圖8繪示本發明之一實施例之一種系統中承載於軌道上的橫向移動室的局部等角視圖。
圖9繪示本發明之一些實施例之一種系統中橫向移動室的局部透視剖視圖。
圖10以及圖11分別繪示本發明之一些實施例之一種系統中橫向移動室在回縮位置以及伸展位置的局部透視剖視圖。
圖12繪示本發明之一實施例之一種系統中橫向移動室之傳送搬運裝置機組的透視圖。
圖13繪示本發明之一實施例之一種系統中具有接合機組之橫向移動室的側視圖。
圖14繪示本發明之一實施例之一種系統中承載於軌道上之橫向移動室的局部剖視圖。
圖15為根據一些實施例所繪示的一種傳送基板至二個或多個的製程模組的方法流程圖。
圖16a以及圖16b分別繪示本發明之一實施例之打標誌之方法步驟流程圖以及依序傳送的方塊圖。
圖17繪示本發明之一實施例之具有整合關聯系統構件的製程模組的透視圖。
1200...排程器
1210、1220、1230、1240、1250、1260...步驟

Claims (22)

  1. 一種傳送一個或多個的基板於製程模組之間或裝卸站之間的方法,包括:確認一基板S1在一起始處理位置P1時的一目標位置D1;若所述目標位置D1被一基板S2所佔據,則所述基板S1維持在所述起始處理位置P1;以及若所述目標位置D1未被佔據,傳送所述基板S1至所述目標位置D1,其中傳送所述基板S1的方法是藉由一個或多個的橫向移動室來進行,且每一所述橫向移動室在多個製程模組之間或多個裝卸站之間傳輸時,各該橫向移動室係維持具有一特定之氣體條件。
  2. 如申請專利範圍第1項所述之傳送一個或多個的基板於製程模組之間或裝卸站之間的方法,其中當所述目標位置D1被所述基板S2所佔據,且所述基板S1維持在所述起始處理位置P1時,更包括:確認所述基板S2的一目標位置D2。
  3. 如申請專利範圍第2項所述之傳送一個或多個的基板於製程模組之間或裝卸站之間的方法,更包括:根據所述基板S1或所述基板S2中的哪一個具有較長的製程時間,以決定所述基板S1或所述基板S2中的哪一個先傳送至對應的所述目標位置D1或所述目標位置D2。
  4. 如申請專利範圍第1項所述之傳送一個或多個的基板於製程模組之間或裝卸站之間的方法,其中在傳送所述 基板S1的步驟時,更包括:一個或多個橫向移動室在所述製程模組之間或所述裝卸站之間或所述製程模組與所述裝卸站之間進行傳送時,係維持具有一特定之氣體條件。
  5. 如申請專利範圍第4項所述之傳送一個或多個的基板於製程模組之間或裝卸站之間的方法,其中在一個或多個的所述橫向移動室內的所述特定氣體條件包括氣體種類或氣體壓力。
  6. 如申請專利範圍第4項所述之傳送一個或多個的基板於製程模組之間或裝卸站之間的方法,其中一個或多個的所述橫向移動室內的氣體壓力實質上維持在50毫托至1托爾的範圍中。
  7. 如申請專利範圍第1項所述之傳送一個或多個的基板於製程模組之間或裝卸站之間的方法,其中一個或多個的所述橫向移動室更包括一熱源。
  8. 如申請專利範圍第1項所述之傳送一個或多個的基板於製程模組之間或裝卸站之間的方法,其中所述基板S1以一水平方向進行傳送。
  9. 如申請專利範圍第1項所述之傳送一個或多個的基板於製程模組之間或裝卸站之間的方法,其中所述基板S1以一垂直方向進行傳送。
  10. 如申請專利範圍第1項所述之傳送一個或多個的基板於製程模組之間或裝卸站之間的方法,其中所述基板S1包括一對基板。
  11. 如申請專利範圍第1項所述之傳送一個或多個的基板於製程模組之間或裝卸站之間的方法,其中在所述基板S1傳送至所述目標位置D1的步驟中,更包括:載入所述基板S1於一橫向移動室內,其中所述橫向移動室承載於一軌道;啟動一個或多個的驅動系統以推動所述橫向移動室沿著所述軌道移動;將所述橫向移動室接合至所述目標位置D1;以及將所述基板S1從所述橫向移動室運送到所述目標位置D1。
  12. 如申請專利範圍第11項所述之傳送一個或多個的基板於製程模組之間或裝卸站之間的方法,更包括:在進行接合步驟之後,對所述橫向移動室進行抽氣。
  13. 如申請專利範圍第11項所述之傳送一個或多個的基板於製程模組之間或裝卸站之間的方法,更包括:在進行接合步驟之後與進行運送步驟之前,對在所述目標位置D1與所述橫向移動室之間形成的氣囊進行抽氣。
  14. 如申請專利範圍第11項所述之傳送一個或多個的基板於製程模組之間或裝卸站之間的方法,其中在運送所述基板S1的步驟中,更包括:將所述基板S1設置於一基板承載台上;以及移動所述基板承載台於一回縮位置與一伸展位置之間。
  15. 如申請專利範圍第14項所述之傳送一個或多個的 基板於製程模組之間或裝卸站之間的方法,其中一擺臂機構在所述回縮位置與所述伸展位置之間移動所述基板承載台。
  16. 如申請專利範圍第15項所述之傳送一個或多個的基板於製程模組之間或裝卸站之間的方法,其中所述擺臂機構包括:一擺臂;以及一滑座,其內形成有一通道,其中所述擺臂的一端在所述通道中作直線移動,且所述擺臂的另一端則樞接於一固定柱。
  17. 如申請專利範圍第11項所述之傳送一個或多個的基板於製程模組之間或裝卸站之間的方法,其中在接合的步驟中,更包括:啟動多個氣壓缸夾鉗,其中所述氣壓缸夾鉗接合並密封所述橫向移動室以及所述目標位置D1的表面。
  18. 如申請專利範圍第1項所述之傳送一個或多個的基板於製程模組之間或裝卸站之間的方法,更包括:沈積一P型矽層於所述基板S1上。
  19. 如申請專利範圍第1項所述之傳送一個或多個的基板於製程模組之間或裝卸站之間的方法,更包括:沈積一N型矽層於所述基板S1上。
  20. 如申請專利範圍第1項所述之傳送一個或多個的基板於製程模組之間或裝卸站之間的方法,更包括:沈積一本徵矽層於所述基板S1上。
  21. 一種傳送一個或多個的基板於製程模組之間或裝卸站之間的方法,包括:確認一基板S1在一起始處理位置P1時的一目標位置D1;若所述目標位置D1被一基板S2所佔據,則所述基板S1維持在所述起始處理位置P1,其中當所述目標位置D1被所述基板S2所佔據,且所述基板S1維持在所述起始處理位置P1時,確認所述基板S2的一目標位置D2;若所述目標位置D1未被佔據,傳送所述基板S1至所述目標位置D1;以及根據所述基板S1或所述基板S2中的哪一個具有較長的製程時間,以決定所述基板S1或所述基板S2中的哪一個先傳送至對應的所述目標位置D1或所述目標位置D2。
  22. 一種傳送一個或多個的基板於製程模組之間或裝卸站之間的方法,包括:確認一基板S1在一起始處理位置P1時的一目標位置D1;若所述目標位置D1被一基板S2所佔據,則所述基板S1維持在所述起始處理位置P1,其中當所述目標位置D1被所述基板S2所佔據,且所述基板S1維持在所述起始處理位置P1時,確認所述基板S2的一目標位置D2;若所述目標位置D1未被佔據,傳送所述基板S1至所述目標位置D1,其中傳送所述基板S1的方法是藉由一個或多個的橫向移動室來進行,每一所述橫向移動室在多個 製程模組之間或多個裝卸站之間傳輸時,各該橫向移動室係維持具有一特定之氣體條件;以及根據所述基板S1或所述基板S2中的哪一個具有較長的製程時間,以決定所述基板S1或所述基板S2中的哪一個先傳送至對應的所述目標位置D1或所述目標位置D2。
TW098144546A 2009-01-03 2009-12-23 傳送一個或多個基板於製程模組之間或裝卸站之間的方法 TWI415211B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/319,223 US8110511B2 (en) 2009-01-03 2009-01-03 Methods and systems of transferring a substrate to minimize heat loss

Publications (2)

Publication Number Publication Date
TW201027660A TW201027660A (en) 2010-07-16
TWI415211B true TWI415211B (zh) 2013-11-11

Family

ID=42311967

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098144546A TWI415211B (zh) 2009-01-03 2009-12-23 傳送一個或多個基板於製程模組之間或裝卸站之間的方法

Country Status (3)

Country Link
US (1) US8110511B2 (zh)
CN (1) CN101767718B (zh)
TW (1) TWI415211B (zh)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102064095A (zh) * 2010-12-03 2011-05-18 孙丽杰 半导体基板加工设备
US20120210936A1 (en) * 2011-02-21 2012-08-23 Ji Fu Machinery & Equipment Inc. Systems and methods for mutli-chamber photovoltaic module processing
CN102354117B (zh) * 2011-08-08 2013-06-19 温州大学 一种适应设备动态配置的生产线系统的工艺排序方法
CN102437238A (zh) * 2011-11-30 2012-05-02 晶澳(扬州)太阳能科技有限公司 一种用于晶体硅太阳电池硼掺杂的方法
US11060183B2 (en) * 2012-03-23 2021-07-13 Hzo, Inc. Apparatuses, systems and methods for applying protective coatings to electronic device assemblies
US8859441B2 (en) * 2012-04-05 2014-10-14 Ming-Hwei Hong Method and system for manufacturing semiconductor device
US8944739B2 (en) * 2012-06-01 2015-02-03 Taiwan Semiconductor Manufacturing Co., Ltd. Loadport bridge for semiconductor fabrication tools
WO2014144162A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc Temperature control systems and methods for small batch substrate handling systems
DE102013009484B4 (de) * 2013-06-06 2021-05-20 Asys Automatic Systems Gmbh & Co. Kg Bearbeitungsanlage polaren Aufbaus für planare Substrate, Handhabungsvorrichtung, Kupplungsanordnung und Roboter für eine Bearbeitungsanlage
JP5987796B2 (ja) * 2013-07-24 2016-09-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
MX359183B (es) * 2015-02-17 2018-09-17 Solarcity Corp Metodo y sistema para mejorar rendimiento de fabricacion de celda solar.
US9972740B2 (en) 2015-06-07 2018-05-15 Tesla, Inc. Chemical vapor deposition tool and process for fabrication of photovoltaic structures
CN108040500B (zh) * 2015-09-29 2022-04-08 株式会社尼康 制造系统
US9748434B1 (en) 2016-05-24 2017-08-29 Tesla, Inc. Systems, method and apparatus for curing conductive paste
US9954136B2 (en) 2016-08-03 2018-04-24 Tesla, Inc. Cassette optimized for an inline annealing system
US10115856B2 (en) 2016-10-31 2018-10-30 Tesla, Inc. System and method for curing conductive paste using induction heating
CH713453A1 (de) * 2017-02-13 2018-08-15 Evatec Ag Verfahren zur Herstellung eines Substrates mit einer bordotierten Oberfläche.
CN110556317B (zh) * 2019-08-13 2022-11-11 世源科技工程有限公司 一种半导体加工系统
CN111254418B (zh) * 2020-02-10 2020-12-29 深圳市拉普拉斯能源技术有限公司 一种pecvd镀膜机
US11721583B2 (en) * 2020-08-10 2023-08-08 Applied Materials, Inc. Mainframe-less wafer transfer platform with linear transfer system for wafer processing modules
CN116995001B (zh) * 2023-09-26 2023-12-19 迈为技术(珠海)有限公司 基板转移装置

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6679672B1 (en) * 2003-03-10 2004-01-20 Syracuse University Transfer port for movement of materials between clean rooms
TW200721357A (en) * 2005-09-08 2007-06-01 Jusung Eng Co Ltd Movable transfer chamber and substrate-treating apparatus including the same

Family Cites Families (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5024570A (en) * 1988-09-14 1991-06-18 Fujitsu Limited Continuous semiconductor substrate processing system
US5536128A (en) * 1988-10-21 1996-07-16 Hitachi, Ltd. Method and apparatus for carrying a variety of products
JPH081923B2 (ja) 1991-06-24 1996-01-10 ティーディーケイ株式会社 クリーン搬送方法及び装置
US6333101B1 (en) * 1992-02-28 2001-12-25 Nisshinbo Industries, Inc. Method of adhering adherends
ATE129361T1 (de) * 1992-08-04 1995-11-15 Ibm Fertigungsstrasse architektur mit vollautomatisierten und rechnergesteuerten fördereinrichtungen geeignet für abdichtbaren tragbaren unter druck stehenden behältern.
JPH0669295A (ja) * 1992-08-17 1994-03-11 Tokyo Electron Ltd プローブシステム
TW276353B (zh) * 1993-07-15 1996-05-21 Hitachi Seisakusyo Kk
JP3796782B2 (ja) * 1995-11-13 2006-07-12 アシスト シンコー株式会社 機械的インターフェイス装置
US5892200A (en) * 1996-09-19 1999-04-06 The Boc Group, Inc. Transfer port system
US5928389A (en) * 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
US6122566A (en) * 1998-03-03 2000-09-19 Applied Materials Inc. Method and apparatus for sequencing wafers in a multiple chamber, semiconductor wafer processing system
KR100265287B1 (ko) * 1998-04-21 2000-10-02 윤종용 반도체소자 제조용 식각설비의 멀티챔버 시스템
US6533101B2 (en) 1998-06-24 2003-03-18 Asyst Technologies, Inc. Integrated transport carrier and conveyor system
US6411859B1 (en) * 1998-08-28 2002-06-25 Advanced Micro Devices, Inc. Flow control in a semiconductor fabrication facility
US6662076B1 (en) * 1999-02-10 2003-12-09 Advanced Micro Devices, Inc. Management of move requests from a factory system to an automated material handling system
JP2000286319A (ja) * 1999-03-31 2000-10-13 Canon Inc 基板搬送方法および半導体製造装置
KR100823237B1 (ko) * 2000-01-17 2008-04-18 가부시키가이샤 에바라 세이사꾸쇼 기판반송제어장치 및 기판반송방법
US6519498B1 (en) * 2000-03-10 2003-02-11 Applied Materials, Inc. Method and apparatus for managing scheduling in a multiple cluster tool
KR100350719B1 (ko) * 2000-11-30 2002-08-29 삼성전자 주식회사 반도체 제조에 사용되는 이송 장치
JP4937459B2 (ja) * 2001-04-06 2012-05-23 東京エレクトロン株式会社 クラスタツールおよび搬送制御方法
TW562772B (en) * 2001-04-19 2003-11-21 Murata Machinery Ltd Automatic guided vehicle, automatic guided vehicle system and wafer carrying method
JP3697478B2 (ja) * 2001-08-20 2005-09-21 ソニー株式会社 基板の移送方法及びロードポート装置並びに基板移送システム
CN1996553A (zh) * 2001-08-31 2007-07-11 阿赛斯特技术公司 用于半导体材料处理系统的一体化机架
US6637998B2 (en) * 2001-10-01 2003-10-28 Air Products And Chemicals, Inc. Self evacuating micro environment system
JP4168642B2 (ja) 2002-02-28 2008-10-22 東京エレクトロン株式会社 被処理体収納容器体及び処理システム
US7959395B2 (en) 2002-07-22 2011-06-14 Brooks Automation, Inc. Substrate processing apparatus
US7988398B2 (en) * 2002-07-22 2011-08-02 Brooks Automation, Inc. Linear substrate transport apparatus
AU2003277790A1 (en) * 2002-11-15 2004-06-15 Unaxis Balzers Ag Apparatus for vacuum treating two dimensionally extended substrates and method for manufacturing such substrates
US6848882B2 (en) * 2003-03-31 2005-02-01 Taiwan Semiconductor Manufacturing Co., Ltd Apparatus and method for positioning a cassette pod onto a loadport by an overhead hoist transport system
US7720557B2 (en) * 2003-11-06 2010-05-18 Applied Materials, Inc. Methods and apparatus for enhanced operation of substrate carrier handlers
TW200525601A (en) 2004-01-07 2005-08-01 Trecenti Technologies Inc Semiconductor manufacturing system, work manufacturing system, and conveyance system
TWI316044B (en) * 2004-02-28 2009-10-21 Applied Materials Inc Methods and apparatus for material control system interface
US7274971B2 (en) * 2004-02-28 2007-09-25 Applied Materials, Inc. Methods and apparatus for electronic device manufacturing system monitoring and control
US20060130767A1 (en) * 2004-12-22 2006-06-22 Applied Materials, Inc. Purged vacuum chuck with proximity pins
JP4414910B2 (ja) * 2005-02-17 2010-02-17 東京エレクトロン株式会社 半導体製造装置及び半導体製造方法
US7410340B2 (en) * 2005-02-24 2008-08-12 Asyst Technologies, Inc. Direct tool loading
US20060240680A1 (en) 2005-04-25 2006-10-26 Applied Materials, Inc. Substrate processing platform allowing processing in different ambients
US7771150B2 (en) * 2005-08-26 2010-08-10 Jusung Engineering Co., Ltd. Gate valve and substrate-treating apparatus including the same
US20070051314A1 (en) 2005-09-08 2007-03-08 Jusung Engineering Co., Ltd. Movable transfer chamber and substrate-treating apparatus including the same
WO2007101228A2 (en) 2006-02-27 2007-09-07 Blueshift Technologies, Inc. Semiconductor wafer handling and transport
WO2007112454A2 (en) * 2006-03-28 2007-10-04 Stratusys Inc. Apparatus and method for processing substrates using one or more vacuum transfer chamber units
TWM359810U (en) 2007-02-28 2009-06-21 Applied Materials Inc Power source device and plasma apparatus
CN100519835C (zh) 2007-11-01 2009-07-29 中国科学院电工研究所 一种生长硅基薄膜及高效硅基薄膜太阳能电池的pecvd设备
US7897525B2 (en) * 2008-12-31 2011-03-01 Archers Inc. Methods and systems of transferring, docking and processing substrates

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6679672B1 (en) * 2003-03-10 2004-01-20 Syracuse University Transfer port for movement of materials between clean rooms
TW200721357A (en) * 2005-09-08 2007-06-01 Jusung Eng Co Ltd Movable transfer chamber and substrate-treating apparatus including the same

Also Published As

Publication number Publication date
CN101767718A (zh) 2010-07-07
CN101767718B (zh) 2012-09-05
US8110511B2 (en) 2012-02-07
TW201027660A (en) 2010-07-16
US20100173439A1 (en) 2010-07-08

Similar Documents

Publication Publication Date Title
TWI401765B (zh) 傳送基板至二個或多個的製程模組的方法
TWI415211B (zh) 傳送一個或多個基板於製程模組之間或裝卸站之間的方法
TWI490971B (zh) 基板的處理系統、傳輸系統和傳輸方法以及橫向移動室
TWI436441B (zh) 製程模組設施
US8367565B2 (en) Methods and systems of transferring, docking and processing substrates
KR102502793B1 (ko) 멀티-스테이션 프로세싱 및 전-프로세싱 스테이션 및/또는 후-프로세싱 스테이션을 갖는 컴팩트 기판 프로세싱 툴
TWI509722B (zh) 處理半導體晶圓的裝置及方法
TW201336098A (zh) 鈍化矽晶太陽能電池的先進平台
US8865259B2 (en) Method and system for inline chemical vapor deposition
KR20130057441A (ko) 수직 인라인 화학기상증착 시스템
WO2010042577A2 (en) Advanced platform for processing crystalline silicon solar cells
KR20190036476A (ko) 기판 처리 장치, 기판 처리 방법 및 컴퓨터 기억 매체
EP2718963A2 (en) Method and system for inline chemical vapor deposition
WO2011139472A2 (en) Inline chemical vapor deposition system
KR102125122B1 (ko) 기판 처리 장치
WO2010078264A2 (en) Methods and systems of transferring, docking and processing substrates
WO2019170252A1 (en) Vacuum processing system and method of operating a vacuum processing system
JP2010067878A (ja) 基板処理装置
JP2019518863A (ja) 一又は複数の材料を基板の上に堆積させる真空システム及び方法
KR102034706B1 (ko) 기판처리시스템 및 기판처리방법
KR20110030528A (ko) 기판 제조 장치

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees