TWI393163B - 電漿處理設備 - Google Patents

電漿處理設備 Download PDF

Info

Publication number
TWI393163B
TWI393163B TW097124229A TW97124229A TWI393163B TW I393163 B TWI393163 B TW I393163B TW 097124229 A TW097124229 A TW 097124229A TW 97124229 A TW97124229 A TW 97124229A TW I393163 B TWI393163 B TW I393163B
Authority
TW
Taiwan
Prior art keywords
electrode
substrate
diameter
processing apparatus
plasma processing
Prior art date
Application number
TW097124229A
Other languages
English (en)
Other versions
TW200943364A (en
Inventor
Sung-Ryul Kim
Original Assignee
Tes Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tes Co Ltd filed Critical Tes Co Ltd
Publication of TW200943364A publication Critical patent/TW200943364A/zh
Application granted granted Critical
Publication of TWI393163B publication Critical patent/TWI393163B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • H01L21/67265Position monitoring, e.g. misposition detection or presence detection of substrates stored in a container, a magazine, a carrier, a boat or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Description

電漿處理設備
本發明是有關於一種電漿處理設備,且特別是有關於一種用於移除沉積在基底上的各種雜質的電漿處理設備。
半導體裝置和平板顯示器裝置是通過沉積製程和蝕刻製程來製造的。即,通過以下方式來製造半導體裝置和平板顯示器裝置:借助沉積製程在基底的預定區域上形成薄層,且通過使用蝕刻罩幕借助蝕刻製程移除所述薄層的不必要部分,從而在基底上形成所需的電路圖案或電路裝置。
然而,由於在沉積製程期間,在基底的整個前表面上形成所述薄層,接著在蝕刻製程期間,只有所述薄層的形成於基底中心區域上的部分是預定的蝕刻目標,所以所述薄層對應於基底的邊緣區域的部分保持不被移除。而且,所述製程的副產物(例如微粒)在蝕刻製程期間不可避免地會沉積。而且,一般來說,由於基底是使用靜電力或真空力安裝在基底支撐件上,所以基底與基底支撐件彼此隔開預定距離從而會產生間隙,薄層和微粒可能通過此間隙沉積在基底的後表面上。如果不移除沉積在所述基底上的微粒和薄層便執行隨後的製程時,所述基底就可能會變形,或者所述基底變得難以對準。
因此,最近正在研發用於蝕刻/移除沉積在基底的邊緣區域上的微粒和薄層的電漿處理設備。在此電漿處理設備中,基底安裝在直徑比所述基底的直徑小的基底支撐件 上,從而使基底的邊緣區域暴露,且將上電極和下電極設置在所述基底的邊緣區域的上側和下側,以在基底的暴露邊緣區域上產生電漿。通過減小基底支撐件與設置在基底的上部區域上的電漿阻擋單元之間的間隙,來防止電漿朝基底的中心區域穿透。
然而,根據上文所述的常規方法,基底擱置在直徑比所述基底的直徑小的基底支撐件上,且使用電漿來蝕刻基底的暴露邊緣區域,以移除沉積在邊緣部分(即,基底的上表面和後表面的邊緣以及基底的側表面)上的微粒。因此,使用常規方法不能完全移除沉積在基底的後表面的中心上的微粒。另外,根據常規方法,並不提供用於準確地控制上電極與基底支撐件之間的間隙和擱置在基底支撐件上的基底的對準的單獨的基底感測器。即使提供基底感測器,從基底感測器輸出的光也會受到上電極的阻礙。因此,常規方法常導致基底不對準(misalignment)。
本發明提供一種電漿處理設備,其能够通過準確地感測基底來更準確地控制各種操作,以通過以下方式使製程效率最大化:在設置於腔室內的上部區域中的上電極中形成用於引導基底感測器(substrate sensor)的光的導孔(guide hole),從而使基底感測器的操作不受上電極干擾。
本發明還提供一種電漿處理設備,其能够有效地移除不僅存在於基底的上下邊緣和側表面上而且存在於基底的整個背側(backside)上的微粒和沉積物。為此,下電極包含 多個電極,且所述電極在逐組基礎上交替地提起和放下,使得基底的整個背側可暴露。
根據示範性實施例,一種電漿處理設備包含:經配置以提供反應空間的腔室;設置在腔室中的下部區域處的下電極,且基底擱置在所述下電極上;面向所述下電極的上電極,且其設置在腔室的上部區域處;以及提供在腔室的上部區域中以感測所述基底的基底感測器,其中上電極包含電極板和附接在所述電極板的底部上的絕緣板,且至少一個導孔被形成於上電極中,以引導從基底感測器輸出的光朝向基底。
所述電極板可包含沿邊緣向下突出的側壁,且可在由所述側壁界定的內部空間中提供所述絕緣板。
所述導孔可被形成為垂直穿透所述側壁、垂直穿透側壁與絕緣板之間的區域或垂直穿透電極板和絕緣板。
所述導孔可包含上部入口和下部出口,其兩者的直徑大於內徑。
所述基底感測器和所述導孔可被提供在對應於基底的邊緣的垂直線上。
所述下電極可包含多個電極。
所述多個電極可以是同心的,且彼此隔開預定距離(a predetermined distance)。
所述多個電極可屬於兩個組中的一者,所述兩個組的每一者接收RF電壓(RF voltage)和接地電壓(ground voltage)中的一者,且在逐組基礎(group-by-group basis)上 向上和向下移動。
所述RF電壓可被控制在約400 KHz到約100 MHz的範圍內。
所述RF電壓可具有雙頻率(dual frequency)。
下電極的直徑可大於基底的直徑。
所述電漿處理設備可進一步包含:下升降器(lower lift),其與下電極耦合以提起/放下所述下電極;以及上升降器(upper lift),其與上電極耦合以提起/放下所述上電極。
根據另一示範性實施例,一種電漿處理設備包含:腔室;提供在所述腔室中的上部區域中的絕緣板;提供在所述腔室的側壁上的接地電極,且被供應有接地電壓;以及設置在腔室中的下部區域中的下電極,基底擱置在所述下電極上,其中所述下電極包含多個電極,且RF電壓和接地電壓分別交替地供應到鄰近的兩個電極(the adjacent two electrodes)。
RF電壓供應到的電極可以是固定的,且其它電極可被驅動以向上和向下移動。
接地電壓供應到的電極可以是固定的,且其它電極可被驅動以向上和向下移動。
下電極的電極可以是同心的,且彼此隔開預定距離。
下電極的電極可包含從基底的中心朝外圍排列的第一、第二和第三電極,第一電極的直徑可約為第三電極的直徑的35%到55%,且第二電極的直徑可約為第三電極的直徑的56%到75%。
下電極的電極可包含從基底的中心朝外圍排列的第一、第二、第三和第四電極。第一電極的直徑可約為第四電極的直徑的35%到45%,第二電極的直徑可約為第四電極的直徑的46%到60%,且第三電極的直徑可約為第四電極的直徑的61%到75%。
下電極的電極可包含從基底的中心朝外圍排列的第一、第二、第三、第四和第五電極。第一電極的直徑可約為第五電極的直徑的30%到40%,第二電極的直徑可約為第五電極的直徑的41%到50%,第三電極的直徑可約為第五電極的直徑的51%到60%,且第四電極的直徑可約為第五電極的直徑的61%到75%。
所述電漿處理設備可進一步包含提供在腔室上以感測所述基底的基底感測器,其中可在絕緣板中形成至少一個導孔,以引導從基底感測器輸出的光朝向所述基底。
在下文中,將參考附圖詳細描述特定實施例。然而,可以不同形式來實施本發明,且不應將本發明構造為限於本文所陳述的實施例。相反,提供這些實施例是為了使本發明全面且完整,且將向所屬領域的技術人員充分傳達本發明的範圍。在每一種可能的情況下,在所有圖中,相同元件符號表示相同元件。
實施例1
圖1是根據示範性實施例的電漿處理設備的剖面圖。圖2是圖1中所示的上電極的剖面圖。圖3是說明圖1中 的區域A的局部放大示意圖。圖4是圖1中所示的下電極的分解透視示意圖。
請參閱圖1到圖4,根據所述示範性實施例的電漿處理設備包含:腔室100;設置在腔室100中的下部區域處的下電極210,且基底G擱置在所述下電極210上;與下電極210耦合以提起/放下所述下電極210的下升降器241;面向下電極210的上電極300,且其設置在腔室100中的上部區域處;以及與上電極300耦合以提起/放下所述上電極300的上升降器331。而且,根據示範性實施例的所述電漿處理設備可進一步包含:形成於腔室100的內側壁上的腔室襯套(chamber liner)150;沿下電極210的外圍而提供的聚焦環(focus ring)500;以及提供在下電極210的外圍與腔室100的內表面之間的通風板(vent plate)600。
腔室100由具有陽極氧化表面(anodized surface)的鋁形成。腔室100包含:下腔室110;以及覆蓋下腔室110的頂部部分的腔室蓋120。下腔室110以具有開口頂部部分的圓柱體形狀形成,且下腔室110的形狀可視玻璃基底或半導體晶片的形狀而變化。腔室蓋120用於閉合下腔室110的開口頂部部分,且與下腔室110的頂部部分緊密接觸以在腔室100中形成預定空間。
在腔室100的頂部部分處提供氣體供應通道(gas supply channel)420,以垂直穿透腔室100的上壁,使得第一氣體可流入腔室100中。第一氣體供應單元410與氣體供應通道420連接。因此,第一氣體從第一氣體供應單元 410穿過氣體供應通道420流入腔室100中。在本文中,第一氣體可包含Ar、CF4 、Cl2 、SF6 、BCl3 其中之一及其中的一種組合。在腔室100的側壁處提供門130,使得基底G可穿過其而加載到腔室100中。打開和關閉門130以將待處理的基底G加載到腔室中,且從腔室卸載經處理的基底G。在腔室100的底部部分下提供排氣單元140。排氣單元140將蝕刻製程期間產生的反應氣體和反應副產物(例如,微粒)從腔室100排出。在本文中,可在腔室100的側壁下以及底部部分下形成排氣單元140。腔室襯套150安裝在腔室100的內側壁上,以保護腔室100的內側壁免受電漿損害。腔室襯套150以在中心區域中具有預定空間的中空圓柱體的形狀形成。將腔室襯套150安裝成使得其外側覆蓋腔室100的內側壁。而且,在腔室襯套150的內側上預定高度處提供環形突出物(protrusion)151。突出物151朝腔室100的中心延伸,且將接地電壓施加到突出物151。因此,在突出物151附近產生的電漿可集中在基底G的上/下邊緣區域上。
在腔室100的上部區域中提供上電極300。上電極300包含:上電極板310,其由例如鋁(Al)的導電材料形成;以及下絕緣板320,其由例如陶瓷的絕緣材料形成。
電極板310以具有沿其邊緣向下延伸的側壁310a的圓柱體(cylinder)的形狀形成。在電極板310的中心處形成氣體供應孔(未圖示),以與氣體供應通道420連通。電極板310的上表面的中心與上升降器331耦合,因此電極板310 被安裝在腔室100的內上壁上,使得電極板310可在預定範圍內向上和向下移動。在本文中,可在上升降器331與腔室100之間的連接區域處提供如波紋管332的密封構件,以便維持腔室100的密封狀態。而且,在電極板310中提供用於控制上電極300的溫度的冷卻通道311,且製冷劑供應單元(未圖示)與冷卻通道311連接。因此,從製冷劑供應單元供應的製冷劑循環穿過冷卻通道311,以防止上電極300的溫度突然增加,從而可防止絕緣板320的損壞。當然,可在絕緣板320中提供冷卻通道311。同時,將接地電壓施加到電極板310,且電極板310充當接地電極。因此,沒有必要在腔室100的內側壁上提供單獨的接地電極(請參閱圖7中的840),且可進一步簡化腔室100的結構。
絕緣板320插入由電極板310的側壁310a界定的內部空間中。絕緣板320既充當用於將氣體注射到基底G的上表面上的氣體注射板,又充當用於防止在基底G的上表面上產生電漿的電漿阻擋板。在絕緣板320中形成氣體注射孔430,使得絕緣板320可充當氣體注射板。氣體注射孔430的上部入口與氣體供應通道420連通,且氣體注射孔430的下部出口朝基底G打開。氣體注射孔430可包含從氣體供應通道420分支的多個氣體注射孔,使得注射壓力均勻地分布。氣體注射孔430的下部出口方向可朝外部傾斜,使得注射的氣體從基底G的中心朝基底G的邊緣流動。當然,氣體注射孔430可具有任何形狀,只要其可朝 基底G注射氣體即可。舉例來說,絕緣板320可具有這樣的配置:絕緣板與電極板310耦合,且包含底部中心處形成有凹槽(groove)的主絕緣板(main insulating plate),並且包含耦合到主絕緣板的凹槽的子絕緣板(sub insulating plate),使得主絕緣板與子絕緣板之間的空間可形成氣體注射孔430。
同時,用於感測基底G的基底感測器710安裝在腔室100的上壁上。具體地說,基底感測器710可安裝在對應於基底G的邊緣部分的垂直位置處。在此實施例中,透明區域720形成於腔室100的上壁的一部分處,且接著三個雷射感測器710a、710b、710c沿對應於基底G的邊緣的圓周區域安裝,使得其可彼此隔開相同距離。當然,基底感測器710的數目可多於三個或少於三個。而且,用於引導雷射感測器710a、710b、710c的光的三個導孔312a、312b、312c形成於上電極300的邊緣處。具體地說,導孔312a、312b、312c可形成於絕緣板320與沿電極板310的邊緣向下突出的側壁310a之間。或者,導孔312a、312b、312c可形成為只穿透電極板310的側壁310a或穿透電極板310和絕緣板320兩者。雷射感測器710a、710b、710c、透明區域720和導孔312a、312b、312c在對應於基底G的邊緣部分的垂直線上是同心的。導孔312a、312b、312c的數目可等於雷射感測器710a、710b、710c的數目。雷射感測器710a、710b、710c發射具有預定波長的光,且接收由基底G反射的光,以提供關於基底位置的信息,用於控 制基底G的對準和上電極300與下電極210之間的距離。一般來說,從雷射感測器710a、710b、710c發射的光隨距離而發散,且因此靈敏度可能會降低。然而,根據本實施例,導孔312a、312b、312c形成於上電極300中,用以使來自雷射感測器710a、710b、710c的光集中,因而可防止靈敏度降低。在本文中,導孔312a、312b、312c的上部入口和下部出口的直徑可大於內徑,這樣便不會阻礙從雷射感測器710a、710b、710c發射的光和由基底G反射的光的行進路徑。導孔的直徑d可在約0.1 mm到約0.5 mm的範圍內。當然,導孔312a、312b、312c的橫截面可以是任何形狀,例如多邊形(polygonal)和槽形形狀(slot shapes)以及圓形形狀,只要光可穿過其中即可。
下電極210既充當用於供應RF電壓711、712的射頻(RF)電極,又充當擱置基底G用的基底支撐件。下電極210可以是圓形或多邊形的。具體地說,視基底G是半導體晶片還是用於平板顯示面板的玻璃基底而定,下電極210可以是圓形或多邊形的。另外,下電極210的直徑可比基底G的直徑大。以此方式,基底G的邊緣區域的電漿密度可增加,因此電漿處理效率可提高。而且,可支撐基底G的整個後部區域以防止基底G變形。
下電極210包含多個電極211、212、213、214。具體地說,下電極210包含:中心電極211,其對應於基底G的中心而設置;以及一個或一個以上外圍電極212、213、214,其環繞中心電極211,且具有不同直徑。在本文中, 中心電極211可具有圓形或多邊形柱的形狀或圓形或多邊形環的形狀。外圍電極212、213、214可具有直徑不同的圓形或多邊形環的形狀。舉例來說,具有圓形柱形狀的第一電極211對應於基底G的中心而設置,且具有圓形環形狀的第二、第三和第四電極212、213、214以直徑遞增的次序圍繞第一電極211而設置。在本文中,下電極211、212、213、214是同心的,且彼此隔開預定距離。而且,下電極211、212、213、214可屬於兩個組(two groups)中的一者。每個組中的下電極可接收接地電壓和RF電壓711/712中的一者,且在逐組基礎上向上和向下移動。舉例來說,將接地電壓施加到從基底G的中心向外圍編號為奇數的第一電極211和第三電極213,且第一電極211和第三電極213與下升降器241連接。而且,將RF電壓711、712施加到所述電極中的其餘電極,即第二電極212和第四電極214,且第二電極212和第四電極214與支撐件231連接,因此固定到腔室100的下壁。因此,第一電極211和第三電極213相對於第二電極212和第四電極214的相對位置隨下升降器241的向上/向下移動而改變。因此,基底G可由所有電極211、212、213、214支撐或由一些電極211、213或212、214支撐,這樣便可改變基底G的後表面的暴露區域。因此,可在改變基底G的後表面的暴露區域的情況下執行製程。
當然,下電極310的功率配置(power configuration)和提起/放下配置不限於上文所述的配置。可使用相反的配 置,且可以其它方式來提起/放下相應的電極211、212、213、214,只要可在逐組基礎上控制相應電極211、212、213、214的電壓配置和提起/放下配置即可。而且,可將施加到下電極210的RF電壓711、712控制在約400 KHz到約100 MHz的範圍內,以控制電漿處理操作。即,電漿產生溫度隨著RF電壓711/712的頻率的增加而減小,借此可控制電漿處理操作。RF電壓711、712可具有單個頻率或具有雙頻率,例如高頻率和低頻率。當RF電壓711/712具有雙頻率時,可控制垂直方向上的蝕刻輪廓(etch profile),藉此可視基底狀態而執行更合適的電漿處理。
儘管在上文所述的實施例中將下電極210分成四個電極211、212、213、214,但本發明不限於此。即,下電極的數目可小於或大於四。在本文中,為了穩定地支撐基底且防止基底變形,外電極的直徑可大於內電極的直徑。舉例來說,如果下電極包含從中心向外側設置的第一、第二和第三電極,則第一電極的直徑可約為最外面的電極(即,第三電極)的直徑的35%到55%,且第二電極的直徑可約為最外面的電極的直徑的56%到75%。如果下電極包含從中心向外側設置的第一、第二、第三和第四電極,那麽第一電極的直徑可約為最外面的電極(即,第四電極)的直徑的35%到45%,第二電極的直徑可約為最外面的電極的直徑的46%到60%,且第三電極的直徑可約為第四電極的直徑的61%到75%。如果下電極包含從中心向外側設置的第一、第二、第三、第四和第五電極,則第一電極的直徑 可約為最外面的電極(即,第五電極)的直徑的30%到40%,第二電極的直徑可約為最外面的電極的直徑的41%到50%,第三電極的直徑可約為最外面的電極的直徑的51%到60%,且第四電極的直徑可約為最外面的電極的直徑的61%到75%。
儘管圖中未繪示,但下升降器241的一端穿透腔室100的下壁,且與提供在腔室100外側的驅動構件(例如,步進馬達)連接,使得下升降器241可向上和向下移動。在本文中,在下升降器241與腔室100之間的連接區域處提供例如波紋管242的密封構件,以保障腔室100的密封狀態。而且,下升降器241和驅動構件可以是任何能够提起/放下所述下電極210的部件。舉例來說,下升降器241和驅動構件可以是氣壓缸或液壓缸、線性馬達(liner motor,LM)導引件中的一者及其組合。
而且,可進一步在下電極210上提供用於支撐基底G的卡盤(chuck)(未圖示)。所述卡盤可以是靜電卡盤。然而,本發明不限於此,而是可使用真空力或機械力來以吸收的方式(absorbing manner)支撐基底G。而且,在下電極210中提供用於溫度控制的冷卻通道220,且製冷劑供應單元(未圖示)可與冷卻通道220連接,使得下電極210的溫度可被合適地控制。而且,可進一步在下電極210中提供氦通道(未圖示),這樣便可使用通過氦通道供應的氦來控制基底G的溫度。
聚焦環500可以環的形狀形成,且沿下電極210的外 圍提供。當供應到腔室100中的氣體變成電漿時,聚焦環500用於使電漿集中到基底G上,以提高反應效率。
通風板600以具有垂直開口的中心部分的圓形板的形狀製造,且形成沿圓周方向彼此隔開相同距離的多個排氣孔620,以垂直穿透通風板600。而且,在下電極210與腔室100的內表面之間提供通風板600。具體地說,形成通風板600以使其連接在聚焦環500的外圍與腔室100的內側壁之間,從而將腔室100的內部空間分成上部空間和下部空間。通風板600控制壓力,使得注射到腔室100中的第一氣體均勻地分布在腔室100中。因此,可防止腔室100中產生的電漿的局部集中。同時,可進一步在通風板600的一個表面(即,通風板600的上表面)上提供突出的電極610。因此,通風板600可控制腔室100的壓力以使其較均勻,且還可充當施加有接地電壓的電極。在本文中,突出的電極610可與通風板600一體形成或單獨形成。如果突出的電極610與通風板600單獨形成,那麽可單獨地將接地電壓施加到通風板600和突出的電極610。當然,可同時將接地電壓施加到通風板600和突出的電極610。
在下文中,將描述根據本實施例的用於通過使用上文所述的電漿處理設備來移除存在於基底的上/下邊緣、側表面和後表面上的微粒和沉積物的方法。
圖5是腔室的示意圖,用於說明根據示範性實施例的電漿處理設備的第一處理操作。圖6是腔室的示意圖,用於說明根據第一示範性實施例的電漿處理設備的第二處理 操作。
首先,當通過基底承載構件將基底G加載到腔室100中時,第一電極211和第三電極213被下升降器241提起,使得基底G被加載到所述兩個電極的上表面上。此時,安裝在腔室100上的基底感測器710感測基底G的位置,且將感測到的基底G的位置信息提供給基底承載系統,從而可將基底G設置在所需位置處,即下電極210的中心。
其後,請參閱圖5所示,在第一處理操作中,第一電極211和第三電極213進一步被下升降器241提起,使得它們與上電極300間隔開預定距離。此後,來自氣體供應單元410的製程氣體穿過氣體供應通道420流入絕緣板320中,且因此製程氣體穿過形成於絕緣板320中的氣體注射孔430注射到基底G上。製程氣體可包含:用於移除基底G上的沉積物的反應氣體以及惰性氣體。與製程氣體的注射同時或在其之後,將接地電壓施加到電極板310、第一電極211和第三電極213,且將RF電壓711、712施加到第二電極212和第四電極214,從而產生電漿。此時,為了不活化電漿,將絕緣板320與基底G之間的距離維持在(例如)約1 mm或更小。因此,不在基底G的上表面的中心上產生電漿,且在基底G的上邊緣區域、側區域和後表面區域上產生電漿PE、P1、P3、P5、P7。具體地說,在受接地電壓施加的電極板310、第一電極211和第三電極213環繞的空間中產生電漿PE、P1、P3、P5、P7。由此,移除了暴露基底區域,即基底G的上邊緣區域和側區 域(PE)以及基底G的後表面區域中的一些區域(即,第一電極211與第三電極213之間的區域)(P1、P3、P5、P7)中存在的微粒和沉積物。
另一方面,請參閱圖6所示,在第二處理操作中,第一電極211和第三電極213被下升降器241放下,使它們低於第二電極212和第四電極214,使得基底G擱置在第二電極212和第四電極214上。同時,上電極300被上升降器331放下,使得其與提供於腔室100中的下部空間處的第二電極212和第四電極214隔開預定距離。此後,從氣體供應單元410供應的第一氣體穿過氣體供應通道420流入絕緣板320中,因此第一氣體穿過形成於絕緣板320中的氣體注射孔430注射到基底G上。與第一氣體的注射同時或在其之後,將接地電壓施加到電極板310、第一電極211和第三電極213,且將RF電壓711、712施加到第二電極212和第四電極214以產生電漿。此時,RF電壓711、712可在約400 KHz到約100 MHz的範圍內。而且,為了不活化電漿,將絕緣板320與基底G之間的距離維持在(例如)約1 mm或更小。因此,不在基底G的上表面的中心上產生電漿,且在基底G的上邊緣區域、側區域和後表面區域上產生電漿PE、P2、P4、P6。具體地說,在由電極板310、第二電極212和第四電極214環繞的空間中產生電漿PE、P2、P4、P6。將RF電壓施加到第二電極212和第四電極214。由此,移除了暴露基底區域,即基底G的上邊緣區域和側區域(PE)以及基底G的後表面區域 中的一些區域(即,第二電極212與第四電極214之間的區域)(P2、P4、P6)中存在的微粒和沉積物。
同時,在第一處理操作和第二處理操作完成之後,第一電極211和第三電極213再次被下升降器241提起,使得基底G再次擱置在其上表面上。此後,基底承載構件(substrate carrying means)將基底G卸載到腔室100的外部。
以此方式,根據本實施例的電漿處理設備執行上文所述的第一處理操作和第二處理操作來移除基底的上/下邊緣區域、側區域和後表面區域中存在的微粒和沉積物,同時保護基底G的上表面。而且,由於基底位置可由基底感測器710檢測,所以可進一步减少基底G的對準誤差,且還可更準確地控制上電極300與下電極210之間的距離。因此,可使製程效率最大化。而且,下電極210包含多個電極211、212、213、214,且所述電極中的一些電極(211、213)用於將基底G移動到加載/卸載位置。因此,常規的基底升降構件變得不必要,且可進一步簡化下電極210的結構。而且,由於下電極210包含多個電極211、212、213、214,且交替地將接地電壓和RF電壓施加到電極211、212、213、214,所以可在較大面積中均勻地形成電漿。因此,本發明不僅可應用於較小/中等大小的基底,而且可應用於大面積的基底。
實施例2
根據本發明的電漿處理設備不限於上文所述的配置,而是各種實施例都是可能的。在下文中,將描述根據第二 示範性實施例的電漿處理設備,作為各種實施例的實例。在描述此實施例的過程中,為了簡明起見,將省略重複的描述內容。
圖7是根據第二示範性實施例的電漿處理設備的剖面圖。圖8是圖7中所示的下電極的分解透視示意圖。
請參閱圖7、圖8所示,根據第二示範性實施例的電漿處理設備包含:腔室100;設置在腔室100的上部區域處的絕緣板820;提供在腔室100的側壁的上部處的接地電極840;下電極810,基底G擱置在其上;經配置以提起/放下絕緣板820的上升降器851;以及經配置以提起/放下下電極810的下升降器852。而且,所述電漿處理設備可進一步包含:經配置以將第一氣體供應到腔室100中的上部區域的第一氣體供應構件860;以及經配置以穿過下電極810供應第二氣體的第二氣體供應構件870。
在本文中,第一氣體供應構件860包含:經配置以存儲第一氣體的第一氣體供應單元861;以及經配置以將第一氣體供應到腔室100中的第一氣體供應通道862。而且,第二氣體供應構件870包含:經配置以存儲第二氣體的第二氣體供應單元871;以及經配置以將第二氣體供應到下電極810的第二氣體供應通道872。在本文中,第一氣體供應構件860可以是任何可將第一氣體供應到腔室100中的構件。
絕緣板820安裝在支撐板830的下表面上,且支撐板830的上表面的中心與上升降器851耦合,且安裝在腔室 100的上壁處,從而使支撐板830可在預定範圍內向上和向下移動。支撐板以具有沿邊緣向下突出的側壁的圓柱體的形狀形成,且絕緣板820安裝在由側壁界定的內部空間中。而且,用於引導雷射感測器710的光的導孔831安裝在支撐板830和絕緣板820中的至少一者的邊緣處。具體地說,導孔831可形成為穿透絕緣板820與支撐板830的側壁之間的區域。在本文中,導孔831可形成為只穿透支撐板830的側壁,或穿透支撐板830和絕緣板820。
提供在腔室100側壁的上部處的接地電極840以圓環的形狀形成。接地電極840包含分別接地的內電極841和外電極842。內電極841的頂部與形成於腔室100上壁中的第一氣體供應通道862連接,且在內電極841內提供預定空間。而且,在內電極841的側壁的一側處形成與預定空間連接的多個氣體注射孔。即,第一氣體穿過形成於腔室100的上壁中的第一氣體供應通道862流入形成於內電極841的內側處的預定空間中。引入到預定空間中的第一氣體穿過形成於內電極310的側壁處的氣體注射孔流入到腔室100中。外電極842形成為鄰近於腔室100的側壁的上部,更具體地說,鄰近於內電極841的底部。因此,當製程開始時,接地電極840設置成鄰近於基底G的邊緣區域。即,接地電極840設置在基底G的端部區域處,以便通過使用電漿來蝕刻基底G的頂部邊緣區域、側區域和後區域中存在的微粒和沉積物。同時,內電極841和外電極842形成為單個電極,且可塗覆有介電層以起到保護作用。
可將下電極810分成多個電極811、812、813。可將RF電壓891、892供應到從基底G的中心向基底G的外圍編號為奇數的電極811、813,且可將接地電壓供應到另一電極812。在本文中,RF電壓891、892供應到的電極811、813可以是固定的,且另一電極812可向上和向下移動。距離來說,下電極810包含:具有圓形柱形狀的第一電極811,且其對應於基底G的中心而設置;以及具有圓環形狀的第二和第三電極812、813,且其以直徑遞增的次序圍繞第一電極811而設置。在本文中,將RF電壓891、892供應到第一電極811和第三電極813,且將接地電壓供應到第二電極812。在本文中,RF電壓891、892供應到的第一電極811和第三電極813由相應的支撐件880固定到腔室100的下壁,且第二電極812與下升降器852連接以向上和向下移動。因此,RF電壓891、892可穩定地供應到第一電極811和第三電極813,且基底G的後表面的某一區域和其餘區域可根據第二電極812的相對向上/向下移動而交替地暴露。因此,可移除基底G的後表面的整個區域中存在的微粒和沉積物。儘管在上文的描述內容中,將下電極810分成三個電極811、812、813,但本發明不限於此。即,下電極810可包含四個或四個以上電極。
而且,在下電極811、812、813的表面上提供多個氣體注射孔811a、812a、813a。即,如圖8中所說明,在下電極811、812、813的上表面和側表面上形成多個氣體注射孔811a、812a、813a,且氣體注射孔811a、812a、813a 與第二氣體供應通道872連接,以便朝基底G的後部注射從第二氣體供應單元871提供的第二氣體。因此,第二氣體可與供應到腔室100的內上部區域的第一氣體同時或單獨地供應到腔室100,因此多種製程控制是可能的。當然,第一氣體和第二氣體中的至少一者包含用於移除基底G上的微粒的反應氣體,且它們可使用同一氣體或不同氣體。
儘管上文所描述的實施例中說明了具有多個下電極的RIE型電漿處理設備,但本發明不限於此。即,本發明也可應用於各種電漿處理設備,例如電感耦合電漿(inductive coupled plasma,ICP)處理設備、電容耦合電漿(capacitively coupled plasma,CCP)處理設備、使用微波的電子迴旋諧振(electron cyclotron resonance,ECR)處理設備以及表面波電漿(surface wave plasma,SWP)處理設備。
根據如上文所述的本發明,在設置於腔室中的上部區域處的上電極的邊緣處提供用於引導基底感測器的光的導孔,從而可在不受上電極阻礙的情況下準確地檢測基底的位置。因此,可進一步减少基底的對準誤差,且可更準確地控制上電極與下電極之間的距離,從而可使製程效率最大化。
而且,本發明的示範性實施例的下電極包含多個電極,且所述電極在逐組基礎上交替地被提起和放下,這樣不但可有效地移除基底的上邊緣和下邊緣以及側表面上存在的微粒和沉積物,而且可有效地移除基底的整個後表面 上存在的微粒和沉積物。
而且,本發明的示範性實施例的下電極包含多個電極,且交替地將接地電壓和RF電壓供應到下電極中的電極,從而可在較大面積中均勻地形成電漿。因此,本發明不僅可應用於較小/中等大小的基底,而且可應用於大面積基底。
另外,本發明的示範性實施例的下電極包含多個電極,且所述電極中的一些電極將基底移動到加載/卸載位置。因此,單獨的基底升降構件是不必要的,且可進一步簡化下電極的配置。
另外,本發明的示範性實施例的上電極包含電極板和絕緣板,且電極板的邊緣向下延伸以充當接地電極。因此,腔室的內側壁上的單獨的接地電極是不必要的,且可簡化腔室的配置。
儘管已參考特定實施例描述了電漿處理設備,但本發明不限於此,而是由隨附申請專利範圍界定本發明。因此,所屬領域的技術人員將容易明白,可在不脫離由隨附申請專利範圍界定的本發明的精神和範圍的情況下對本發明作出各種修改和改變。
100‧‧‧腔室
110‧‧‧下腔室
120‧‧‧腔室蓋
130‧‧‧門
140‧‧‧排氣單元
150‧‧‧腔室襯套
151‧‧‧突出物
210、810‧‧‧下電極
211、212、213、214、610、811、812、813‧‧‧電極
220、311‧‧‧冷卻通道
231、880‧‧‧支撐件
241、852‧‧‧下升降器
242、332‧‧‧波紋管
300‧‧‧上電極
310‧‧‧上電極板
310a‧‧‧側壁
312、312a、312b、312c、831‧‧‧導孔
320‧‧‧下絕緣板
331、851‧‧‧上升降器
410、861‧‧‧第一氣體供應單元
420、862、872‧‧‧氣體供應通道
430、811a、812a、813a‧‧‧氣體注射孔
500‧‧‧聚焦環
600‧‧‧通風板
620‧‧‧排氣孔
710‧‧‧基底感測器
710a、710b、710c‧‧‧雷射感測器
711、712、891、892‧‧‧RF電壓
720‧‧‧透明區域
820‧‧‧絕緣板
830‧‧‧支撐板
840‧‧‧接地電極
841‧‧‧內電極
842‧‧‧外電極
860‧‧‧第一氣體供應構件
870‧‧‧第二氣體供應構件
871‧‧‧第二氣體供應單元
G‧‧‧基底
PE、P1、P2、P3、P4、P5、P6、P7‧‧‧電漿
圖1是根據實施例1的電漿處理設備的剖面圖。
圖2是圖1中所示的上電極的剖面圖。
圖3是說明圖1中的區域A的局部放大示意圖。
圖4是圖1中所示的下電極的分解透視示意圖。
圖5是腔室的示意圖,用於說明根據實施例1的等離子處理設備的第一處理操作。
圖6是腔室的示意圖,用於說明根據實施例1的電漿處理設備的第二處理操作。
圖7是根據實施例2的電漿處理設備的剖面圖。
圖8是圖7中所示的下電極的分解透視示意圖。
100‧‧‧腔室
110‧‧‧下腔室
120‧‧‧腔室蓋
130‧‧‧門
140‧‧‧排氣單元
150‧‧‧腔室襯套
151‧‧‧突出物
210‧‧‧下電極
211、212、213、214‧‧‧電極
220、311‧‧‧冷卻通道
231‧‧‧支撐件
241‧‧‧下升降器
242‧‧‧波紋管
300‧‧‧上電極
310‧‧‧上電極板
310a‧‧‧側壁
312‧‧‧導孔
320‧‧‧下絕緣板
331‧‧‧上升降器
410‧‧‧第一氣體供應單元
420‧‧‧氣體供應通道
430‧‧‧氣體注射孔
500‧‧‧聚焦環
600‧‧‧通風板
610‧‧‧電極
620‧‧‧排氣孔
710‧‧‧基底感測器
720‧‧‧透明區域
G‧‧‧基底

Claims (20)

  1. 一種電漿處理設備,包括:一腔室,其經配置以提供一反應空間;一下電極,一基底被擱置於其上,所述下電極設置在所述腔室的一下部區域處;一上電極,其面向所述下電極,且設置在所述腔室的一上部區域處;以及一基底感測器,其被提供在所述腔室的所述上部區域中,以藉由輸出光到所述基底且接收由所述基底反射的所述光,來感測所述基底,其中所述上電極包含一電極板和附接在所述電極板的底部上的一絕緣板,且至少一個導孔被形成在所述上電極中,以引導從所述基底感測器輸出的所述光朝向所述基底。
  2. 如申請專利範圍第1項所述之電漿處理設備,其中所述的電極板包括沿邊緣向下突出的一側壁,且所述絕緣板被提供於由所述側壁界定的內部空間中。
  3. 如申請專利範圍第2項所述之電漿處理設備,其中所述的導孔被形成為垂直穿透所述側壁、垂直穿透所述側壁與所述絕緣板之間的空間或垂直穿透所述電極板和所述絕緣板。
  4. 如申請專利範圍第3項所述之電漿處理設備,其中所述的導孔包括一上部入口和一下部出口,且所述上部入口和所述下部出口的直徑大於所述導孔的內徑。
  5. 如申請專利範圍第1項所述之電漿處理設備,其中 所述的基底感測器和所述導孔被提供在對應於所述基底的邊緣的垂直線上。
  6. 如申請專利範圍第1項所述之電漿處理設備,其中所述下電極包括多個電極。
  7. 如申請專利範圍第6項所述之電漿處理設備,其中所述多個電極是同心的,且彼此間隔開預定距離。
  8. 如申請專利範圍第7項所述之電漿處理設備,其中所述多個電極屬於兩個組中的一者,所述組的每一者接收一射頻電壓和一接地電壓其中之一,且在逐組基礎上向上和向下移動。
  9. 如申請專利範圍第8項所述之電漿處理設備,其中所述射頻電壓被控制在400 KHz到100 MHz的範圍內。
  10. 如申請專利範圍第9項所述之電漿處理設備,其中所述射頻電壓具有雙頻率。
  11. 如申請專利範圍第1項所述之電漿處理設備,其中所述下電極的直徑大於所述基底的直徑。
  12. 如申請專利範圍第1項所述之電漿處理設備,更包括:一下升降器,其與所述下電極耦合,以提起/放下所述下電極;以及一上升降器,其與所述上電極耦合,以提起/放下所述上電極。
  13. 一種電漿處理設備,包括:一腔室; 一絕緣板,其被提供於所述腔室的一上部區域中;一接地電極,其被提供於所述腔室的一側壁上,且被供應一接地電壓;以及一下電極,其被提供於所述腔室中的一下部區域中,一基底被擱置在所述下電極上,其中所述下電極包括多個電極,且一射頻電壓和所述接地電壓被分別交替地供應到鄰近的兩個電極。
  14. 如申請專利範圍第13項所述之電漿處理設備,其中所述射頻電壓供應到的所述電極是固定的,且其它電極被驅動以向上和向下移動。
  15. 如申請專利範圍第13項所述之電漿處理設備,其中所述接地電壓供應到的所述電極是固定的,且其它電極被驅動以向上和向下移動。
  16. 如申請專利範圍第13項所述之電漿處理設備,其中所述下電極中的所述多個電極是同心的,且彼此隔開預定距離。
  17. 如申請專利範圍第16項所述之電漿處理設備,其中所述下電極中的所述多個電極包括從所述基底的中心向外圍排列的第一、第二和第三電極,所述第一電極的直徑為所述第三電極的直徑的35%到55%,且所述第二電極的直徑為所述第三電極的直徑的56%到75%。
  18. 如申請專利範圍第16項所述之電漿處理設備,其中所述下電極中的所述多個電極包括從所述基底的中心向外圍排列的第一、第二、第三和第四電極,所述第一電極 的直徑為所述第四電極的直徑的35%到45%,所述第二電極的直徑為所述第四電極的直徑的46%到60%,且所述第三電極的直徑為所述第四電極的直徑的61%到75%。
  19. 如申請專利範圍第16項所述之電漿處理設備,其中所述下電極中的所述多個電極包括從基底的中心向外圍排列的第一、第二、第三、第四和第五電極,所述第一電極的直徑為所述第五電極的直徑的30%到40%,所述第二電極的直徑為所述第五電極的直徑的41%到50%,所述第三電極的直徑為所述第五電極的直徑的51%到60%,且所述第四電極的直徑為所述第五電極的直徑的61%到75%。
  20. 如申請專利範圍第13項所述之電漿處理設備,更包括提供於所述腔室上以感測所述基底的一基底感測器,其中至少一個導孔被形成於所述絕緣板中,以引導從所述基底感測器輸出的光朝向所述基底。
TW097124229A 2008-04-03 2008-06-27 電漿處理設備 TWI393163B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020080031042A KR100978754B1 (ko) 2008-04-03 2008-04-03 플라즈마 처리 장치

Publications (2)

Publication Number Publication Date
TW200943364A TW200943364A (en) 2009-10-16
TWI393163B true TWI393163B (zh) 2013-04-11

Family

ID=41132305

Family Applications (1)

Application Number Title Priority Date Filing Date
TW097124229A TWI393163B (zh) 2008-04-03 2008-06-27 電漿處理設備

Country Status (5)

Country Link
US (1) US8138444B2 (zh)
JP (1) JP5128383B2 (zh)
KR (1) KR100978754B1 (zh)
CN (1) CN101552183B (zh)
TW (1) TWI393163B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI768406B (zh) * 2019-08-27 2022-06-21 大陸商中微半導體設備(上海)股份有限公司 改善氣體均一分佈的電漿反應裝置

Families Citing this family (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080277064A1 (en) * 2006-12-08 2008-11-13 Tes Co., Ltd. Plasma processing apparatus
KR100823302B1 (ko) * 2006-12-08 2008-04-17 주식회사 테스 플라즈마 처리 장치
US9111729B2 (en) * 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
US9190289B2 (en) 2010-02-26 2015-11-17 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
JP5567392B2 (ja) * 2010-05-25 2014-08-06 東京エレクトロン株式会社 プラズマ処理装置
TW201101937A (en) * 2010-06-23 2011-01-01 Linco Technology Co Ltd High-density electrode device for plasma surface treatment
US9967965B2 (en) 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US8999104B2 (en) 2010-08-06 2015-04-07 Lam Research Corporation Systems, methods and apparatus for separate plasma source control
US9155181B2 (en) 2010-08-06 2015-10-06 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US9449793B2 (en) 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
KR101160625B1 (ko) * 2010-09-20 2012-06-28 주식회사 뉴파워 프라즈마 상하 다중 분할 전극을 위한 다중 전원 공급원을 갖는 플라즈마 반응기
US9177762B2 (en) 2011-11-16 2015-11-03 Lam Research Corporation System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing
US10283325B2 (en) 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
JP6000743B2 (ja) * 2012-08-10 2016-10-05 東京エレクトロン株式会社 基板処理装置および基板処理方法
KR101376091B1 (ko) * 2012-09-21 2014-03-19 (주) 엠에이케이 곡면 처리용 플라즈마 처리장치
CN103779165B (zh) * 2012-10-19 2016-08-31 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体设备及工件位置检测方法
CN103903951B (zh) * 2012-12-28 2016-05-18 财团法人金属工业研究发展中心 等离子体系统的回馈控制方法及其系统
US9536710B2 (en) * 2013-02-25 2017-01-03 Applied Materials, Inc. Tunable gas delivery assembly with internal diffuser and angular injection
JP6220183B2 (ja) * 2013-08-07 2017-10-25 株式会社ディスコ プラズマエッチング装置
US20150111394A1 (en) * 2013-10-23 2015-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for forming uniform film on semiconductor substrate
CN104752143B (zh) * 2013-12-31 2017-05-03 中微半导体设备(上海)有限公司 一种等离子体处理装置
SG11201608771WA (en) 2014-05-09 2016-11-29 Ev Group E Thallner Gmbh Method and device for plasma treatment of substrates
CN103996596B (zh) * 2014-05-14 2016-03-16 京东方科技集团股份有限公司 一种干法刻蚀设备
KR101657079B1 (ko) * 2015-03-16 2016-09-13 주식회사 테스 기판처리장치의 수평조절장치 및 이를 이용한 수평조절방법
TWI554655B (zh) * 2015-08-06 2016-10-21 財團法人工業技術研究院 電極防汙裝置與鍍膜系統
US20190032212A1 (en) * 2016-02-10 2019-01-31 Beneq Oy An apparatus for atomic layer deposition
JP6880076B2 (ja) * 2016-06-03 2021-06-02 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板距離の監視
JP6829053B2 (ja) * 2016-11-09 2021-02-10 コマツ産機株式会社 マシンルーム
KR102194817B1 (ko) * 2016-11-15 2020-12-23 어플라이드 머티어리얼스, 인코포레이티드 이동하는 기판의 완전한 플라즈마 커버리지를 위한 동적 단계적 어레이 플라즈마 소스
US20190157048A1 (en) * 2017-11-17 2019-05-23 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma processing apparatus and method for forming semiconductor device structure
KR20200080460A (ko) 2018-12-26 2020-07-07 삼성전자주식회사 반도체 소자 제조 방법 및 반도체 공정 설비
US11127610B2 (en) * 2019-01-04 2021-09-21 Lam Research Corporation Split chamber assembly
KR20210003620A (ko) * 2019-07-02 2021-01-12 주성엔지니어링(주) 기판처리장치
KR102120494B1 (ko) * 2019-07-15 2020-06-09 주식회사 테스 기판처리장치
CN112309807B (zh) * 2019-08-02 2022-12-30 中微半导体设备(上海)股份有限公司 等离子体刻蚀设备
JP7233348B2 (ja) * 2019-09-13 2023-03-06 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US11702750B2 (en) 2020-06-10 2023-07-18 Sandisk Technologies Llc Method and apparatus for depositing a multi-sector film on backside of a semiconductor wafer
US11473199B2 (en) * 2020-06-10 2022-10-18 Sandisk Technologies Llc Method and apparatus for depositing a multi-sector film on backside of a semiconductor wafer
JP2021197244A (ja) * 2020-06-11 2021-12-27 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
EP4168601A4 (en) * 2020-07-30 2024-06-26 Xefco Pty Ltd PLASMA COATING WITH NANOMATERIAL
KR20240028995A (ko) * 2022-08-25 2024-03-05 베이징 나우라 마이크로일렉트로닉스 이큅먼트 씨오., 엘티디. 다수의 무선 주파수(rf) 전극을 포함하는 기판 서포트

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05136098A (ja) * 1991-11-15 1993-06-01 Seiko Epson Corp 半導体装置の製造装置及び半導体装置の製造方法
US20040035529A1 (en) * 1999-08-24 2004-02-26 Michael N. Grimbergen Monitoring a process and compensating for radiation source fluctuations
US6953515B2 (en) * 2001-12-12 2005-10-11 Lam Research Corporation Apparatus and method for providing a signal port in a polishing pad for optical endpoint detection
CN1692475A (zh) * 2003-05-12 2005-11-02 索绍株式会社 等离子腐蚀室及使用其的等离子腐蚀系统

Family Cites Families (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5933251B2 (ja) 1977-10-19 1984-08-14 株式会社日立製作所 プラズマ気相処理装置
JPS6012734A (ja) 1983-07-01 1985-01-23 Hitachi Ltd プラズマ処理装置
JPS61265820A (ja) 1985-05-21 1986-11-25 Anelva Corp プラズマ処理装置
JPH04317325A (ja) 1991-04-17 1992-11-09 Nec Corp 半導体装置の製造装置
AU2003195A (en) 1994-06-21 1996-01-04 Boc Group, Inc., The Improved power distribution for multiple electrode plasma systems using quarter wavelength transmission lines
US5853483A (en) * 1995-05-02 1998-12-29 Dainippon Screen Mfg. Co., Ltd. Substrate spin treating method and apparatus
US5707485A (en) 1995-12-20 1998-01-13 Micron Technology, Inc. Method and apparatus for facilitating removal of material from the backside of wafers via a plasma etch
JPH10289881A (ja) 1997-04-15 1998-10-27 Kokusai Electric Co Ltd プラズマcvd装置
JPH10326772A (ja) 1997-05-26 1998-12-08 Ricoh Co Ltd ドライエッチング装置
US6345125B2 (en) 1998-02-25 2002-02-05 Lucent Technologies Inc. Multiple description transform coding using optimal transforms of arbitrary dimension
KR100271767B1 (ko) 1998-06-09 2001-02-01 윤종용 플라즈마를 이용하는 반도체장치 제조설비
KR100271770B1 (ko) 1998-09-03 2001-02-01 윤종용 반도체장치 제조를 위한 플라즈마 공정챔버
JP3166745B2 (ja) 1998-12-25 2001-05-14 日本電気株式会社 プラズマ処理装置ならびにプラズマ処理方法
US6178919B1 (en) 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
JP4585648B2 (ja) 1999-09-03 2010-11-24 株式会社アルバック プラズマ処理装置
JP3643540B2 (ja) * 2000-02-21 2005-04-27 株式会社日立製作所 プラズマ処理装置
US8617351B2 (en) 2002-07-09 2013-12-31 Applied Materials, Inc. Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
JP2002009043A (ja) 2000-06-23 2002-01-11 Hitachi Ltd エッチング装置及びそれを用いた半導体装置の製造方法
KR100433008B1 (ko) 2001-04-18 2004-05-31 (주)소슬 플라즈마 식각 장치
JP2003155569A (ja) 2001-11-16 2003-05-30 Nec Kagoshima Ltd プラズマcvd装置及びそのクリーニング方法
KR100442194B1 (ko) 2002-03-04 2004-07-30 주식회사 씨싸이언스 웨이퍼 건식 식각용 전극
JP4175456B2 (ja) * 2002-03-26 2008-11-05 株式会社 東北テクノアーチ オンウエハ・モニタリング・システム
CN1240113C (zh) 2002-08-20 2006-02-01 东京毅力科创株式会社 等离子体蚀刻方法及装置
KR100465877B1 (ko) 2002-08-23 2005-01-13 삼성전자주식회사 반도체 식각 장치
SG152898A1 (en) * 2002-09-20 2009-06-29 Asml Netherlands Bv Alignment systems and methods for lithographic systems
JP4607517B2 (ja) 2003-09-03 2011-01-05 東京エレクトロン株式会社 プラズマ処理装置
KR100572131B1 (ko) 2003-12-11 2006-04-18 (주)울텍 실리콘 웨이퍼의 가장자리, 측면, 하부면을 동시에식각하기 위한 플라즈마 식각장치
KR20060009772A (ko) 2004-07-26 2006-02-01 삼성전자주식회사 내플라즈마성 라이너를 갖는 플라즈마 공정 챔버 및 그를채용한 웨이퍼 엣지 스트리퍼
JP2006278821A (ja) 2005-03-30 2006-10-12 Matsushita Electric Ind Co Ltd 半導体製造装置、半導体装置の製造方法および半導体製造装置のクリーニング方法
US7551396B2 (en) 2005-04-27 2009-06-23 Hitachi Global Storage Technologies Netherlands B.V. Perpendicular magnetic write head having a studded trailing shield compatible with read/write offset
TWI354824B (en) 2005-05-09 2011-12-21 Advanced Display Proc Eng Co Lift pin module of flat panel display manufacturin
JP2006319043A (ja) 2005-05-11 2006-11-24 Hitachi High-Technologies Corp プラズマ処理装置
US20060278339A1 (en) 2005-06-13 2006-12-14 Lam Research Corporation, A Delaware Corporation Etch rate uniformity using the independent movement of electrode pieces
KR101149332B1 (ko) * 2005-07-29 2012-05-23 주성엔지니어링(주) 플라즈마 식각 장치
US7909960B2 (en) 2005-09-27 2011-03-22 Lam Research Corporation Apparatus and methods to remove films on bevel edge and backside of wafer
KR100585183B1 (ko) * 2006-01-21 2006-05-30 삼성전자주식회사 반도체소자의 제조방법
US7472859B2 (en) * 2006-04-27 2009-01-06 Mcmahan Philip Gerald Powered serving winder apparatus for manufacturing bowstring
KR100742126B1 (ko) 2006-08-22 2007-07-24 (주)에스비즈 에칭 설비의 전극간 갭 평형 감지 장치
KR100823302B1 (ko) 2006-12-08 2008-04-17 주식회사 테스 플라즈마 처리 장치
US20080277064A1 (en) 2006-12-08 2008-11-13 Tes Co., Ltd. Plasma processing apparatus

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05136098A (ja) * 1991-11-15 1993-06-01 Seiko Epson Corp 半導体装置の製造装置及び半導体装置の製造方法
US20040035529A1 (en) * 1999-08-24 2004-02-26 Michael N. Grimbergen Monitoring a process and compensating for radiation source fluctuations
US6953515B2 (en) * 2001-12-12 2005-10-11 Lam Research Corporation Apparatus and method for providing a signal port in a polishing pad for optical endpoint detection
CN1692475A (zh) * 2003-05-12 2005-11-02 索绍株式会社 等离子腐蚀室及使用其的等离子腐蚀系统

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI768406B (zh) * 2019-08-27 2022-06-21 大陸商中微半導體設備(上海)股份有限公司 改善氣體均一分佈的電漿反應裝置

Also Published As

Publication number Publication date
KR100978754B1 (ko) 2010-08-30
TW200943364A (en) 2009-10-16
US20090250443A1 (en) 2009-10-08
JP2009253263A (ja) 2009-10-29
KR20090105530A (ko) 2009-10-07
CN101552183B (zh) 2011-10-26
JP5128383B2 (ja) 2013-01-23
CN101552183A (zh) 2009-10-07
US8138444B2 (en) 2012-03-20

Similar Documents

Publication Publication Date Title
TWI393163B (zh) 電漿處理設備
US20080202689A1 (en) Plasma processing apparatus
JP5617109B2 (ja) 基板支持装置、及びこれを利用する基板処理方法
US8864936B2 (en) Apparatus and method for processing substrate
KR102014279B1 (ko) 기판 처리 장치
KR101495288B1 (ko) 기판 처리 장치 및 방법
US8852386B2 (en) Plasma processing apparatus
TWI703901B (zh) 電漿處理裝置
JP4757856B2 (ja) プラズマ処理装置
US20080277064A1 (en) Plasma processing apparatus
JP2007043149A5 (zh)
KR20080053167A (ko) 플라즈마 처리 장치
JP2010524225A (ja) 基板支持装置及びこれを備えるプラズマエッチング装置
US9818581B1 (en) Dielectric window supporting structure for inductively coupled plasma processing apparatus
KR101515149B1 (ko) 플라즈마 차폐 어셈블리 및 이를 구비하는 플라즈마 처리장치
WO2008136586A1 (en) Gas supplying apparatus and equipment for etching substrate edge having the same
KR100777466B1 (ko) 피처리 기판의 가장 자리 및 후면을 동시 식각하기 위한플라즈마 식각 장치 및 이를 위한 기판 리프팅 장치
KR101277503B1 (ko) 플라즈마 처리장치 및 플라즈마 처리방법
KR101506001B1 (ko) 기판처리장치와 이를 이용한 전자소자용 기판 제조 방법 및 평판표시장치 제조방법
KR101146132B1 (ko) 플라즈마 처리 장치
US20170323766A1 (en) Rf antenna structure for inductively coupled plasma processing apparatus
KR20090078979A (ko) 기판 지지장치 및 이를 구비하는 기판 처리장치
KR100888187B1 (ko) 전극 조립체 및 이를 구비하는 플라즈마 처리장치
KR100970859B1 (ko) 플라즈마 처리 장치
KR101418368B1 (ko) 기판 지지 장치 및 이를 구비하는 기판 에지 식각 장치

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees