TWI375979B - Modeling resolution enhancement processes in integrated circuit fabrication - Google Patents

Modeling resolution enhancement processes in integrated circuit fabrication Download PDF

Info

Publication number
TWI375979B
TWI375979B TW094110711A TW94110711A TWI375979B TW I375979 B TWI375979 B TW I375979B TW 094110711 A TW094110711 A TW 094110711A TW 94110711 A TW94110711 A TW 94110711A TW I375979 B TWI375979 B TW I375979B
Authority
TW
Taiwan
Prior art keywords
model
wafer
ret
design
circuit
Prior art date
Application number
TW094110711A
Other languages
English (en)
Other versions
TW200540958A (en
Inventor
Chi Ming Tsai
Lai-Chee Man
Yao Ting Wang
Fang-Cheng Chang
Original Assignee
Cadence Design Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Cadence Design Systems Inc filed Critical Cadence Design Systems Inc
Publication of TW200540958A publication Critical patent/TW200540958A/zh
Application granted granted Critical
Publication of TWI375979B publication Critical patent/TWI375979B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes

Description

九、發明說明: t明所Λ之-技術領域3 相關申請案之交互參照 本案請求美國臨時專利申請案第60/559,267號申請曰 2004年4月2日、及第60/653,245號申請日2005年2月14曰之 權益;二案目前尚在審查中。 發明領域 概略言之’本發明係關於製造積體電路,特別,本揭 示係關於製造積體電路或晶片的系統與方法。 C先前技術1 發明背景 持續不斷地要求積體電路(「IC」)的製造規格上接近基 本微影術系統的極限,已經使得解析度增強技術(「RET」), 對極大型(「VLSI」)積體電路製造商而言,成為策略性微 衫術计畫藍圖的整合部分。不再考慮研究導向的微影術技 巧,這些技術正不斷改善微影術程序窗口,朝向目前晶片 整合之步調可維持直到非微影術解決之道變可行時為止。 連同此等改良’帶來1C製程之所有層級的複雜度增高而 ^些複雜度影響晶片佈局與光罩製作、以及晶圓製程與決 定特徵上的許多方面。 、,在目前製造方法中,RET(例如,偏轴照射(「0AI」)、 光干延近权正(OPC」)、相移遮罩技術(「pSM」))的應用 於人波長已變成於設計完成後的製造所不可或缺的 77 °為了確疋光刻印刷側緣圖是儘可能的接近原先鎖 定目標的設計佈局側繪圖’ RET是必要的。然而,結果在 設計完成之前的設計確認程序無法瞭解所所設計的側繪圖 是否將實際達成形狀閉合(實際設計在晶圓上被實現),或可 增強解析度技術的(可RET的),或可製造的。 為了確保透過微影術程序之細部模擬的形狀閉合,係 在提供設計到晶圓製造廠(「Fab」)或晶圓代工之前,細部 程序模型和Fab的RET方法必須於設計完成之前被揭示給 電路設計者來使用在RET分析。微影術程序包括側繪圖式 樣化步驟,其包含例如光罩、光學曝光、先阻劑和其它薄 膜、光阻顯影、除渣 '蝕刻、化學機械拋光(「CMP」)等。 當由運算觀點視之是昂貴’符號邏輯上亦困難達成,因為 晶圓代工通常視細部模型與組合方式為專屬資訊(晶圓製 造廠難處理的),因此不願意揭示例如微影術細節與製造能 力。另外,设計者也不願意也不能處理複雜度,和晴解限 制晶圓設計之物理學。所以,傳統的設計驗證規則是典型 地v、疋思4日一種错者設計規則檢查方式之可用驗證工具。 因此,1C製造狀態正退化到一種情況,其傳統範式與規則 知識和啟發式知識在預測側繪圖製造上變得不再有效率。 再者’某些佈局之形狀或部分無法藉由在一般傳統設計流 程裡的任何工具來被保證是「可增強解析度技術的(可ret 的)」。結果,需要有一種工具,其能讓電路設計者在提供 該設計至晶圓代工之前,來預測和決定增強解析度技術能 力(RET能力)或電路設計之微影術製造性。 以引用方式併入供參考 1375979 於本說明書所述之各個公蘭文獻和專利申清案皆以引 用方式併入此處以供參考’彷彿個別公開文獻和專利申請 案係特別地與個別地被指出來併入供參照用。 【勞^明内容·】 5 本發明揭露/種方法,該方法包含下列步驟:接收一 種表示至少一電路之電路設計;使用解析度增強技術(RET) 模型,來產生該電路設計之經估計之晶圓影像;以及使用 該經估計之晶圓影像,來產生與該電路設計相對應之經預 測之晶圓侧繪圖,其中係於應用RET程序與印刷程序中之 10 至少一者至該電路設計前’產生該晶圓側繪圖。 圖式簡單說明 第1圖為根據一具體例,一種包括晶圓影像模型化與預 測系統(WIMAPS)之積體電路(1C)設計與製程之方塊圖。 第2圖為根據一具體例’ WIMAPS之方塊圖。 15 第3圖為根據一具體例’設計至晶圓之模型化之方塊 圖。 第4圖為根據一具體例,於晶圓上預測之方塊圖。 第5圖為根據一具體例,錯誤檢測與報告之方塊圖。 第6圖為根據一具體例’錯誤固定器之方塊圖。 20 第7圖為根據一具體例’固定計分器之方塊圖。 第8圖為根據一具體例,一種包括晶圓影像模型化與預 測系統(WIMAPS)之規則基準檢測程序之方塊圖。 第9圖為根據另一具體例,WIMAPS之方塊圖。 第10圖為根據一具體例,一種電腦系統,其中包含— 7 1375979 種包括WIMAPS之設計與製程組成元件。 附圖中,相同之參考號碼表示相同或實質上類似之元 件或動作。為了容易識別任何特定元件或動作之討論,參 考號碼中最有效的位數表示該元件首次被介紹之圖式號碼 5 (例如,元件1〇〇首次係就第1圖而被介紹與討論)。 【實旅方式;J 較佳實施例之詳細說明 於積體電路(「1C」)製造方面,產生應用解析度增強技 術(「RET」)集塊模型與晶圓印刷程序的系統和方法陳述如 10下。產生集塊模型的系統與方法於此處也合稱為晶圓影像 模型化與預測系統(WIMAPS),提供設計者用在模擬RET與 晶圓印刷程序之一有效方法,以使設計者來預測印刷矽晶 圓側繪圖。 在以下陳述中,許多特別的細節將被介紹來提供對具 15體例之WIMAPS的徹底瞭解,且能夠敘述具體例之 WIMAPS。然而,熟諳相關技藝人士認知這些具體例可以 有或無一個或多個特定之細節,或採用其它元件、系統等 而被實施。在其它的例子中,熟為人知的架構或操作不必 被顯示示’或者不必被描述細節,來避免混淆所揭示之具 20 體例之WIMAPS之各方面。 ' 為了提供設計者預測和決定微影術之可製造性的& 力,或RET能力,一 1C佈局’ WIMAPS可能會被嵌入至預送 驗證流程,或其它單元設計程序的嵌入點内、底層規叫、 擺置或繞線之後。WIMAPS提供晶片設計者一個基於美礎 8 物理製程之下游製造程序的抽象概念(例如任何模擬或模 型)。WIM AP S因此提供設計者下游RE T能力以準確相關的 特別層級到貫際RET結果。在一個波譜的終端中,wiMAPS 拙象可以跟詳細的模擬或模型儘可能地的接近(例如,使用 影像系統的模組式傳輸功能或任何經驗表達法,或甚至詳 細模擬本身)。可供選擇地,WIMAPS可以是一個DRC規則 規則之内插/外推模型分辨最佳/光刻行為。(例如,使用〇 p c 節段為規則)’或其它層級在波譜終端之間的複雜度。 在考慮一個接近詳細模擬/模型的摘要時,WIMAPS可 以運用Fab程式的資訊,在此的Fab是指印刷晶圓的晶圓代 工廠。WIMAPS運用程序資訊在實際的佈局上,來進行模 擬或產生一詳細詢查表,來決定該設計之RET能力或設計 上的形狀閉合程度。例如,WITMAPS可以使用包括代表性 模組式傳輸功能(MTF)(例如頻率響應部分呈現實體微影術 現象)的程序資訊來評估印刷品質。額外的敏感度分析(例 如,對程序變量例如焦深或其他物理與非物理參數的敏感 度)也可以被運用例如「RET能力」或微影術製造性之檢測 器。替代地,一具體例之WIMAPS可以使用經驗模型(或基 於影像系統之基礎物理上的半經驗模型),來模型化細節微 影術之可預測性,其校準技術對特定Fab製程提供一個特別 的精準層級。與WIMAPS使用的模型無關,這模型被調整 成使用經驗資訊、半經驗資訊、實體資訊、與規則資料中 之一或多者。 在考慮DRC工作規則之内插/外推模型,wiMAPS使用 1375979 DRC規則做為基礎’組合任何模型化行為來以幾何結構方 式組成遵照幾何結構或部分幾何結構之標準/指標。模型化 行為可以包括接近間隔、相干度' 與例如〇PC節段的設定, 但非受此限。 -5 WIMAPS因此提供設計者一個新|頁系統,以用來模型 ,化和預測晶片佈局之可製造性(微影術、姓刻、CMP等),而 不用透過4切的製造流程即可模型化與預測^ WIMAPS的 模型化和預測可以基於非常接近上述之細節模擬/模型化 ® 之摘要,及/或可以基於呈現下游製程的方程式系統。例 10 如’ 一個設計者可使用基於最佳化問題之解的第一個 WIMAPS來評估晶片之設計;接著使用基於細節模擬/模型 化之第二WIMAPS,來評估經由應用第一WIMAPS識別之 晶片設計的可疑位置。另舉例言之’第一和第二WIMAPS 也可能被使用來彼此補償就其個別用於特定設計上的不 15 足。由於模型化與預測結果,WIMAPS也提供設計者一個 修補或周定於設計上檢測得之錯誤,因而改善晶片佈局之 攀 可製造性。 第1圖為根據一具體例,一種包括晶圓影像模型化與預 測系統(WIMAPS)IOO之1C設計與製程10之方塊圖。 20 WIMAPS接受電路設計12為輸入’且提供一個可解析度增 強的(可RET的)或可製造的電路設計做為輸出給製造14,所 以降低或消除因設計至晶圓誤差所導致之晶片製造錯誤。 一具體例的WIMAPS被嵌入至設計完成前之驗證流 程、或其它晶片設計程序的嵌入點,例如定置與繞線後。 10 在晶片製Μ,電賴輕奸ET,RET是—項可產生光罩 用來製造晶圓之程序。光罩經歷—個或多個微影術程序且 被印在以圓±。晶圓製造廠使用晶圓印刷模型,藉由模 擬圖案印刷之請程度來微顺職程序。WIMAPS提供 有效率的料給設計者使用’以模擬RET料與晶圓印刷 程序從而允許設計者來預測印刷所得之矽晶圓之側繪 圖。有許多不同可供使用的RET程序,它們都有一補償印 刷不完美(例如模糊)之共同目標^ WIMAPS藉著模型化RET 及/或晶圓印刷程序,來預測RET的設計能力為一個RET及/ 或晶圓印刷裎序之非獨特反轉,而不是提供RET與晶圓印 刷程序的細節模擬。 一具體例的WIMAPS使用晶圓製造廠的晶圓印刷資訊 來模型化晶圓印刷程序。一具體例的WIMAPS使用至少一 個光製私之貧訊(例如’常規照明、偏抽照明、浸沒式光刻 技術、偏光等)、光阻劑顯影程序、及/或姓刻程序,來模型 化晶圓印刷製程。 第2圖為根據一具體例,WIMAPS 100之方塊圖。 WIMAPS可被嵌入涉及實體設計之設計流程中的任何地 方。WIMAPS 100包括設計至晶圓模型化102 ’於晶圓上預 測104,錯誤檢測與報告106 ’和錯誤修復或固定1〇8元件或 程序。處理電路設計使用 <或多個僅WIMAPS 1〇〇之程序或 形成至少一種組合,因而獲得可RET或可製造的設計11〇(也 被稱為「已固定之設計」110)。當WIMAPS 100之元件 102-108被顯示為串列耦接時’具體例不被限制在這個程序 1375979 » I * 或流程。結果,許多元件102_108在晶片設計/製程中可被單 獨使用或以多樣組合形式。再者,當一個或多個設計至晶 圓模髮化102,於晶圓上預測1〇4,錯誤摘測與報告1〇6,和 錯誤修補或固定108元件可成為設計程序之元件,WIMAps - 5 1〇0不受限於這流程以任何程序102-108成為1C製造程序的 , 任何其它部分之元件。 電子電路設計者通常倚賴電腦程式來幫助與自動化電 子電路設計程序,因為電路一般包括許多獨立部分(也被稱 鲁 為「單兀」),WIMAPS 10〇可包含或輕接EDA系統的一個 10或多個元件或其它被使用來自動化電路設計與製造1(:裝置 的電子系統,但非受此限。所以,當敘述在此指WIMAPS丄〇〇 時,可以瞭解的是如熟諸技藝人士已知,WiMAPS元件 102-108可作為各個單獨耗接或在一個或多個不同組合元 件,或呈不同組合作為一或多EDA系統之元件或元件程序。 15 一具體例之WIMAPS使用設計的電路佈局資訊來產生 - 一個或多個方程式。這些方程式模型化或敘述在製造(例如 . 微影術)程序與電路佈局(例如’於佈局任一指定點之佈局周 圍環繞之OPC偏壓之貢獻)間之互動(但非限於特定互動)。 從模型得到的資訊於是運用在1C設計程序,以來預測晶圓 • 20影像/結果’而不用實際地試圖去解出(或完全解出)方程式 及/或印刷影像。被預測的晶圓影像資訊是使用於設計程序 以在設計完成或其它製程之前,驗證及/或修改電路佈局來 匹配的預先規定的影像印刷/製造標準。一個WIMAPS可行 的例子是來自於Clean Shape科技公司(加州桑尼維爾城)之 12 1375979
InShapeTM 或 ShapeClosureTM產品。 另外,得自WIM A P S之預測所得之晶圓影像可被用於 製造期間之RET/印刷程序中以提供改善結果。所以, WIMAPS也提供一個有效率與精確的方法來直接地在一個 5 更有系統,更有效率,和準確樣式下來達到RET。 WIMAPS也允許預估RET及/或印刷程序來建立一整合 式系統,其可以預測晶圓影像而不用實際操作細部(迭代 地、習知與經驗規則)RET和印刷程序之組合資訊。這組合 模型是簡單的與有效率的,且使電路設計者可以使用模型 1〇來驗證設計。這組合模型因此提供下列一或多者,但非受 此限:實行快速0PC伴隨更好的輸出品質;藉著使用一個 最佳剖析來實行更健全之OPC ;評估〇pc的困難而不必實 際執行opc ;識別在電路佈局其不能藉〇PC校正的位置; ㈣對析敏感的印刷形狀之位置;識別於前置規定條件 15下無法扠正之位置,但但當印刷條件從理想個案脫離(例 如,各處料窗口)偏料,料會快速劣化;程序之 覆知製,其它關於電路佈局之預測行為丨其他設計完成 y ; T之私序、或晶圓模擬基準程序(例如,RET模擬 ^準驗證);其它與RET/印刷流程其f要從佈局之先前設計 2〇凡成、預測行為類似的製造程序(例如,姓刻、CMP、良率曲 WIMAPS可更進_步被使用於與輔助結 構、父替PSM、與其它RET技術輕接,但非受此限。 耦才再人參照WlMAps 1〇〇(第2圖”設計至晶圓模型1〇2被 來接收一電路設計作為輸入。這設計至晶圓模型產 13 生矽側繪圖為輸出,產生方式係藉由模型化RET及/或印刷 程序的影響,但不嘗試製造由RET程序所得之離散式(通常 為二元)光罩’但其它具體例可製造光罩。再者,因為 WIMAPS程序無需用來製造光罩,故該程序運用反向RET 模型之集塊模型與晶圓印刷模型,來由設計至晶圓進行直 接模擬,附有選擇性之中間產物(光罩或其它任何形式遮 罩,例如,佈局 '破碎結果、檢驗影像、模擬影像等)。 第3圖為根據一具體例,設計至晶圓之模型化1〇2之方 塊圖。具體例的設計至晶圓之模型化1〇2是一個「集塊模 型」,其模型化RET程序與晶圓印刷製程。RET模型3〇2輸出 一非離散之連續功能,而不是一個離散二元光罩,但仍可 製造光罩。WIMAPS之集塊模型包括決定RET表現特徵之程 序,與習知VLSI製造流程的晶圓印刷程序。由WIMAps所 決定特徵之RET程序可以是多種技藝界已知之程序中之任 一者,包括(但非限制性)任何數目的0PC程序與任何數目的 PSM程序。 一具體例之WIMAPS產生集塊之設計至晶圓的模型, 其使用包含介於集塊模型與本體(電路設計)之最小差異的 最佳化。如此,WIMAPS系統地將該模型調配為一最佳化 課題’伴隨許多不同之可能限制以協助辨認一個更好的模 型。舉例言之,一可能性是生產一評估光罩其儘可能地的 接近一可實現光罩。如此進行時,模型是被限制使用誤差 加權,以使得微小的誤差可被允許於評估光罩裡在其從邊 緣被移除的光罩區域之中,而相對地更多誤差被允許在評 1375979 估光罩裡之光罩邊緣區域中。模型可更進一步被校準至一 個特定Fab程序。Fab使用被生產來使用集塊模型以產生印 刷側繪圖之測試點的檢測佈局。
一個電路設計佈局典型地接受RET處理,係在被寫上 5至一個光罩並被送至一個晶圓印刷程序之前。如業界已 知,這常牽涉光學曝光、後曝光光阻顯影,與化學蝕刻之 印刷程序。RET的模型與印刷程序已被計畫並廣泛使用。 這些RET模型與印刷程序通常在此處被稱作為「模型Pj或 「P」。一具體例之WIMAPS藉由微調模型p的參數來匹配該 10模型之輸出至一組測試型樣集合之印刷側繪圖。被 WIMAPS特徵化之RET程序可為技藝界已知之任何之程 序,其通常改變光罩上的電路佈局以前置補償因印刷而由 微景彡術系統所導入的的失真。結果,被WIMAps特徵化之 RET程序包括s午多技術例如模型基準〇pC(「M〇pC」)、次
15解析輔助結構(SRAF),也稱作為散射欄(SB)、交替相位移 光罩(Alt PSM)、衰減相位移光罩(AupsM)、與無鉻膜相位 移光罩,僅舉若干為例。 一具體例之设計至晶圓模型1〇2,其模型化RET為一 RET模型P之反轉,所以藉由__有效RET模型其為一個模型 20 P的趨近反模③來取代内部RET。這反模型在此被稱作為 「P」’其「〜」意指一趨近反,因為p通常並非確切可反。 除了要求少於το整RET細節(其通常並非完全可得)外 ,設計 至晶圓模型化觀是?-I與P可被集塊在一起,以建立一設計 至晶圓流程之單-總體模型「G」,此處G=p十,。這集塊模 15 型G允許於有設計時,快速模擬晶圓側繪圖。 反杈型之輸出是—個一般設計程序的「m」之實際 光罩的趨近,且此趟近光罩在此被稱作為「―當實際的 光罩m通常是-個二元函數取的值(或一小組離散值集 合’例如在altPSM情況中的],〇,丨,與在aupSM情況中 的-t,1 ’ t是傳輸幅度),被評估的光罩不是必然地被受限 於這個方法,且通常是位置之連續函數。 一具體例之設計至晶圓模型化1〇2例子採用包括 MOPC為模型化R£T,但設計至晶圓模型化1〇2但非受此 限。在這例子中’具體例之設計至晶圓模型化102藉著分配 P為解至以下最佳問題來決定p-ι : min |ρ*ρ-' _ι 此處「I」表示值等運算符與I ·丨丨一適當地被定義範數β 這非限制最佳化程序普遍地給予光罩近似值,其戴然不同 於任何可貫現光罩,也因此可能無法敛述任何實際的ret 程序。所以藉著限制^而得到一解。例如,除了靠近電路 佈局多邊形邊緣,臨界點可被加入至最佳化以確保接近 各處輸入電路設計,所以反映了 OPC普遍地只改變靠近邊 緣的設計之事實。受限之限制項被加入至成本函數為 此處w意指一空間權值當距離遠於多邊形邊緣為大, 於接近设計邊界為小。另外,S史δ十至晶圓模型調整解藉由 弱化固有地不穩定之反模型,例如’模型於 ⑽叫丨門丨smaxv|PHv||/|v| 為大。因此最佳化之新的成本函數為 minlhP_,-i||+M, ||p-'|| 此處「μ,」與「μ2」是相對加權。 尸的解給予在一典型的電路設計佈局之模型玎執行的 /理想可行的OPC。為了更進一步校準設計至晶圓模型化 到一特定RET程序(例如,一特定晶圓製造廠之特定 MOPC) ’ 一具體例之設計至晶圓模型丨〇2使用印刷晶圓側繪 圖(或臨界維度測量)來精製模型。印刷晶圓側繪圖從測試佈 線「d」之前置設計集合被接收或產生,但非受此限。此種 情況下,設計至晶圓模型化產生,其最優相匹配晶圓製 造廠的RET行為,例如在前述的成本函數中,使用額外項。 μ3 [p-P^d-sl 這額外項當其被用於成本函數中,弱化介於從設計至 晶圓模型預測的側繪圖與實際晶圓側繪圖「s」之差異,並 提供新的成本函數為 ηιιη||ρ; *ρ-' -ΐΙΙ + μ,Ιρ-'ά-άΙ^ + μ,Ιρ-Ί+μ^Ιρ *p-'d-s| 各種父替设計至晶圓模型化之具體例,可包括額外的 成本函數項,以作為更進一步將該解調整為適合該RET、 或其他晶圓製造廠的流程。其它規則或限制可被加入,例 士 為了降低製造規則檢测的运背,例如其他幾何限制 或與成本或光罩/製程之效能相關的目標函數。 製程變數如跨全場與通過光阻層曝光劑量的起伏波動 與非對稱對焦,在晶圓印刷製程中是不可避免的。結果, 為了完全地特徵化印刷行為,可使用多項印刷模型朽。這 1375979 些模型可被推導,例如,藉著改變以它們可能實體轉譯(例 如,失焦,曝光等)為基準的規度模型p之一個或多個參數 之值,或如果由晶圓製造廠提供多項製程情況下,檢測樣 本之晶圓侧繪圖si的額外資料配匹配情形。假如晶圓製造廠 5 的RET(例如MOPC)已對多樣製程情況最佳化,則一具體例 ,之設計至晶圓模型可以模型化,該模型化係藉由擴展下列 各項,包含但不受限, • 及 10 ||p*p-'d-s|| 來涵蓋多項印刷模型。設計至晶圓模型項因此擴展為 minZ,ai|pi _Il+^i Ρ'Ι(1-£ΐΙΙ'ν+μ2||Ρ'1||+μ3Σίβ,||ρί *P''d-si|| ' a,·及汊為許多製程窗口情況之相對權值。另一方面, 假如MOPC被執行在一般印刷情況之下,只有一個項被包含 15 在各個具體例的總和。一旦找到?-1,它被使用來或結合多 變數Pi來產生多項設計至晶圓模型,使用估計所得之晶圓 ® 側繪圖?,其可被用來預測晶圓側繪圖對不同製程窗口情況 之靈敏度。 實例舉例說明如後,使用一具體例之設計至晶圓模型 20 化來在耦接點光源情況之下,尋找P—1。在此種情況下,印 刷模型可使用如下方程式獲得 2 強度(x,y) = r h(x - x’,y - y’),d(x’,y,)dx’dy’,
-CO 與藉由相同臨界振幅替換臨界強度。結果是一離散線性偏 18 1375979 移定I1生系統,其中p成為一區塊T〇eplitz矩陣。_具體例之 °又。十至晶圓模型最小化成本函數為 ΗΙρ*ρ"-ι|+μΐρ-·| 邊數?之單一數值分解,可以,表示,其結果為 其中 k_ =σ"(σ,2 +μ2)。 集塊設計至晶圓模型因而成為
與電路設計佈局結果,獲得一側繪圖?之直接模擬,且無第 一次計算中間值ft。 WIMAPS 1〇〇輸出設計至晶圓模型1〇2(例如’估計所得 之晶圓側繪圖玄)之結果至晶圓上預測104。第4圖為根據一 具體例,於晶圓上預測之方塊圖。於晶圓元件預測或製程 15 1〇4生成或產生與電路設計相關之至少一種因素的預測。這 預測因素包括但不受限於側繪圖預測、熱點預測、敏感度 預測、光罩錯誤增強因數(「MEEF」)預測、製程窗口預測、 與規度化影像對數斜率(「NILS」)預測。於晶圓上預測104 之結果被提供作為一輸出。 20 WIMAPS 1〇〇輸出該項於晶圓上預測1〇4至錯誤檢測程 序、與報告元件或製程106之預測的結果。第5圖為根據一 具體例’錯誤檢測與報告106之方塊圖。從晶圓上預測1〇4 之預測晶圓結果,使用一個或更多錯誤檢測程序更進一步 被處理,以識別一個設計上的錯誤。例如,一具體例之錯 19 1375979 誤檢測與報告,可評估麵/橋制誤、臨界維度(「CD」) 變異過大接點/通孔封裝錯誤、間端帽回拉錯誤及/或其 他設計規則違背(例如於晶圓域裡之設計完成之前的設計 規則檢測)。 5 錯誤檢測與報告1Q 6之輸出可直接輸出給使用者使 用。這輸出可以是-種列舉錯誤所在位置、錯誤的嚴重程 度與型態的純文字檔。輸出也可包括儲存在一層級權案的 不同層級之標記,以使得使用者可以在一佈局瀏覽器上, 同時看到钸力100與錯誤標記。不同型態的錯誤可被儲存於 1〇不同層級,且標記大小可指示錯誤的嚴重程度。 WIMAPS100輸出錯誤檢查與報告程序1〇6之結果至錯 誤修復或固定元件或製程108。第6圖為根據一具體例,錯 誤固定器108之方塊圖。一具體例之錯誤固定器1〇8包含一 固定或修復產生元件或製程602,也被稱作為「固定產生器」 15或「修復產生器」,耦接至一固定計分器030但非受此限。 固定產生器602可使用由錯誤檢測與報告1〇6所報告的 錯誤之資訊以產生固定指南612,來使用於產生用來產生一 或多個已固定之型樣620的固定候選者614。另外,固定產 生器602可基於由由錯誤檢測與報告1〇6所報告之錯誤型 20別,產生固定候選者614’及輸出一個或更多已固定型樣62〇 至固定計分器630’計分器630替每個已固定之型樣620打分 數或評估等級。另外,固定產生器602可產生前述之指南與 固定候選者。 一具體例之固定產生器602使用在電路設計中的錯誤 20 1375979 檢測資訊、與由設計至晶圓模型化102生產之設計至晶圓模 型,來產生固定指南612。固定指南612可包含其固定候選 者是設計規則依從之指南,不影響電路的功能(例如打破多 邊形,橋接兩多邊型專)之指南,及/或最小化對電路效能的 5影響之}曰南。固疋候選者614的例子包括,但不受限於,增 加寬度與間隔,增加接點/通孔閉合,增加轴端帽之長度/ 區域,顛倒一個單兀,或由另一個含相同功能的單元來取 代一個單元。 固定產生器602也計算每個相鄰幾何的片斷或部分之 10對錯誤檢測上使用設計至晶圓模型的資訊的影響。在錯誤 檢測上的相鄰幾何之影響歸類為錯誤緩和、惡化錯誤、及/ 或不變中之至少-者。指定所得結果固定候選者614,固定 產生器602提供使用者-個或多個手動固定程序616來手動 編輯一電路佈局,使用固定候選者及/或一自動固定程序 15 618’其自動地應用固定候選者614至電路佈局。另一具體 例之wimaps可包含只有手動固定元件㈣或自動固i元 件 618。 固疋產生窃602耦接來提供一輸出至固定計分器63〇, 其輸出包括已固定之型樣第7圖為根據一具體例,固 20定計分器630之方塊圖。固料分器請評估與比較已固定 之型樣620的固定候選者,且判定該已㈣之型樣62〇是否 是可接受的。假如—已固定之型樣620被判定是可接受的, 那麼相關固定候選者即被固定計分器630接受,且已固定之 型樣62 0輸出64 0為已固定設計j i 〇 (第i圖)。假如一固定候選 21 1375979 者被被固定計分器630拒絕,則相關已固定乏型樣62〇被拒 絕’且操作返回650來如前述,使用一個或多個固定指南 612,來產生固定候選者614 ^ 一具體例之每個固定候選者614不是設計上相關檢測 5錯誤的一個特別固定。因此,固定計分器630評估每個已固 定之型樣620之固定候選者614與決定每個固定候選者614 是否為可被接受的。評估固定候選者614之標準可包括至少 一種設計至晶圓模型化1〇2、於晶圓上預測104、錯誤檢測 與報告106、符合設計規則性,電路之電氣參數(例如,時 10 間、雜訊敏感度、訊號完整性(串音)、IR壓降、電力耗用率 等)、樣本對稱性,與模式匹配評估,但非受此限。 再次參照第1圖,一具體例之WIMAPS 100可從錯誤固 定108至設計至晶圓柄型化102返回已固定之設計11〇輸 出。WIMAPS 100接著評估已固定之設計11〇如前所述。另 15 外,假如在電路設計裡藉由錯誤檢測與報告106沒有錯誤被 檢測,WIMAPS 100可能無法在已固定之設計11〇上產生額 外的製程。 一具體例之WIMAPS也可具有額外的應用。舉例言 之,WIMAPS檢測與補償任何1C製程的規則基準檢查之不 20 足。第8圖為根據一具體例,一種包括晶圓影像模型化與預 測系統(WIMAPS)800之規則基準檢測程序80之方塊圖。第9 圖為根據另一具體例,WIMAPS 800之方塊圖。WIMAPS 800包含設計至晶圓模型化102,於晶圓上預測104,及錯誤 檢測與報告106元件或程序。設計至晶圓模型化102是一集 22 1375979 塊模型’其模型化RET程序與晶圓印刷製程,如前文參照 第3圖所述。 設計至晶圓模型化102輸出它的結果(例如,評估的晶 圓侧繪圖?)給於晶圓上預測1 〇4。於晶圓元件或程序上預測 5 1〇4生產或產生至少一種因素其關係於電路設計之預測,如 前文參照第4圖所述。由於晶圓上預測1〇4所得之預測矽晶 圓結果,使用一種或更多錯誤檢測與報告1〇6被更進一步處 理’以驗證設計上的錯誤,如前文參照第5圖所述。雖然 鲁 WIMAPS 800之元件102-108被顯示為串列耦接,但具體例 10 不被限制於此種順序或流程。結果,各種不同元件102-106 可被單獨使用或以不同的組合在晶片設計/製程流程上。 WIMAPS 800被使用來檢測大量的符合設計規則測試 樣本。因此,規則基準檢查程序80包含WIMAPS 800,其被 耦接以獲得符合設計規則之測試型樣88。WIMAPS 800評估 15 接收到的測試型樣88,且移轉每個評估樣本至適右在評估 期間檢測到的錯誤之一預先指定元件或程序。例如,回應 於一被WIMAPS 800檢測到之特定錯誤,符合設計規則之測 試型樣88被放入有問題之型樣資料庫812。在另一例中,程 序80精製或修改822設計規則,以使一特定型樣為一個檢測 20 樣本在回應於被WIMAPS 800檢測到之錯誤方面變成不合 法。這提供了一個方法來決定在設計規則中的弱點或一套 設計規則。一旦識別出鬆散的設計規則,則該鬆散的設計 規則可以被修改以達更好製造能力,或從設計規則集合中 被除去。 23 1375979 在另一例中,以一WIMAPS 800錯誤檢測結果,禁用間 距由832對一測試型樣識別出。這允許更進一步的檢測與使 用確定禁用間距之禁用間距的預測。 型樣匹配器804被耦接來接收電路設計12、及有問題之 5 型樣資料庫812之資訊、修改後之設計規則822,與禁用間 距832。型樣匹配器804亦被耦接來接收先前識別出的其它 問題型樣80 6。型樣匹配器進行電路設計12之非模擬基準檢 查,以來檢測禁用間距、二維(「2D」)問題匹配型樣、與 執行其它規則基準檢測。型樣匹配器804移轉於電路設計檢 10測付之錯誤給錯誤固定器108。錯誤固定器1〇8包括一固定 產生元件或製程602’(亦被稱作為「固定產生器6〇2」)耦接 到固定計分器630,如前文參照第6圖所述,但非受此限。 錯誤固定器108如前所述,輸出一已固定之設計11〇。 一具體例之WIMAPS亦可有額外的應用。舉例言之, 15 WIMAPS可預測定位,預測定位功能其對製造及/或使用 RET是困難的。這些定位資訊可以被運用在RET中或後 -RET驗證步驟,以改善RET品質,及/或用在對這些定位位 置更複雜但運算昂貴的驗證方法上。 於RET之全一項應用之前,及驗證所施用之ret之正確 20性之前,一節段分段處理程序可被導入製程,以用來定位 電路佈局點,該等電路佈局點為評估校正或驗證模擬電路 設計中的點。迭代校正值(例如乖離率)或錯誤旗標可歸屬為 一節段分段處理程序之結果。 典型地’節段獨立執行步進機或操作參數之精確光學 24 設定值。因節段分段處理程序所得之,可被使用來指示或 評估施用的RET複雜纟,但不需要實體操作或完成贿。例 如,有多數相鄰幾何形狀投射其上之—筆直邊緣,與極少 獲得節段之與鄰近幾何形狀隔開之一筆直緣比較,前者可 5 產生多種剖析節段。 節段技術可藉由注入更多物理特性至節段標準而被改 善或更進一步精製。客觀錯誤(例如潛在性或強度等)估計為 超過指疋當上限時,當產生一新節段時,可應用一種與有 限元素運算方法類似之技術。同樣地,當錯誤低於指定下 10降時,節段也可被移除,俾減少節段數目來降低複雜度。 也可結合完整的微影術模擬結果以決定節段分段。 另外,因為「最佳的」剖析(或評估)點對準確性與預測 表現是非常重要的,故使用前述WIMAPS之元件可改善節 段分段處理程序。這些剖析點或評估點之產生,可使用 15 WIMAPS之預測程序、連同一或多項其它程序來實施。例 如,當佈局幾何允許評估相關形態印刷結果時,可使用規 則來減少應用於此種幾何形狀的運算。 參照第1-9圖,元件或程序之操作係在至少一部處理器 之控制之下進行,但非受此限。熟諳相關技藝人士可以產 20生原始碼、微碼、程式邏輯陣列,或者基於此等流程圖及 在此提供之細節敘述而來實作WIMAPS。根據這些流程圖 之演繹法則或常式可被儲存於非依電性記憶體,其組成聯 合處理器的一部分,或係儲存於聯合記憶體區、活動式媒 體,例如磁碟片,或係儲存於晶片裡之硬體接線或預程式 1375979 規劃’例如可電子抹除可規劃唯讀記憶體(rEEpR〇M」) 半導體晶片,或這些元件之任何組合,但非受此限。 5 如前所述’ WIMAPS之各方面係以可於EDA電腦系統 或其他處理系統執行之程式做說明。這些程序被實作為可 儲存於電腦系統之可機器讀取或可電腦讀取記憶體區或裝 置之程式碼;且此等程序係藉由電腦系統處理器來執行。 15 20 雖然種種不同電腦系統可被使用於Ic製程,但第1〇圖 為根據一具體例’ 一種電腦系統1000,其中包含一種包括 wIMAPS之設計與製程之㈣元件^種電㈣統ι〇〇ζ通 常幫括-中央處理單元(「CPU」)或中央處理器讀來處理 資訊及指令,一位址/資料匯流排1001耦接至cpu ι〇〇2來傳 輸資訊’與非依電性記憶體i _(例如唯讀記㈣(「r〇m」)) 耦接至匯流排1001來儲存CPU 1002之靜態資訊與指令。電 腦系統1000也可包括一個或多個可選擇性儲存裝置1〇〇8, 其耦接至匯流排1001來儲存資訊與指令。儲存裝置或資料 儲存裝置麵可包括-個或多個活動式魏料媒體或光 學儲存媒體’其為電腦可讀記憶體。依電性記憶體_、 非依電性記憶體1_及/或儲存裝置職之某種組合包含 或儲存敘述前述WIMAPS的元件或程序之資料結構,但 WIMAPS不受限於儲存在這些裝置裡。 。 一 電腦系統1000也可包括至少—選擇性顯示裝置⑻卜 其耦接至匯流排1001來顯示資訊給電腦系統咖之使用 者。-具體例之電腦系統1000也可包含—或多個選擇性輸 入裝置觀,其耗接至匯流排咖來傳達資訊與指令選擇 26 1375979 至中央處理單元(CPU) 1002。另外,電腦系統1000可包括 一選擇性游標控制或導向裝置1014,其耦接至匯流排1001 來傳達使用者輸入資訊與指令的選擇至CPU 1002。電腦系 統1000也可包含一個或多個選擇性信號傳輸裝置1016(發 5 送機,接收機,數據機等例),其耦接至匯流排1001來與其 他電腦系統介接。 一具體例之WIMAPS包括一種方法,該方法包含下列 中之至少一者:接收電路設計其呈現至少一種電路;產生 • 一使用解析度增強技術(RET)模型的電路設計之評估晶圓 10 像;與使用評估晶圓影像資訊,來產生與該電路設計相對 應之預測之晶圓側繪圖,其中係於RET程序與一印刷程序 中之至少一者至電路設計的應用之前,產生該晶圓側繪圖。 一具體例之方法進一步包含:使用所估計的晶圓側繪 圖與預測的晶圓影像中之至少一者,識別在電路設計上之 15 錯誤。一具體例之識別的錯誤包括與掐取/橋接、臨界維 度、接點/通孔包圍體、閘端帽回折參數、以及符合設計規 ® 則中之至少一者相關聯之偏差。 一具體例之方法進一步包含至少一修復電路設計所識 別之錯誤,且提供一經修復的電路設計。 20 一具體例之修復更進一步包含使用至少一修復指南, 來產生與所識別之錯誤相對應之候選者修復。一具體例之 修復更進一步包含決定鄰接電路對所識別之錯誤上的至少 一節段之影響,在其中鄰接電路係與電路設計中所識別之 錯誤相鄰。 27 1375979 一具體例之方法更進一步包含對候選者修復評分,該 分數指示一對應候選者修復的接受度。一具體例之方法更 進一步包含如下中之至少一者:決定計分何時低於預先指 定的臨限值;與當分數低於預先指定的臨限值時,產生對 5 應於所識別之錯誤之至少一額外候選者修復。 —具體例之方法更進一步包含移轉經修復的電路設計 給至少一積體電路製程。 一具體例之方法更進一步包含下列中之至少一者:使 • 用解析度增強技術(RET)模型,來產生修復電路設計之一個 10 評估晶圓影像;使用估計得之晶圓影像之資訊,來產生與 經修復之電路設計相對應之預測的晶圓側繪圖;使用估計 所得之晶圓侧繪圖與預測晶圓影像中之至少一者,來識別 經修復之電路設計中之錯誤;修復在經修復之電路設計中 識別出的錯誤;與提供另一項經修復的電路設計。 15 一具體例之方法更進一步包含輸出辨識得之錯誤資訊 給使用者,其中該輸出辨識得之錯誤資訊包括純文字檔案 ® 與層級檔案之標記中之至少一者。 一具體例之RET模型是由呈現RET程序與印刷程序中 之至少一者的至少一項最佳化問題而導出,其中RET程序 20 隨後於包括該電路之半導體晶片之製造期間實施。一具體 例之最佳化為一凸面最佳化。一具體例之最佳化呈現RET 程序與印刷程序中之至少一者之至少一次反轉。 一具體例之產生經估計之矽晶圓側繪圖包括產生應用 至矽晶圓之電路設計中之至少一項因素之至少一項預測, 28 1375979 • 5 • 10 其中該等因素包括側繪圖因素、熱點、敏感度、光罩錯誤 增強-因素、與規度化影像對數斜率中之至少一者。 一具體例之WIMAPS包含一裝置,其包含下列手段中 之至少一者:接收手段,其係用來接收一電路設計其呈現 至少一電路;產生手段,其係用來使用解析度增強技術(RET) 模型,來產生一電路設計之經評估的晶圓影像;以及產生 手段,其係用來使用該經估計之晶圓影像資訊,來產生與 該電路設計相對應之經預測之晶圓側繪圖,其中於應用 RET程序與印刷程序中之至少一者至該電路設計前,產生 該晶圓側繪圖。 一具體例之裝置更進一步包含使用該經估計之晶圓側 繪圖與該經預測之晶圓影像中之至少一者,來識別該電路 設計之錯誤之手段。 一具體例之裝置更進一步包含修復在電路設計中經辨 15 • 識之錯誤與提供一經修復的電路設計之手段,其中該修復 其進一步包含使用至少一修復指南,迭代地產生與該經識 別之錯誤相對應之候選者修復。 ο 一具體例之裝置更進一步包含指定手段,其係用來對 該候選者修復指定一個分數,其中該分數指示一相對應之 20 候選者修復的接受程度;以及產生手段,其係用來當分數 係低於一預先規定之臨限值時,產生與該經識別之錯誤相 對應之至少一個額外候選者修復。 一具體例之RET模型是自呈現RET程序與印刷程序中 之至少一者之一最佳化問題所導出,其中該RET程序隨後 29 1375979 係於包括該電路之半導體晶片之製造期間的電路設計上執 行。一具體例之最佳化呈現RET與印刷程序中之至少一者 之至少一次反轉。一具體例之最佳化為一凸面最佳化。 一具體例之WIMAPS包含一種包括可執行指令之機器 5 可讀取媒體,該等可執行指令其當藉一處理系統執行時, 接收一電路設計其呈現至少一電路;使用解析度增強技術 (RET)模型,來產生一電路設計之經評估的晶圓影像;以及 使用該經估計之晶圓影像資訊,來產生與該電路設計相對 應之經預測之晶圓側繪圖,其中於應用RET程序與印刷程 10 序中之至少一者至該電路設計前,產生該晶圓側繪圖。 一具體例之處理系統更進一步使用該經估計之晶圓側 繪圖與該經預測之晶圓影像中之至少一者,來識別該電路 設計之錯誤。 一具體例之處理系統進一步修復在電路設計中經辨識 15 之錯誤,與提供一經修復的電路設計,其中該修復其中該 使用至少一修復指南,迭代地產生與該經識別之錯誤相對 應之候選者修復。 一具體例之處理系統更進一步對該候選者修復指定一 個分數,其中該分數指示一相對應之候選者修復的接受程 20 度;以及當分數係低於一預先規定之臨限值時,產生與該 經識別之錯誤相對應之至少一個額外候選者修復。 一具體例之RET模型是自呈現RET程序與印刷程序中 之至少一者之一最佳化問題所導出,其中該RET程序隨後 係於包括該電路之半導體晶片之製造期間的電路設計上執 30 行。一具體例之最佳化呈現RET與印刷程序中之至少一者 之至少一次反轉。一具體例之最佳化為一凸面最佳化。 此處所述用於製造1C之系統與方法之各方面可以規劃 於多種不同電路之任一者之功能實作,包括可規劃數位邏 輯系統晶片(PLDs),例如場可規劃開陣列(FPGAs)、可規劃 陣列邏輯(PAL)裝置、電氣可規劃邏輯與記憶體裝置及標準 基於單元之元件’也包括特用積體電路(ASIC)。其他實作 用於製造1C之系統與方法之各方面之可能包含:附有記憶 體之微控制器(例如電子抹除及程式的唯讀記憶體 (EEPROM))、嵌入式微處理器、韌體、軟體等。再者,用 於製造1C之系統與方法之各方面可被表現在基於軟體之電 路仿真之微處理器、離散式邏輯(連續的與組合的)、客端裝 置、模糊(類神經)邏輯、量子元件,以及任何上述元件型態 之混合。當然根本元件技術可被提供以多樣組成型態,例 如,金氧半導體場效電晶體(MOSFET)技術,例如互補式金 氧半導體(CMOS)、雙極技術例如射極耗合邏輯(Ecl),聚 合物技術(例如矽軛合聚合物結構、及金屬軛合聚合物_金屬 結構)、混合類比與數位等。 須注意此處揭不之各種系統及方法之元件可使用電腦 輔助設計工具描述;與就其表現、暫存器傳輸、邏輯元件、 電晶體、佈局構造’及/或其它特性,呈以各種電腦可讀取 媒體具體實施之資料及/或指令形式表現(或表示)。可實作 此等電路表示之檔案格式與其他物件包含,但不受限於, 支援行為語言之格式’例如C、Verilog與HLDL ;支援暫存 器層次描述語言之格式’例如RTL ;與支援幾何結構描述 語言之格式’例如GDSII、GDSIII、GDSIV、CIF、MEBES, 與任何其他適合的格式及語言。 可具體實施此種格式化資料及/或指令之電腦可讀取 5媒體包括,但不受限於,多種形式之非依電性儲存媒體(例 如,光學、磁性或半導體儲存媒體)與載波,其可被用來透 過無線、光學、或有線訊號媒體或任何其任一組合,傳遞 格式化資料及/或指令。藉載波來傳遞此格式化資料及/或指 令包括,但不受限於,透過網際網路及/或其他電腦網路, 10利用一或多種資料傳輸協定(例如,HTTP、FTP、SMTPA 來傳輸(上傳、下載、電子郵件等)。當透過一或多電腦可讀 取媒體而接收在一電腦系統内時,前述系統及方法之此等 基於資料及/或指令之表示,可藉電腦系統内部之處理實體 (例如一或多處理器)結合一或多個其它電腦程式(包括但非 15限於網路-串列產生程式、位置程式、和路由程式等)處理。 除非上下文清楚地要求,否則全文說明中與申請專利 範圍,「包會」等須以包括之意義解譯,而非排它或羅列盡 淨之意義解譯;也就是說,解譯為「包括,但不受限於。 文子其使用單數或複數也分別地包括單數或複數。另外, 2〇 於此」、「以下」、「上述」、「下述」等係指本案之全體, 而非本案之任何特定部分。f「或」—字被用在參照至兩 個或多個項目之串列時’或-字適用所有 以下解譯:任何 在串列上的項目,所有在串列上的項目,任何在串列上^ 項目組合。 % 1375979 * . * 敎用於製造κ:之系統和方法之具體實施例之象細說 明非意圖排它性’或囿限於所揭示之精確之用於製造1〇之 系統和方法。當用於製造IC之系統和方法之特定且體例和 實施例於此處用於舉例說明之用時,熟請技藝人士^於用 • 5力製造IC之系統和方法之範圍内所做出多項可能之相當修 • 此處提供之用於製造ic之系統和方法之教示可應用至 其它用於製造1C之系統和方法,而非備用於前文說明之用 於製造1C之系統和方法。 鲁 冑文說明之各個具體例之元件與動作可組合來提供其 10它具體例。可鑒於刖文說明,對用於製造1C之系統和方法 做出此等與其它改變。 通常,於後文申請專利範圍,不可將使用之術語解譯 為限制用於製造1C之系統和方法於說明書和申請專利範圍 揭示之特定具體例,反而須解譯為含括全部可遵照申請專 15利範園操作之方法和系統。如此,用於製造1C之系統和方 • 法非受本揭不所限,反而用於製造1C之系統和方法之範圍 • 係完食由申請專利範圍界定。 雖然於後文以某些申請專利範圍之形式呈現用於製造 1C之系統和方法之某些方面,但發明人意圖涵蓋用於製造 20 1C之系統和方去於任一種申請專利範圍之形式。例如,雖 然系統之一面相係以可於機器可讀取媒體實作引述,但其 它面相同樣也可於機器可讀取媒體實作。如此,發明人對 用於製造1C之系統和方法之其它面相申請額外申請專利範 圍之形式’於本案申請後仍然保有增加額外申請專利範圍 33 1375979 的權利。 【圖式簡單説明】 第1圖為根據一具體例,一種包括晶圓影像模型化與預 測系統(WIMAPS)之積體電路(1C)設計與製程之方塊圖。 5 第2圖為根據一具體例,WIMAPS之方塊圖。 第3圖為根據一具體例,設計至晶圓之模型化之方塊 圖。 第4圖為根據一具體例,於晶圓上預測之方塊圖。 第5圖為根據一具體例,錯誤檢測與報告之方塊圖。 10 第6圖為根據一具體例,錯誤固定器之方塊圖。 第7圖為根據一具體例,固定計分器之方塊圖。 第8圖為根據一具體例’一種包括晶圓影像模型化與預 測系統(WIMAPS)之規則基準檢測程序之方塊圖。 第9圖為根據另一具體例,WIMAPS之方塊圖。 15 第1〇圖為根據一具體例,一種電腦系統,其中包含一 種包括WIMAPS之設計與製程組成元件。
【主要元件符號說明】 10…1C設計與製造程序 12…電路設計 14…製造 80…規則基準檢查程序 88…符合設计規則之測試型樣 100…晶圓影像模型化與預測 系統(WIMAPS) 102…設計至晶圓模型化 104…於晶圓上預測 106···錯誤檢測與報告 108…錯誤修復或固定,錯誤 固定器 110…可解析度增強技術(RET) 設計或可製造設計,已固定之 34 1375979
設計 302—RET 模型 ρ-ι 304…印刷模型p 602…固定產生器,修復產生器 612…固定指南 614…固定候選者 616···手動固定程序 618···自動固定程序 620···已固定之型樣 630···固定計分器 640…接受 650…剔除 800…晶圓影像模型化與預測 系統(WIMAPS) 804…型樣匹配器 806.·.其它問題型樣 812···有問題之型樣資料庫 822…修改後之設計規則 832…禁用間距. 1000…電腦系統 1001…位址/資料匯流排 1002…中央處理單元(cpu) 1004…依電性記憶體 1006···非依電性記憶體 1008···儲存裝置,資料儲存裝置 1010…顯示裝置 1012…輸入裝置 10M…游標控制或導向裝置 1016…信號傳輸裝置 35

Claims (1)

  1. I第 94110711 號申請索ϋ本 101.03.03. 一[ 十、申請專利範圍: 1.一種於-預測晶圓輪廓模型之一輪廓及一電路設計佈局 之如所設sf之一輪磨間用以補償尺寸變動之方法,該方 法包含下列步驟: 經由一電腦系統,使用一解析度增強技術(RET)模 型,從該電路設計佈局來產生一晶圓印刷模型; 從該晶圓印刷模型來產生一反轉RET模型,其中該 反轉RET模型為該晶圓印刷模型之一趨近反轉模型; 藉由組合該反轉RET模型與該晶圓印刷模型來產生 該預測晶圓輪摩模型; 判疋s玄預測晶圓輪廊模型之輪腐及該電路設計佈局 之如所设&十之輪廓間之一橫向尺寸的差異,其中該橫向 尺寸是平行於該預測晶圓之一晶圓表面;以及 修正該電路設計佈局以降低於該橫向尺寸的差異。 2·如申請專利範圍第1項之方法,其中該橫向尺寸的差異包 含兩個臨界尺寸之一橫向尺寸偏差。 3. 如申請專利範圍第2項之方法,其中該臨界尺寸包含橋接 間之一距離、—通孔包圍體尺寸 '或一閘端帽回折尺寸。 4. 如申請專利範圍第1項之方法,其中該判定步驟進-步包 含於該預測晶圓輪廓模型及該電路設計佈局之如所設計 之輪廓間之—熱點差異、敏感度、光罩錯誤增強因數' 與正規化影像詞·數斜率之最小化。 5·如申請專利範園第4項之方法,其中該最小化為—非線性 最佳化問題。 [Μ3?Γΐ0711 號申請案修正i 101. 03. 03. 一| 6.如申凊專利範圍第1項之方法,其中該預測晶圓輪廓模型 由下列表示式來表示 P*p-1 ; 其中P為該晶圓印刷模型,以及p-l為該反轉RET模型。 7·如申請專利範圍第6項之方法,其中該預測晶圓輪廓模型 由下列表示式來表示 |P*P''-I|; 其中I為表示一如所設計電路佈局之一恆等模型。 8·如申請專利範圍第6項之方法,其中該預測晶圓輪廊模型 由下列表示式來表示 m'll+pIPfd-sl ; 其中μ為一加權變數,d為該預測晶圓輪廓模型之一輪廓 的一橫向尺寸,以及s為一實際矽晶圓輪廓之所測量橫向 尺寸。 9.種用以補償微影變動之方法,其包含下列步驟: 經由一電腦系統,藉由組合一反轉RET模型與一晶 圓印刷模型來產生一預測晶圓輪廓模型,其中該反轉 RET模型為該晶圓印刷模型之一趨近反轉模型,其中該 預測晶圓輪廓模型由一表示式ρ*ρ-ι來表示,其中p包含該 晶圓印刷模型,以及P ·1包含該晶圓印刷模型之反轉模型; 最小化由一表示式丨P*P'I|所表示之一差異方程式, 其中I包含一如所欲之電路輪廓模型,且其中丨p*p-Ll丨包含 介於該預測晶圓輪廓模型之一第一橫向尺寸及該如所欲 之電路輪廓模型之一第二橫向尺寸間之一變動;以及 BjilWn 101.03.03. I 基於該最小化來修正該晶圓印刷模型之反轉模塑 p-1 〇 10. 如申請專利範圍第9項之方法,其近一步包含: 使用一解析度增強技術(RET)模型,從電路設計佈 局來產生該晶圓印刷模型; 從該晶圓印刷模型來產生該晶圓印刷模型之反轉 模型。 11. 如申請專利範圍第9項之方法,其中該差異包含兩個臨界 尺寸之一橫向尺寸偏差。 12·如申請專利範圍第U項之方法,其中該臨界尺寸包含下 列之一者或多者:橋接間之一距離、一通孔包圍體尺 寸、及一閘端帽回折尺寸。 13. 如申請專利範圍第9項之方法,其中該差異方程式由下 列表示式來表示 |Ρ*Ρ'1·Ι|+μ|Ρ*ρ-,ί1-8| ; 其中μ為一加權變數’ d為該預測晶圓輪廓模型之一 輪廓的一橫向尺寸,以及s為一實際矽晶圓輪廓之所測 量橫向尺寸。 14. 一種用以補償微影變動之方法,該方法包含下列步驟: 針對一半導體製造程序,決定一解析度增強技術 (RET)程序模型及一晶圓印刷程序模型; 經由一電腦系統,決定該RET程序模型之一反轉模 型,以及組合該RET程序模型之該反轉模型與該印刷程 序模型來產生一集成程序模型,其中該RET程序模型之 錢轉㈣為該晶圓印刷模型之—趨近反轉模型; 應用轉成程賴型於_將被製造之電路,該電路 用該^程序為該電路產生預财晶圓輪廊; 預财晶圓輪廟之輪廓及該電路^計佈局 如所4之輪廓間之—橫向尺寸的差異其中該橫向 尺寸是平行於該晶圓之-平面表面;以及 > /電路65:_佈局以降低於該橫向尺寸的差異。 如申叫專利範圍第14項之方法其中該橫向 包含兩個臨界尺寸之„橫向財偏r 16.如申請專利範圍第15項之方法,其中該臨界尺寸包含橋 巨離、一通孔包圍體尺寸、或一閘端帽回折尺 寸。 15 如申明專利乾圍第14項之方法,其中該判S步驟進一步 包3於销測晶圓輪純黯該電路設計佈局之如所設 权輪摩間之—熱點差異、敏感度、光罩錯誤增強因數、 與正規化影像對數斜率之最小化。 8’如U利Ιε<圍第14項之方法,其中該預測晶圓輪廊模 型由下列表示式來表* 、 ρ*ρΊ . 20 為該晶圓印刷模型,以及Ρ-1為該RET模型之反轉模 如申"月專利%圍第18項之方法,其中該預測晶圓輪廊模 型由下列表示式來表示 、 |Ρ*Ρ·'-Ι| ; 39 1375979 第94110711號申請案修正本 101.03.03. 其中I為表示一如所設計電路佈局之一恆等模型。 20.如申請專利範圍第19項之方法,其中該預測晶圓輪廓模 型由下列表示式來表示 ΙΡ^ρ-'-ΙΙ+μΙΡ^ρ-'ά-Βΐ ; 5 其中μ為一加權變數,d為該預測晶圓輪廓模型之一輪廓 的一橫向尺寸,以及s為一實際矽晶圓輪廓之所測量橫向 尺寸。
    40
TW094110711A 2004-04-02 2005-04-04 Modeling resolution enhancement processes in integrated circuit fabrication TWI375979B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US55926704P 2004-04-02 2004-04-02
US65324505P 2005-02-14 2005-02-14

Publications (2)

Publication Number Publication Date
TW200540958A TW200540958A (en) 2005-12-16
TWI375979B true TWI375979B (en) 2012-11-01

Family

ID=35125734

Family Applications (1)

Application Number Title Priority Date Filing Date
TW094110711A TWI375979B (en) 2004-04-02 2005-04-04 Modeling resolution enhancement processes in integrated circuit fabrication

Country Status (4)

Country Link
US (1) US7653890B2 (zh)
JP (1) JP2007536564A (zh)
TW (1) TWI375979B (zh)
WO (1) WO2005098686A2 (zh)

Families Citing this family (81)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7523429B2 (en) * 2004-02-20 2009-04-21 Takumi Technology Corporation System for designing integrated circuits with enhanced manufacturability
US7536664B2 (en) * 2004-08-12 2009-05-19 International Business Machines Corporation Physical design system and method
US7546558B2 (en) * 2004-09-29 2009-06-09 Synopsys, Inc. Method and apparatus for determining a process model that uses feature detection
US7739651B2 (en) * 2004-09-29 2010-06-15 Synopsys, Inc. Method and apparatus to determine if a pattern is robustly manufacturable
US7475382B2 (en) * 2005-02-24 2009-01-06 Synopsys, Inc. Method and apparatus for determining an improved assist feature configuration in a mask layout
US7721246B2 (en) * 2005-02-24 2010-05-18 Synopsys, Inc. Method and apparatus for quickly determining the effect of placing an assist feature at a location in a layout
US8136056B2 (en) * 2005-05-20 2012-03-13 Cadence Design Systems, Inc. Method and system for incorporation of patterns and design rule checking
US7536670B2 (en) * 2005-05-31 2009-05-19 Cadence Design Systems, Inc. Method for verifying and choosing lithography model
US7493589B2 (en) 2005-12-29 2009-02-17 Asml Masktools B.V. Method, program product and apparatus for model based geometry decomposition for use in a multiple exposure process
JP2007184378A (ja) * 2006-01-05 2007-07-19 Canon Inc 露光装置における露光量および/または焦点合わせのための基板の位置を求める方法および装置
US7921383B1 (en) 2006-01-11 2011-04-05 Olambda, Inc Photolithographic process simulation including efficient result computation for multiple process variation values
US8165854B1 (en) 2006-01-11 2012-04-24 Olambda, Inc. Computer simulation of photolithographic processing
US7640522B2 (en) * 2006-01-14 2009-12-29 Tela Innovations, Inc. Method and system for placing layout objects in a standard-cell layout
US7577932B2 (en) * 2006-02-17 2009-08-18 Jean-Marie Brunet Gate modeling for semiconductor fabrication process effects
US7386828B1 (en) * 2006-02-23 2008-06-10 Altera Corporation SAT-based technology mapping framework
US8658542B2 (en) 2006-03-09 2014-02-25 Tela Innovations, Inc. Coarse grid design methods and structures
US8225239B2 (en) 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining and utilizing sub-resolution features in linear topology
US8247846B2 (en) 2006-03-09 2012-08-21 Tela Innovations, Inc. Oversized contacts and vias in semiconductor chip defined by linearly constrained topology
US8245180B2 (en) 2006-03-09 2012-08-14 Tela Innovations, Inc. Methods for defining and using co-optimized nanopatterns for integrated circuit design and apparatus implementing same
US9230910B2 (en) 2006-03-09 2016-01-05 Tela Innovations, Inc. Oversized contacts and vias in layout defined by linearly constrained topology
US8225261B2 (en) 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining contact grid in dynamic array architecture
US7446352B2 (en) 2006-03-09 2008-11-04 Tela Innovations, Inc. Dynamic array architecture
US7943967B2 (en) 2006-03-09 2011-05-17 Tela Innovations, Inc. Semiconductor device and associated layouts including diffusion contact placement restriction based on relation to linear conductive segments
US8653857B2 (en) 2006-03-09 2014-02-18 Tela Innovations, Inc. Circuitry and layouts for XOR and XNOR logic
US7763534B2 (en) 2007-10-26 2010-07-27 Tela Innovations, Inc. Methods, structures and designs for self-aligning local interconnects used in integrated circuits
US8448102B2 (en) 2006-03-09 2013-05-21 Tela Innovations, Inc. Optimizing layout of irregular structures in regular layout context
US7956421B2 (en) 2008-03-13 2011-06-07 Tela Innovations, Inc. Cross-coupled transistor layouts in restricted gate level layout architecture
US9563733B2 (en) 2009-05-06 2017-02-07 Tela Innovations, Inc. Cell circuit and layout with linear finfet structures
US8839175B2 (en) 2006-03-09 2014-09-16 Tela Innovations, Inc. Scalable meta-data objects
US8541879B2 (en) 2007-12-13 2013-09-24 Tela Innovations, Inc. Super-self-aligned contacts and method for making the same
US9035359B2 (en) 2006-03-09 2015-05-19 Tela Innovations, Inc. Semiconductor chip including region including linear-shaped conductive structures forming gate electrodes and having electrical connection areas arranged relative to inner region between transistors of different types and associated methods
US7932545B2 (en) 2006-03-09 2011-04-26 Tela Innovations, Inc. Semiconductor device and associated layouts including gate electrode level region having arrangement of six linear conductive segments with side-to-side spacing less than 360 nanometers
US9009641B2 (en) 2006-03-09 2015-04-14 Tela Innovations, Inc. Circuits with linear finfet structures
US20070231710A1 (en) * 2006-03-30 2007-10-04 Texas Instruments Incorporated. Method and system for forming a photomask pattern
US7496881B2 (en) * 2006-06-29 2009-02-24 Intel Corporation Mask validation using contours
US7510960B2 (en) * 2006-08-29 2009-03-31 International Business Machines Corporation Bridge for semiconductor internal node
US20080063948A1 (en) * 2006-09-12 2008-03-13 O'brien Sean Method for achieving compliant sub-resolution assist features
US7757190B2 (en) * 2006-12-19 2010-07-13 Advanced Micro Devices, Inc. Design rules checking augmented with pattern matching
US20080162103A1 (en) * 2006-12-29 2008-07-03 Cadence Design Systems, Inc. Method, system, and computer program product for concurrent model aided electronic design automation
US7827519B2 (en) 2006-12-29 2010-11-02 Cadence Design Systems, Inc. Method, system, and computer program product for preparing multiple layers of semiconductor substrates for electronic designs
US7962866B2 (en) 2006-12-29 2011-06-14 Cadence Design Systems, Inc. Method, system, and computer program product for determining three-dimensional feature characteristics in electronic designs
US7979829B2 (en) 2007-02-20 2011-07-12 Tela Innovations, Inc. Integrated circuit cell library with cell-level process compensation technique (PCT) application and associated methods
US8286107B2 (en) 2007-02-20 2012-10-09 Tela Innovations, Inc. Methods and systems for process compensation technique acceleration
US7725845B1 (en) * 2007-02-24 2010-05-25 Cadence Design Systems, Inc. System and method for layout optimization using model-based verification
US7689948B1 (en) 2007-02-24 2010-03-30 Cadence Design Systems, Inc. System and method for model-based scoring and yield prediction
US7707528B1 (en) * 2007-02-24 2010-04-27 Cadence Design Systems, Inc. System and method for performing verification based upon both rules and models
US8667443B2 (en) 2007-03-05 2014-03-04 Tela Innovations, Inc. Integrated circuit cell library for multiple patterning
US7888705B2 (en) 2007-08-02 2011-02-15 Tela Innovations, Inc. Methods for defining dynamic array section with manufacturing assurance halo and apparatus implementing the same
KR100818713B1 (ko) * 2007-03-23 2008-04-02 주식회사 하이닉스반도체 노광 과정 중의 스컴을 억제하는 리소그래피 방법
JP2008299259A (ja) * 2007-06-04 2008-12-11 Dainippon Printing Co Ltd フォトマスク欠陥判定方法
US8020120B2 (en) * 2007-10-01 2011-09-13 International Business Machines Corporation Layout quality gauge for integrated circuit design
US20090121357A1 (en) * 2007-11-08 2009-05-14 International Business Machines Corporation Design structure for bridge of a seminconductor internal node
US7925369B2 (en) * 2007-12-18 2011-04-12 Globalfoundries Inc. Method and apparatus for optimizing models for extracting dose and focus from critical dimension
US8453094B2 (en) 2008-01-31 2013-05-28 Tela Innovations, Inc. Enforcement of semiconductor structure regularity for localized transistors and interconnect
US7939443B2 (en) 2008-03-27 2011-05-10 Tela Innovations, Inc. Methods for multi-wire routing and apparatus implementing same
JP2009282319A (ja) * 2008-05-22 2009-12-03 Toshiba Corp パターン検証方法、パターン検証システム、パターン検証プログラム、マスク製造方法、および半導体装置の製造方法
US8806387B2 (en) 2008-06-03 2014-08-12 Asml Netherlands B.V. Model-based process simulation systems and methods
US8090999B2 (en) * 2008-06-10 2012-01-03 Micron Technology, Inc. Memory media characterization for development of signal processors
KR101749351B1 (ko) 2008-07-16 2017-06-20 텔라 이노베이션스, 인코포레이티드 동적 어레이 아키텍쳐에서의 셀 페이징과 배치를 위한 방법 및 그 구현
US9122832B2 (en) 2008-08-01 2015-09-01 Tela Innovations, Inc. Methods for controlling microloading variation in semiconductor wafer layout and fabrication
NL2003719A (en) 2008-11-10 2010-05-11 Brion Tech Inc Delta tcc for fast sensitivity model computation.
US8103995B2 (en) * 2009-01-20 2012-01-24 Vanguard International Semiconductor Corporation Method for OPC correction
US8661392B2 (en) 2009-10-13 2014-02-25 Tela Innovations, Inc. Methods for cell boundary encroachment and layouts implementing the Same
US8458635B2 (en) 2009-12-04 2013-06-04 Synopsys, Inc. Convolution computation for many-core processor architectures
JP2011197520A (ja) * 2010-03-23 2011-10-06 Toppan Printing Co Ltd フォトマスク製造方法
FR2960992B1 (fr) 2010-06-02 2013-05-10 St Microelectronics Rousset Procede de conception de masques pour la formation de composants electroniques
US8543965B1 (en) 2010-06-12 2013-09-24 Cadence Design Systems, Inc. Methods, systems, and articles of manufacture for smart pattern capturing and layout fixing
US9159627B2 (en) 2010-11-12 2015-10-13 Tela Innovations, Inc. Methods for linewidth modification and apparatus implementing the same
US8832621B1 (en) 2011-11-28 2014-09-09 Cadence Design Systems, Inc. Topology design using squish patterns
US8924896B2 (en) * 2013-01-31 2014-12-30 Globalfoundries Inc. Automated design layout pattern correction based on context-aware patterns
US9870441B1 (en) * 2013-10-04 2018-01-16 Pdf Solutions, Inc. Snap-to valid pattern system and method
EP3105636B1 (en) * 2014-02-12 2023-07-12 ASML Netherlands B.V. Method of optimizing a process window
US9171127B1 (en) * 2014-10-08 2015-10-27 United Microelectronics Corp. Layout generating method
KR102592599B1 (ko) * 2016-05-12 2023-10-24 삼성전자주식회사 반도체 집적회로 레이아웃의 검증 방법 및 이를 수행하는 컴퓨터 시스템
CN106970506B (zh) * 2017-04-10 2018-11-20 中国科学院上海光学精密机械研究所 一种基于粒子群优化算法的晶圆曝光路径规划方法
US10565344B1 (en) 2017-12-01 2020-02-18 Pdf Solutions, Inc. Standard cell design conformance using boolean assertions
US10769334B2 (en) * 2018-11-16 2020-09-08 International Business Machines Corporation Intelligent fail recognition
US10963990B2 (en) 2019-01-28 2021-03-30 Applied Materials, Inc. Automated image measurement for process development and optimization
US10963753B2 (en) 2019-01-28 2021-03-30 Applied Materials, Inc. Automated image measurement for process development and optimization
US11900026B1 (en) 2019-04-24 2024-02-13 X Development Llc Learned fabrication constraints for optimizing physical devices
US11379633B2 (en) 2019-06-05 2022-07-05 X Development Llc Cascading models for optimization of fabrication and design of a physical device

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3331822B2 (ja) * 1995-07-17 2002-10-07 ソニー株式会社 マスクパターン補正方法とそれを用いたマスク、露光方法および半導体装置
JP2000260879A (ja) * 1999-03-12 2000-09-22 Hitachi Ltd レイアウト設計支援装置、コンピュータ読み取り可能な記録媒体
JP4077141B2 (ja) * 2000-06-30 2008-04-16 株式会社東芝 デザインルール作成方法、デザインルール作成システム及び記録媒体
US6665856B1 (en) * 2000-12-01 2003-12-16 Numerical Technologies, Inc. Displacing edge segments on a fabrication layout based on proximity effects model amplitudes for correcting proximity effects
JP4208410B2 (ja) * 2000-12-28 2009-01-14 株式会社東芝 回路動作検証方法及び回路動作検証装置
US7392168B2 (en) * 2001-03-13 2008-06-24 Yuri Granik Method of compensating for etch effects in photolithographic processing
US6954921B2 (en) * 2002-03-05 2005-10-11 Barcelona Design, Inc. Method and apparatus for automatic analog/mixed signal system design using geometric programming
JP3914085B2 (ja) * 2002-04-11 2007-05-16 株式会社東芝 プロセスパラメータの作成方法、プロセスパラメータの作成システム及び半導体装置の製造方法
US7363099B2 (en) * 2002-06-07 2008-04-22 Cadence Design Systems, Inc. Integrated circuit metrology
US7043071B2 (en) * 2002-09-13 2006-05-09 Synopsys, Inc. Soft defect printability simulation and analysis for masks
US7001693B2 (en) * 2003-02-28 2006-02-21 International Business Machines Corporation Binary OPC for assist feature layout optimization
US20050034087A1 (en) * 2003-08-04 2005-02-10 Hamlin Christopher L. Method and apparatus for mapping platform-based design to multiple foundry processes
US7003758B2 (en) * 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
US7343271B2 (en) * 2003-10-27 2008-03-11 International Business Machines Corporation Incorporation of a phase map into fast model-based optical proximity correction simulation kernels to account for near and mid-range flare
US7079223B2 (en) * 2004-02-20 2006-07-18 International Business Machines Corporation Fast model-based optical proximity correction
US7266800B2 (en) * 2004-06-04 2007-09-04 Invarium, Inc. Method and system for designing manufacturable patterns that account for the pattern- and position-dependent nature of patterning processes

Also Published As

Publication number Publication date
US20050268256A1 (en) 2005-12-01
US7653890B2 (en) 2010-01-26
JP2007536564A (ja) 2007-12-13
WO2005098686A3 (en) 2009-04-16
TW200540958A (en) 2005-12-16
WO2005098686A2 (en) 2005-10-20

Similar Documents

Publication Publication Date Title
TWI375979B (en) Modeling resolution enhancement processes in integrated circuit fabrication
US7496880B2 (en) Method and apparatus for assessing the quality of a process model
JP6377106B2 (ja) プロセス変動バンドを用いた集積回路レイアウト設計法
US7941768B1 (en) Photolithographic process simulation in integrated circuit design and manufacturing
US6768958B2 (en) Automatic calibration of a masking process simulator
JP5334956B2 (ja) 個別マスクエラーモデルを使用するマスク検証を行うシステムおよび方法
KR101056142B1 (ko) 레티클 설계 데이터의 결함을 검출하기 위한 컴퓨터로구현되는 방법
TWI524374B (zh) 模型化臨界尺寸掃描電子顯微鏡抽取的方法
US11120182B2 (en) Methodology of incorporating wafer physical measurement with digital simulation for improving semiconductor device fabrication
JP2008033277A (ja) 設計データ又はマスクデータの補正方法および補正システム、設計データ又はマスクデータの検証方法および検証システム、半導体集積回路の歩留まり予測方法、デザインルールの改善方法、マスクの製造方法、並びに、半導体集積回路の製造方法
KR20090085651A (ko) 점진적 모델링 정밀도에 의한 인쇄적성 검증
US20070111112A1 (en) Systems and methods for fabricating photo masks
US9311442B2 (en) Net-voltage-aware optical proximity correction (OPC)
Howard et al. Inspection of integrated circuit databases through reticle and wafer simulation: an integrated approach to design for manufacturing (DFM)
Chen et al. Practical lithography hotspot identification using mask process model
Park et al. Score-based fixing guidance generation with accurate hot-spot detection method

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees