TWI344209B - Strained si mosfet on tensile-strained sige-on-insulator (sgoi) - Google Patents

Strained si mosfet on tensile-strained sige-on-insulator (sgoi) Download PDF

Info

Publication number
TWI344209B
TWI344209B TW094122020A TW94122020A TWI344209B TW I344209 B TWI344209 B TW I344209B TW 094122020 A TW094122020 A TW 094122020A TW 94122020 A TW94122020 A TW 94122020A TW I344209 B TWI344209 B TW I344209B
Authority
TW
Taiwan
Prior art keywords
layer
strained
sige alloy
alloy layer
tensile
Prior art date
Application number
TW094122020A
Other languages
English (en)
Other versions
TW200618277A (en
Inventor
Kevin K Chan
Jack O Chu
Kern Rim
Leathen Shi
Original Assignee
Ibm
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ibm filed Critical Ibm
Publication of TW200618277A publication Critical patent/TW200618277A/zh
Application granted granted Critical
Publication of TWI344209B publication Critical patent/TWI344209B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78684Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys
    • H01L29/78687Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys with a multilayer structure or superlattice structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • H01L21/2003Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy characterised by the substrate
    • H01L21/2007Bonding of semiconductor wafers to insulating substrates or to semiconducting substrates using an intermediate insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78603Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the insulating substrate or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's

Description

1344209 九、發明說明: 【發明所屬之技術領域】 本發明係關於一種用作一用於形成高效能金氧半場效電 晶體(MOSFET)元件之模板之半導體結構,且更特定言 之,係關於一種在一經拉伸應變之絕緣體覆SiGeGGO〗)* 板上包含一應變Si層之異質結構。本發明亦提供一種形成 本發明之半導體異質結構之方法。 【先前技術】 短語"應變矽互補金氧半導體(CM〇S)”實質上涉及製造 於在一鬆弛矽鍺(SiGe)合金層上具有一薄應變矽(應變Si) 層之基板上之CMOS元件。已展示應變8丨層中之電子及電 /同遷移率顯著咼於塊石夕(bulk silicon)層中之電子及電洞遷 移率,且經實驗論證,具有應變Si通道iM〇SFET較之製 造於習知的(非應變)矽基板中之元件展示出增強的元件效 能。潛在的效能改良包括增加的元件驅動電流及轉導,以 及在不犧牲電路速度的情況下調節(scale)作業電壓以減少 功率消耗之增加的能力。 應變Si層係成長於一由晶格常數大於矽之晶格常數之材 料形成之基板上之矽中所誘導之雙向拉伸應力之產物。鍺 之晶格常數大於矽之晶格常數約4 2%,且SiGe合金之晶格 常數與其鍺濃度成線性關係。結果,含有5〇原子%之鍺之 SiGe合金之晶格常數大於矽之晶格常數約丨〇2倍。 在該SiGe基板上之Si之磊晶成長將產生一經受拉伸應變 之Si層,而下方的SiGe基板實質上係非應變的或"鬆弛的,, 102715.doc i ^344209 。一實現MOSFET應用之應變Si通道結構之優點的結構及 製程係教示於共同讓渡之Chu等人之第6,059,895號美國專 利中’其揭示了 一種用於在一 Si Ge層上形成一具有一應變 Si通道之CMOS元件之技術,該SiGe層 '應變Si通道及 C Μ 0 S元件均在一絕緣基板上。 完全實現應變Si CMOS技術之全部優點的難點在於應變 Si層下之鬆弛SiGe層的存在。如上所指示,si通道中之應 變視SiGe合金層之晶格常數而定。因此,為了增加應變及 遷移率’需要具有增加的Ge含量之SiGe。然而,就化學性 質而言,在CMOS元件製造中使用高的Ge含量(約35原子% 或更多)是有問題的。詳言之,具有高Ge含量之SiGe層可 與諸如熱氧化、摻雜擴散、自對準矽化物形成及退火之多 種處理步驟互相作用,使得在CMOS製造期間難以保持材 料完整性,且最終可限制可達成之元件效能增強及元件良 率。 共同讓渡之Rim之第6,603,156號美國專利揭示了 一種直 接在一絕緣體覆矽基板之一絕緣體層的頂上形成一應變si 層之方法。該·156專利中所揭示之方法藉由自該結構完全 移除SiGe合金層來克服先前技術中之缺點。儘管該,156專 利向應變Si/鬆弛SiGe異質結構之問題提供—替代方法,但 是仍存在對提供一種消除應變Si層中之高應變之優先選擇 與下方的SiGe合金層中之Ge含量間之相互影響的方法之需 要。該方法將允許應變Si/SiGe異質結構技術之延續使用。 【發明内容】 102715.doc 1344209 本發明提供一種用作一用於形成高效能金氧半場效電晶 體(MOSFET)元件之模板之半導體結構。更具體言之,本 發明提供一種在一經拉伸應變之絕緣體覆SiGe(SGOI)上包 含一應變Si層之異質結構。廣泛而言,本發明之結構包 含: 一絕緣體覆Si(3e基板,其包含一位於一絕緣層的頂上之 經拉伸應變之SiGe合金層;及 一在該經拉伸應變之SiGe合金層的頂上之應變si層。 本發明亦提供一種形成該經拉伸應變之SGOI基板以及 上述異質結構之方法β本發明之方法藉由直接在一絕緣層 的頂上提供一經拉伸應變之siGe合金層來消除應變si層中 之向應變之優先選擇與下層中之Ge含量間之相互影響。 具體s之且廣泛而言’本發明之方法包含以下步驟: 形成一第一多層結構,其包含位於一鬆弛SiGe合金層上 方之至少一經拉伸應變之SiGe合金層,其中該經拉伸應變 之SiGe合金比該鬆弛siGe合金層含有更低的Ge含量; 在與該鬆弛SiGe合金層相對之表面上將該第一多層結構 結合至一第二多層結構之一絕緣層:及 移除該鬆弛SiGe合金層。 在一些實施例中,應變Si層可包括於含有該經拉伸應變 之SiGe合金層及該鬆弛81(}6合金層之該第一多層結構内。 在該實施例中,應變Si層位於該經拉伸應變之以以合金層 與該鬆弛SiGe合金層之間。在此實施例中,且在移除該鬆 弛SiGe合金層之後,不需要另外的處理步驟來在經杻伸應 I02715.doc 1344209 變之絕緣體覆SiGe基板上形成應變si層。 在另一實施例中,經拉伸應變之siGe合金層直接形成於 鬆弛SiGe合金層的頂上。在此實施例中,一應變si在移除 鬆弛SiGe合金層之後形成於該經拉伸應變之51(}6合金層的 頂上。 在一些實施例中,至少一第二半導體層可在結合之前形 成於經拉伸應變之SiGe合金層之頂上。此實施例允許多層 異質結構之形成》 在執行上述處理步驟之後,至少一場效電晶體(FET)可 形成於應變Si層的頂上。 【實施方式】 現在將藉由參看伴隨本申請案之圖式更詳細地描述本發 明,本發明提供一經拉伸應變之絕緣體覆SiGe基板上之一 應變矽層以及其製造方法。在並未按比例繪製之圖式中, 相似的參考數字涉及相似的及/或對應的元件。 現在參看圖1A-1C,其說明可在本發明中使用來於一經 拉伸應變之絕緣體覆SiGe基板上形成一應變Si層之基本處 理步驟。具體言之,圖1A說明一第一多層結構1〇,其包 含:一鬆弛SiGe合金(Sil-yGey;^12,一位於該鬆弛以^合 金層12之表面上之可選的應變“層14 ’及一位於該可選的 應變SM 14之表面上之經拉伸應變之siGe合金(Su%)層 16。當可選的應變以層14不存在於圖丨八中所示之結構中
時該經拉伸應變之SiGe合金層16直接位於鬆弛SiGe合金 層1 2之表面上D W I02715.doc 1344209 在上述分子式中且根據本發明,x小於y,因此該經拉伸 應變之SiGe合金層16比鬆弛SiGe合金層12含有更多的矽。 因此’經拉伸應變之SiGe合金16之晶格常數不同於鬆弛 SiGe合金層12之晶格常數。詳言之,經拉伸應變之以以合 金層16之晶格常數小於鬆弛SiGe合金層12之晶格常數。注 意’鬆弛SiGe合金層12之晶格常數一般亦大於應變Si層14 之晶格常數。 圖1A中所示之第一多層結構1〇係藉由首先提供一鬆弛 SiGe合金層12作為一基板形成的,應變Si 14及/或經拉伸 應變之SiGe合金層16形成於其中。應變Si層14為可選的且 不需要存在於第一多層結構10内。鬆弛SiGe合金層12之功 也為誘導可在層14及/或層16中引起所要水平之應變之雙 向拉伸應力《因為對於SiGe合金而言,鍺濃度[(^]與晶格 常數之間的關係為線性的,所以於層14及/或16中所誘導 的應變量可由SiGe合金層12中之鍺的量來調整。 鬆弛SiGe合金層12可藉由已知方法形成,該等方法包括 (例如).蟲晶成長、Czhochralski成長及其類似方法。因為 SiGe合金層12的晶格常數大於矽的晶格常數,所以層14及 16經受雙向拉伸’而該下方的siGe合金層12大體上保持非 應變或”鬆弛"。在本發明中所使用的鬆弛以以合金層12之 厚度可視形成其所使用之方法而變化。然而一般地,鬆弛 SiGe合金層12具有約50奈米至約5000奈米之厚度,更一般 地’該厚度為約2〇〇奈米至約3〇〇〇奈米。 在提供鬆弛SiGe合金層12之後,應變Si層14可選擇性地 I027l5.doc -10- 开/成於鬆弛SiGe合金層12之表面上。應變Si層14係藉由任 何習知的磊晶成長製程形成。應變Si層14之厚度一般為約 不米至約4〇奈米,更一般地,該厚度為約1 〇奈米至約25 不卡在本發明中所形成之應變Si層14 一般具有大於Si之 天然晶格常數約〇.〇1 %至約4·2%之平面内晶格常數。 接著’經拉伸應變之SiGe合金層16形成於應變si層14之 表面上(諸如圖1A中所示),抑或當應變S丨層14不存在時直 接形成於鬆弛SiGe合金層12之表面上(未圖示)。經拉伸應 變之SlGe合金層16可藉由包括(例如)磊晶成長之任何習知 方法形成。本發明在此所形成之經拉伸應變之S丨Ge合金層 16般具有約5奈米至約3〇〇奈米之厚度’更—般地,該厚 度為約ίο奈米至約100奈米。經拉伸應變之siGe合金層16 一般含有約1原子%至約99原子%之^的^含量,附帶條 件為該Ge含量小於鬆弛SiGe合金層12中之&含量。 儘管並未展示於圖1A-1C之處理流程中,但是本發明在 此可使一個或多個可選的第二半導體層形成於經拉伸應變 之SiGe合金層16之頂上。可利用彼等熟習此項技術者已知 之省知儿積製程形成該或該等可選的半導體層,該沉積製 私包括(例如).磊晶成長、化學氣相沉積、蒸鍍、電漿增 強化學氣相沉積及其類似製程。本發明在此可形成之一或 夕個可選的半導體層之說明性實例包括(但不限於):、 SiGe、Ge、GaAs、lnAs、Inp 或其他 ΙΙΙ/ν&π/νΙ化合物半 導體包括其多層。一或多個可選的第二半導體層之厚度 視所使用之第一半導體材料之數目而變化。一般地,一或 102715.doc 1344209 多個第二半導體層具有約5奈米至約300奈米之總厚度,更 一般地,總厚度為約10奈米至約1 〇〇奈米。一或多個可選 的第二半導體廣之存在允許含有多個異質結構層之結構之 形成。圖3展示一第二半導體材料之存在β在此圖式中, 該第二半導體材料係標記為參考數字26。
在提供圖1Α中所示之第一多層結構10之後,形成一第二 多層結構18(見圖1Β),其包括一基板22上之一絕緣層20, 該基板至少初始地用作該絕緣層2〇之處理晶圓(handle wafer)。由下述的將變得顯而易見,可預測具有多種材料 之一或多層可包括於絕緣層20與基板22之間或在基板22之 背面上(與絕緣層20相對)。 絕緣層20包含氧化物、氮化物、氮氧化合物或其任何組
〇。可用作絕緣層20之材料之說明性實例包括(但不限 於):矽氧化物(二氧化矽si〇2)、矽氮化物(SiN)、鋁氧化 物(氧化鋁AhO3)、矽氮氧化物、铪氧化物(二氧化铪 Hf〇2)鍅氧化物(一氧化鍅Zr〇2)及摻雜鋁氧化物。絕緣層 2〇較佳為氧化物。絕緣層2〇之厚度一般為約】奈米至約 1000奈米,更一般地,該厚度為約10奈米至約300奈米。 絕緣層2 0藉由使用習知的沉積製程形成於基板2 2之表面 上,該沉積製程諸如(例如)化學氣相沈積(CVD)、電聚增 強化學氣相沉積(PECVD)、蒸錄化學溶液沉積、原子層沉 積及其相m或者,絕緣㈣可藉由熱氧化、熱氮化 或其組合形成於基板22之頂上。 本發明所使用 之基板22包含任何半導體材料 其包括 1027l5.doc •12· 1344209 (例如).Si、SiGe、Ge、GaAs、InAs、InP及其他 in/γ 或 π/νι化合物半導體。基板22亦可包含一諸wSi/siGe之層 化半導體或一預成型絕緣體覆矽(S〇I)或絕緣體覆 SiGe(SGOI)基板。基板22之厚度對於本發明而言無關緊 要。 請注意,用作層12、14、16、22之半導體材料可具有相 同的晶體取向或其可具有不同的晶體取向。 第一多層結構18結合至第一多層結構1 〇以提供圖1 b中所 示之結合結構24。具體言之,第二多層結構18之絕緣層20 之曝露的上表面結合至多層結構1 〇之經拉伸應變之S丨g e合 金層16之曝露的上表面。 兩個多層結構之間的結合包括任何習知的結合方法,該 方法包括半導體至絕緣體之結合。舉例而言,在本發明 中’上述兩個多層結構之結合可藉由首先使兩個結構開始 相互緊密接觸並選擇性地施加一外力至該等經接觸的結構 來達成。兩個多層結構接觸後可在能夠增加兩個結構之間 的結合能的條件下選擇性地退火。該退火步驟可在存在或 不存在外力的情況下執行。結合一般係在標稱室溫下於初 始接觸步驟期間達成β就標稱室溫而言,其意謂約1 5°c至 約40°C之溫度,其申約25。(:之溫度更佳。儘管結合一般在 此等溫度下執行,但是本文亦涵蓋高於標稱溫度之其他溫 度。 在結合之後,結合結構24可進一步退火以增強結合強度 並改良界面特性。進一步的退火溫度一般在約9〇〇°c至約 J02715.doc 13 1344209 3 〇〇 C之·度下進行,更一般地退火溫度為約至 •力1100 C。退火在前述溫度範圍内執行持續約i小時至約 24小時範圍内之各種時間週期。退火氣氛可為〇2、N2、Ar 或低真空,Μ具有或不具有外部黏接力。纟文亦涵蓋前 述退火氣氛之混合物,其可具有或不具有惰性氣體。儘管 常常使用高溫退火(如上所述),但是亦有可能使用亦可達 成良好的機械及電子特性之低溫退火(低於9〇(rc )。 在形成圖1B中所示之結合結構24之後,自該結構移除鬆 弛SiGe層12以曝露下方的應變“層14(若存在)抑或經拉伸 應變之SiGe合金層16(若應變si層14不存在)。圖ic描繪一 在移除鬆弛SiGe合金層12後應變Si層14經曝露之結構。 fe弛SiGe合金層12係藉由諸如化學機械拋光(CN1p)、晶 圓为裂(諸如可自LETI得到的SmartCut製程)、對石夕具有選 擇性之化學飯刻製程或此等技術之組合之方法來完全移 除°當應變Si層14存在時’完全移除鬆弛8丨(^層12之較佳 方法係藉由諸如HHA(過氧化氫、氫氟酸、乙酸)钱刻之選 擇性化學蝕刻製程,其較佳地蝕刻Si(3e合金層12。當鬆弛 SiGe合金層12與經拉伸應變之SiGe合金層16直接接觸時, 一般執行CMP或晶圓分裂。若使用SmartCut製程,則此製 程所需之氫植入步驟可在本發明之處理期間之各點處執 行。 在應變Si層14並未預先存在之實施例中,本發明在此可 錯由轰晶成長使應變Si層14形成於經曝露的經拉伸應變之 SiGe合金層16的頂上》 102715.doc • 14- 1344209 在形成應變Si-絕緣體覆SiGe(Si-SGOI)結構之後,一或 多個場效電晶體(FET)可形成於應變以層14之表面的項 上’從而提供圖2及圖3中所示之結構。在此等圖式中,為 了清楚起見,已省略基板22,參考數字50表示FET區域, 參考數字52表示閘極介電質,參考數字54表示閘極導體且 參考數字56表示側壁隔片《藉由使用彼等熟習此項技術者 所熟知的習知CMOS處理步驟,FET 50形成於應變Si層14 之頂上。閘極介電質52之材料(氧化物、氮化物、氮氧化 物或其組合),閘極導體54之材料(多晶矽、金屬、金屬合 金、石夕化物或其組合)及側壁隔片56之材料(氧化物、氮化 物、氮氧化物或其組合)為彼等熟習此項技術者所熟知。 閘極區域50下方之應變Si層14之一部分用作元件通道。源 極/汲極擴展及擴散區域(未圖示)可藉由習知的離子植入及 退火形成至應變Si層14中《矽化物接觸部分及/或凸起的源 極/汲極區域亦可藉由熟習此項技術者所熟知的習知方法 形成。在上述處理步驟之後,習知的後端線(BE〇L)處理亦 可在圖2及圖3所示之結構上執行。除了在經拉伸應變之 SiGe合金層16與絕緣層20之間存在一第二半導體層%外, 圖2與圖3中所示之說明性結構一致。 應強調,本發明之上述方法消除應變以層14中之高應變 之優先選擇與下方的經拉伸應變之siGe合金層丨6中之以含 量間之相互影響。纟圖2及圖3中所示之結構中,應變以層 14下方的經拉伸應變之SiGe合金層16用作層14中之應變模 板0 I027J5.doc -15· 1344209 儘管本發明已特定關於其較佳實施例展示並描述,但是 彼等熟習此項技術者應瞭解,可在不偏離本發明之精神及 範脅的情況下在形式上及細節上做出前述及其他改變。因 此希望本發明並不限於所描述及說明之精確形式及細節, 但須屬於附加之申請專利範圍之精神及範鳴内。 【圖式簡單說明】
圖1 A-1C係說明用於形成一於一經拉伸應變之絕緣體覆 SiGe(SGOI)基板上含有一應變Si層之結構之基本處理步驟 的圖示表示(經由橫截面圖)。 圖2係說明一形成於圖1 A-1C中所提供之結構的頂上之 FET的圖示表示(經由橫截面圖)。 圖3係說明一形成於一可使用圖iA_ic中所示之處理步驟 製ia·之替代結構的頂上之F E T的圖示表示(經由橫截面 圖)。 【主要元件符號說明】
10 第一多層結構 12 鬆弛SiGe合金層 14 應變Si層 16 經拉伸應變之SiGe合金層 18 第二多層結構 20 絕緣層 22 基板 24 結合結構 26 第二半導體層 102715.doc -16· 1344209
50 閘極區域 52 閘極介電質 54 閘極導體 56 側壁隔片 102715.doc

Claims (1)

1344209 十、申請專利範圍: ι· 一種半導體結構,包含: 絕緣體覆SiGe基板,其包含一位於一絕緣層頂上之 經拉伸應變之SiGe合金層;及 一在邊經拉伸應變之siGe合金層的頂上之應變Si層。 2·如4求項1之半導體結構,其中該絕緣層包含氧化物、 I化物、氮氧化物或其任何組合。 3. 如凊求項2之半導體結構,其中該絕緣層為氧化物。 4. 如研求項丨之半導體結構,其中該絕緣層具有一約1奈米 至約1000奈米之厚度。 5·如明求項1之半導體結構,其中該經拉伸應變之siGe合 金層包含約1.0原子。至約99原子%之(^。 6.如明求項1之半導體結構,其中該經拉伸應變之8丨以合 金具有一約5奈米至約3〇〇奈米之厚度。 7_如請求項1之半導體結構,其進一步包含在該經拉伸應 變之SiGe合金層與該絕緣層之間的至少一第二半導體材 料。 8. 如明求項7之半導體結構,其中該至少一第二半導體材 料包 έ Si、SiGe、Ge、GaAs、InAs、InP或其他 III/V及 II/VI化合物半導體。 9. 如明求項1之半導體結構,其進一步包含一在該絕緣層 之下的基板。 1〇·如β求項9之半導體結構,其中該基板包含Si ' SiGe、 Ge ' GaAs、InAs、InP或其他III/V及II/VI化合物半導 102715.doc 1344209 體。 11 ·如凊求項1之半導體結構,其進一步包含位於該應變“層 上之至少一場效電晶體。 n 種开》成一半導體結構之方法,其包含以下步驟: 形成一第一多層結構,其包含位於一鬆弛SiGe合金層 上之至少一經拉伸應變之SiGe合金層,其中該經拉伸應 變之SiGe合金比該鬆弛SiGe合金層含有一更低的^含 量; 在與該鬆弛SiGe合金層相對之一表面上將該第一多層 結構結合至一第二多層結構之一絕緣層;及 移除該鬆弛SiGe合金層。 13. 如請求項12之方法,其中該第一多層結構進一步包括一 在忒經拉伸應變之SiGe合金層與該鬆弛SiGe合金層之間 的應變Si層。 14. 如凊求項12之方法,其中該經拉伸應變之SiGe合金係藉 由蟲晶成長形成》 15. 如請求項13之方法,其中該應變Si層經受一雙向拉伸應 變。 16·如請求項12之方法,其中該結合包含使該第一多層結構 與該第二多層結構接觸。 如叫求項16之方法,其進一步包含在該接觸期間施加一 外力至該第一及第二多層結構。 如明求項1 6之方法,其中該接觸在約丨5它至約4〇。〇之一 溫度下或在高於40。(:之一溫度下發生。 102715.doc 1344209 19·如清求項16之方法,其進一步包含一在該接觸後之退火 步驟。 20. 如請求項12之方法,其中該移除該鬆弛siGe合金層包含 化學機械拋光、晶圓分裂、化學蝕刻或一其組合。 21. 如晴求項12之方法’其進一步包含在該移除該鬆弛SiGe 合金層之後於該經拉伸應變之siGe合金的頂上形成一應 變Si層》 22. 如請求項21之方法,其進一步包含於該應變8丨層上形成 至少一場效電晶體。 23. 如凊求項13之方法’其進一步包含在該移除該鬆弛siGe 合金層之後於該應變Si層上形成至少一場效電晶體。 24. 如請求項12之方法,其中該第二多層結構包括至少一基 板。 25. 種开々成一半導體結構之方法,其包含以下步驟: 形成一第一多層結構’該第一多層結構包含一經拉伸 應變之SiGe合金層及—位於一鬆弛81(^合金層上方之應 變Si層’其中該經拉伸應變之SiGe合金比該鬆弛§丨^合 金層含有一更低的Ge含量; 在與該鬆弛SiGe合金層相對的一表面上將該第一多層 結構結合至一第二多層結構之一絕緣層;及 移除該鬆弛SiGe合金層以曝露該應變si之一表面。 26. 如4求項25之方法,其進一步包含於該應變“層之該曝 露表面上形成至少一場效電晶體。 102715.doc
TW094122020A 2004-07-01 2005-06-30 Strained si mosfet on tensile-strained sige-on-insulator (sgoi) TWI344209B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/883,443 US7217949B2 (en) 2004-07-01 2004-07-01 Strained Si MOSFET on tensile-strained SiGe-on-insulator (SGOI)

Publications (2)

Publication Number Publication Date
TW200618277A TW200618277A (en) 2006-06-01
TWI344209B true TWI344209B (en) 2011-06-21

Family

ID=35512995

Family Applications (1)

Application Number Title Priority Date Filing Date
TW094122020A TWI344209B (en) 2004-07-01 2005-06-30 Strained si mosfet on tensile-strained sige-on-insulator (sgoi)

Country Status (4)

Country Link
US (4) US7217949B2 (zh)
JP (1) JP4959153B2 (zh)
CN (1) CN100461446C (zh)
TW (1) TWI344209B (zh)

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6717213B2 (en) * 2001-06-29 2004-04-06 Intel Corporation Creation of high mobility channels in thin-body SOI devices
US7202124B2 (en) * 2004-10-01 2007-04-10 Massachusetts Institute Of Technology Strained gettering layers for semiconductor processes
US7470972B2 (en) * 2005-03-11 2008-12-30 Intel Corporation Complementary metal oxide semiconductor integrated circuit using uniaxial compressive stress and biaxial compressive stress
FR2892733B1 (fr) * 2005-10-28 2008-02-01 Soitec Silicon On Insulator Relaxation de couches
WO2007053686A2 (en) * 2005-11-01 2007-05-10 Massachusetts Institute Of Technology Monolithically integrated semiconductor materials and devices
WO2007067589A2 (en) * 2005-12-05 2007-06-14 Massachusetts Institute Of Technology Insulated gate devices and method of making same
US8580034B2 (en) * 2006-03-31 2013-11-12 Tokyo Electron Limited Low-temperature dielectric formation for devices with strained germanium-containing channels
US8063397B2 (en) * 2006-06-28 2011-11-22 Massachusetts Institute Of Technology Semiconductor light-emitting structure and graded-composition substrate providing yellow-green light emission
US7648853B2 (en) * 2006-07-11 2010-01-19 Asm America, Inc. Dual channel heterostructure
EP1933384B1 (en) * 2006-12-15 2013-02-13 Soitec Semiconductor heterostructure
DE102007004861B4 (de) * 2007-01-31 2010-02-18 Advanced Micro Devices, Inc., Sunnyvale Transistor mit eingebettetem Si/Ge-Material auf einem verspannten Halbleiter-auf-Isolator-Substrat und Verfahren zum Herstellen des Transistors
US7968382B2 (en) 2007-02-02 2011-06-28 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing semiconductor device
US7550337B2 (en) * 2007-06-07 2009-06-23 International Business Machines Corporation Dual gate dielectric SRAM
CN101246819B (zh) * 2007-11-13 2010-11-10 清华大学 应变锗薄膜的制备方法
US20090152590A1 (en) * 2007-12-13 2009-06-18 International Business Machines Corporation Method and structure for semiconductor devices with silicon-germanium deposits
US7524740B1 (en) 2008-04-24 2009-04-28 International Business Machines Corporation Localized strain relaxation for strained Si directly on insulator
US8623728B2 (en) * 2009-07-28 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming high germanium concentration SiGe stressor
US8193523B2 (en) 2009-12-30 2012-06-05 Intel Corporation Germanium-based quantum well devices
US8961815B2 (en) 2010-07-01 2015-02-24 Planar Solutions, Llc Composition for advanced node front-and back-end of line chemical mechanical polishing
US8486776B2 (en) 2010-09-21 2013-07-16 International Business Machines Corporation Strained devices, methods of manufacture and design structures
US8618554B2 (en) * 2010-11-08 2013-12-31 International Business Machines Corporation Method to reduce ground-plane poisoning of extremely-thin SOI (ETSOI) layer with thin buried oxide
CN102347267B (zh) * 2011-10-24 2013-06-19 中国科学院上海微系统与信息技术研究所 一种利用超晶格结构材料制备的高质量sgoi及其制备方法
CN102437019B (zh) * 2011-11-16 2014-09-24 西安电子科技大学 基于机械弯曲台的SiN埋绝缘层上单轴应变SGOI晶圆的制作方法
FR2986369B1 (fr) * 2012-01-30 2016-12-02 Commissariat Energie Atomique Procede pour contraindre un motif mince et procede de fabrication de transistor integrant ledit procede
CN103258742B (zh) 2012-02-21 2015-11-25 中芯国际集成电路制造(上海)有限公司 晶体管的形成方法

Family Cites Families (100)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3602841A (en) 1970-06-18 1971-08-31 Ibm High frequency bulk semiconductor amplifiers and oscillators
US4853076A (en) 1983-12-29 1989-08-01 Massachusetts Institute Of Technology Semiconductor thin films
US4665415A (en) 1985-04-24 1987-05-12 International Business Machines Corporation Semiconductor device with hole conduction via strained lattice
ATE59917T1 (de) 1985-09-13 1991-01-15 Siemens Ag Integrierte bipolar- und komplementaere mostransistoren auf einem gemeinsamen substrat enthaltende schaltung und verfahren zu ihrer herstellung.
US4958213A (en) 1987-12-07 1990-09-18 Texas Instruments Incorporated Method for forming a transistor base region under thick oxide
JPH01162362A (ja) 1987-12-18 1989-06-26 Fujitsu Ltd 半導体装置の製造方法
US5354695A (en) 1992-04-08 1994-10-11 Leedy Glenn J Membrane dielectric isolation IC fabrication
US5459346A (en) 1988-06-28 1995-10-17 Ricoh Co., Ltd. Semiconductor substrate with electrical contact in groove
US5006913A (en) 1988-11-05 1991-04-09 Mitsubishi Denki Kabushiki Kaisha Stacked type semiconductor device
US5108843A (en) 1988-11-30 1992-04-28 Ricoh Company, Ltd. Thin film semiconductor and process for producing the same
US4952524A (en) 1989-05-05 1990-08-28 At&T Bell Laboratories Semiconductor device manufacture including trench formation
US5310446A (en) 1990-01-10 1994-05-10 Ricoh Company, Ltd. Method for producing semiconductor film
US5060030A (en) 1990-07-18 1991-10-22 Raytheon Company Pseudomorphic HEMT having strained compensation layer
US5081513A (en) 1991-02-28 1992-01-14 Xerox Corporation Electronic device with recovery layer proximate to active layer
US5371399A (en) 1991-06-14 1994-12-06 International Business Machines Corporation Compound semiconductor having metallic inclusions and devices fabricated therefrom
US5134085A (en) 1991-11-21 1992-07-28 Micron Technology, Inc. Reduced-mask, split-polysilicon CMOS process, incorporating stacked-capacitor cells, for fabricating multi-megabit dynamic random access memories
US5391510A (en) 1992-02-28 1995-02-21 International Business Machines Corporation Formation of self-aligned metal gate FETs using a benignant removable gate material during high temperature steps
US6008126A (en) 1992-04-08 1999-12-28 Elm Technology Corporation Membrane dielectric isolation IC fabrication
WO1994027317A1 (de) 1993-05-06 1994-11-24 Siemens Aktiengesellschaft Herstellungsverfahren für bauelemente auf soi-substrat
US5561302A (en) 1994-09-26 1996-10-01 Motorola, Inc. Enhanced mobility MOSFET device and method
US5679965A (en) 1995-03-29 1997-10-21 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact, non-nitride buffer layer and methods of fabricating same
US5670798A (en) 1995-03-29 1997-09-23 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact non-nitride buffer layer and methods of fabricating same
US5557122A (en) 1995-05-12 1996-09-17 Alliance Semiconductors Corporation Semiconductor electrode having improved grain structure and oxide growth properties
WO1996036297A1 (fr) * 1995-05-19 1996-11-21 Kanji Inoue Instrument de transplantation, procede pour le courber et procede pour le transplanter
US6403975B1 (en) 1996-04-09 2002-06-11 Max-Planck Gesellschaft Zur Forderung Der Wissenschafteneev Semiconductor components, in particular photodetectors, light emitting diodes, optical modulators and waveguides with multilayer structures grown on silicon substrates
US5880040A (en) 1996-04-15 1999-03-09 Macronix International Co., Ltd. Gate dielectric based on oxynitride grown in N2 O and annealed in NO
US5861651A (en) 1997-02-28 1999-01-19 Lucent Technologies Inc. Field effect devices and capacitors with improved thin film dielectrics and method for making same
US5940736A (en) 1997-03-11 1999-08-17 Lucent Technologies Inc. Method for forming a high quality ultrathin gate oxide layer
US6309975B1 (en) 1997-03-14 2001-10-30 Micron Technology, Inc. Methods of making implanted structures
US6025280A (en) 1997-04-28 2000-02-15 Lucent Technologies Inc. Use of SiD4 for deposition of ultra thin and controllable oxides
US5906951A (en) * 1997-04-30 1999-05-25 International Business Machines Corporation Strained Si/SiGe layers on insulator
US5960297A (en) 1997-07-02 1999-09-28 Kabushiki Kaisha Toshiba Shallow trench isolation structure and method of forming the same
JP3139426B2 (ja) 1997-10-15 2001-02-26 日本電気株式会社 半導体装置
US6066545A (en) 1997-12-09 2000-05-23 Texas Instruments Incorporated Birdsbeak encroachment using combination of wet and dry etch for isolation nitride
US6274421B1 (en) 1998-01-09 2001-08-14 Sharp Laboratories Of America, Inc. Method of making metal gate sub-micron MOS transistor
KR100275908B1 (ko) 1998-03-02 2000-12-15 윤종용 집적 회로에 트렌치 아이솔레이션을 형성하는방법
US6361885B1 (en) 1998-04-10 2002-03-26 Organic Display Technology Organic electroluminescent materials and device made from such materials
US6165383A (en) 1998-04-10 2000-12-26 Organic Display Technology Useful precursors for organic electroluminescent materials and devices made from such materials
US6074903A (en) 1998-06-16 2000-06-13 Siemens Aktiengesellschaft Method for forming electrical isolation for semiconductor devices
US5989978A (en) 1998-07-16 1999-11-23 Chartered Semiconductor Manufacturing, Ltd. Shallow trench isolation of MOSFETS with reduced corner parasitic currents
JP4592837B2 (ja) 1998-07-31 2010-12-08 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US6319794B1 (en) 1998-10-14 2001-11-20 International Business Machines Corporation Structure and method for producing low leakage isolation devices
US6235598B1 (en) 1998-11-13 2001-05-22 Intel Corporation Method of using thick first spacers to improve salicide resistance on polysilicon gates
US6117722A (en) 1999-02-18 2000-09-12 Taiwan Semiconductor Manufacturing Company SRAM layout for relaxing mechanical stress in shallow trench isolation technology and method of manufacture thereof
US6255169B1 (en) 1999-02-22 2001-07-03 Advanced Micro Devices, Inc. Process for fabricating a high-endurance non-volatile memory device
JP4521542B2 (ja) * 1999-03-30 2010-08-11 ルネサスエレクトロニクス株式会社 半導体装置および半導体基板
US6284626B1 (en) 1999-04-06 2001-09-04 Vantis Corporation Angled nitrogen ion implantation for minimizing mechanical stress on side walls of an isolation trench
US6281532B1 (en) 1999-06-28 2001-08-28 Intel Corporation Technique to obtain increased channel mobilities in NMOS transistors by gate electrode engineering
US6362082B1 (en) 1999-06-28 2002-03-26 Intel Corporation Methodology for control of short channel effects in MOS transistors
US6228694B1 (en) 1999-06-28 2001-05-08 Intel Corporation Method of increasing the mobility of MOS transistors by use of localized stress regions
US6656822B2 (en) 1999-06-28 2003-12-02 Intel Corporation Method for reduced capacitance interconnect system using gaseous implants into the ILD
KR100332108B1 (ko) 1999-06-29 2002-04-10 박종섭 반도체 소자의 트랜지스터 및 그 제조 방법
TW426940B (en) 1999-07-30 2001-03-21 United Microelectronics Corp Manufacturing method of MOS field effect transistor
US6284623B1 (en) 1999-10-25 2001-09-04 Peng-Fei Zhang Method of fabricating semiconductor devices using shallow trench isolation with reduced narrow channel effect
JP3607194B2 (ja) * 1999-11-26 2005-01-05 株式会社東芝 半導体装置、半導体装置の製造方法、及び半導体基板
US6476462B2 (en) 1999-12-28 2002-11-05 Texas Instruments Incorporated MOS-type semiconductor device and method for making same
US6633066B1 (en) * 2000-01-07 2003-10-14 Samsung Electronics Co., Ltd. CMOS integrated circuit devices and substrates having unstrained silicon active layers
US6221735B1 (en) 2000-02-15 2001-04-24 Philips Semiconductors, Inc. Method for eliminating stress induced dislocations in CMOS devices
US6531369B1 (en) 2000-03-01 2003-03-11 Applied Micro Circuits Corporation Heterojunction bipolar transistor (HBT) fabrication using a selectively deposited silicon germanium (SiGe)
US6368931B1 (en) 2000-03-27 2002-04-09 Intel Corporation Thin tensile layers in shallow trench isolation and method of making same
WO2001093338A1 (en) * 2000-05-26 2001-12-06 Amberwave Systems Corporation Buried channel strained silicon fet using an ion implanted doped layer
WO2002015244A2 (en) * 2000-08-16 2002-02-21 Massachusetts Institute Of Technology Process for producing semiconductor article using graded expitaxial growth
US6493497B1 (en) 2000-09-26 2002-12-10 Motorola, Inc. Electro-optic structure and process for fabricating same
US6501121B1 (en) 2000-11-15 2002-12-31 Motorola, Inc. Semiconductor structure
US6521369B1 (en) * 2000-11-16 2003-02-18 Graftech Inc. Flooding-reducing fuel cell electrode
US20020067361A1 (en) 2000-12-02 2002-06-06 Jens Rennert Screen raster generation via programmable lookup tables
US6563152B2 (en) 2000-12-29 2003-05-13 Intel Corporation Technique to obtain high mobility channels in MOS transistors by forming a strain layer on an underside of a channel
US20020086497A1 (en) 2000-12-30 2002-07-04 Kwok Siang Ping Beaker shape trench with nitride pull-back for STI
US6265317B1 (en) 2001-01-09 2001-07-24 Taiwan Semiconductor Manufacturing Company Top corner rounding for shallow trench isolation
US6603156B2 (en) * 2001-03-31 2003-08-05 International Business Machines Corporation Strained silicon on insulator structures
WO2002082514A1 (en) * 2001-04-04 2002-10-17 Massachusetts Institute Of Technology A method for semiconductor device fabrication
US6403486B1 (en) 2001-04-30 2002-06-11 Taiwan Semiconductor Manufacturing Company Method for forming a shallow trench isolation
US20020167048A1 (en) * 2001-05-14 2002-11-14 Tweet Douglas J. Enhanced mobility NMOS and PMOS transistors using strained Si/SiGe layers on silicon-on-insulator substrates
US6855436B2 (en) * 2003-05-30 2005-02-15 International Business Machines Corporation Formation of silicon-germanium-on-insulator (SGOI) by an integral high temperature SIMOX-Ge interdiffusion anneal
US6717213B2 (en) * 2001-06-29 2004-04-06 Intel Corporation Creation of high mobility channels in thin-body SOI devices
JP2003017670A (ja) * 2001-06-29 2003-01-17 Mitsubishi Materials Silicon Corp 半導体基板及び電界効果型トランジスタ並びにこれらの製造方法
US6531740B2 (en) 2001-07-17 2003-03-11 Motorola, Inc. Integrated impedance matching and stability network
US6498358B1 (en) 2001-07-20 2002-12-24 Motorola, Inc. Structure and method for fabricating an electro-optic system having an electrochromic diffraction grating
US6908810B2 (en) 2001-08-08 2005-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of preventing threshold voltage of MOS transistor from being decreased by shallow trench isolation formation
JP2003060076A (ja) 2001-08-21 2003-02-28 Nec Corp 半導体装置及びその製造方法
US20030057184A1 (en) 2001-09-22 2003-03-27 Shiuh-Sheng Yu Method for pull back SiN to increase rounding effect in a shallow trench isolation process
US6656798B2 (en) 2001-09-28 2003-12-02 Infineon Technologies, Ag Gate processing method with reduced gate oxide corner and edge thinning
GB0124812D0 (en) * 2001-10-16 2001-12-05 Polymer Lab Ltd Material
JP2003158250A (ja) * 2001-10-30 2003-05-30 Sharp Corp SiGe/SOIのCMOSおよびその製造方法
CN1172376C (zh) * 2001-12-29 2004-10-20 中国科学院上海微系统与信息技术研究所 一种类似绝缘层上硅结构的材料及制备方法
US6461936B1 (en) 2002-01-04 2002-10-08 Infineon Technologies Ag Double pullback method of filling an isolation trench
US6805962B2 (en) * 2002-01-23 2004-10-19 International Business Machines Corporation Method of creating high-quality relaxed SiGe-on-insulator for strained Si CMOS applications
US6793731B2 (en) * 2002-03-13 2004-09-21 Sharp Laboratories Of America, Inc. Method for recrystallizing an amorphized silicon germanium film overlying silicon
JP2004014856A (ja) * 2002-06-07 2004-01-15 Sharp Corp 半導体基板の製造方法及び半導体装置の製造方法
US6953736B2 (en) * 2002-07-09 2005-10-11 S.O.I.Tec Silicon On Insulator Technologies S.A. Process for transferring a layer of strained semiconductor material
FR2842350B1 (fr) * 2002-07-09 2005-05-13 Procede de transfert d'une couche de materiau semiconducteur contraint
JP4294935B2 (ja) * 2002-10-17 2009-07-15 株式会社ルネサステクノロジ 半導体装置
US6902991B2 (en) * 2002-10-24 2005-06-07 Advanced Micro Devices, Inc. Semiconductor device having a thick strained silicon layer and method of its formation
US6963078B2 (en) * 2003-03-15 2005-11-08 International Business Machines Corporation Dual strain-state SiGe layers for microelectronics
US6982229B2 (en) * 2003-04-18 2006-01-03 Lsi Logic Corporation Ion recoil implantation and enhanced carrier mobility in CMOS device
US7026249B2 (en) * 2003-05-30 2006-04-11 International Business Machines Corporation SiGe lattice engineering using a combination of oxidation, thinning and epitaxial regrowth
US7169226B2 (en) * 2003-07-01 2007-01-30 International Business Machines Corporation Defect reduction by oxidation of silicon
US7029980B2 (en) * 2003-09-25 2006-04-18 Freescale Semiconductor Inc. Method of manufacturing SOI template layer
US7161169B2 (en) * 2004-01-07 2007-01-09 International Business Machines Corporation Enhancement of electron and hole mobilities in <110> Si under biaxial compressive strain
US6992025B2 (en) * 2004-01-12 2006-01-31 Sharp Laboratories Of America, Inc. Strained silicon on insulator from film transfer and relaxation by hydrogen implantation

Also Published As

Publication number Publication date
JP2006019725A (ja) 2006-01-19
TW200618277A (en) 2006-06-01
CN1716629A (zh) 2006-01-04
US20060001088A1 (en) 2006-01-05
US20080042166A1 (en) 2008-02-21
US8017499B2 (en) 2011-09-13
US20070155130A1 (en) 2007-07-05
US7485518B2 (en) 2009-02-03
CN100461446C (zh) 2009-02-11
JP4959153B2 (ja) 2012-06-20
US20080220588A1 (en) 2008-09-11
US7507989B2 (en) 2009-03-24
US7217949B2 (en) 2007-05-15

Similar Documents

Publication Publication Date Title
TWI344209B (en) Strained si mosfet on tensile-strained sige-on-insulator (sgoi)
CA2501580C (en) Method of forming strained silicon on insulator (ssoi) and structures formed thereby
JP4239203B2 (ja) 半導体装置とその製造方法
US8557669B2 (en) MOSFET device with localized stressor
US7052964B2 (en) Strained channel transistor and methods of manufacture
US7462525B2 (en) Enhancement of electron and hole mobilities in &lt;110&gt; Si under biaxial compressive strain
JP2008505482A (ja) シリコン・ゲルマニウム・バッファで絶縁体上に歪みSi/SiGeを形成する方法
JP3927165B2 (ja) 半導体装置
WO2005122272A1 (ja) 歪みシリコンチャネル層を有するmis型電界効果トランジスタ
US7033869B1 (en) Strained silicon semiconductor on insulator MOSFET
US20050070070A1 (en) Method of forming strained silicon on insulator
JP4792757B2 (ja) 半導体基板の製造方法および半導体装置の製造方法
JP2008130726A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees