TWI326112B - A method and apparatus for reducing aspect ratio dependent etching in time division multiplexed etch processes - Google Patents

A method and apparatus for reducing aspect ratio dependent etching in time division multiplexed etch processes Download PDF

Info

Publication number
TWI326112B
TWI326112B TW094121423A TW94121423A TWI326112B TW I326112 B TWI326112 B TW I326112B TW 094121423 A TW094121423 A TW 094121423A TW 94121423 A TW94121423 A TW 94121423A TW I326112 B TWI326112 B TW I326112B
Authority
TW
Taiwan
Prior art keywords
reaction chamber
etching
rate
plasma
substrate
Prior art date
Application number
TW094121423A
Other languages
English (en)
Other versions
TW200607017A (en
Inventor
Shouliang Lai
Russell Westerman
David J Johnson
Original Assignee
Unaxis Usa Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Unaxis Usa Inc filed Critical Unaxis Usa Inc
Publication of TW200607017A publication Critical patent/TW200607017A/zh
Application granted granted Critical
Publication of TWI326112B publication Critical patent/TWI326112B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Micromachines (AREA)

Description

1326112 九、發明說明·· [相關申請案交又參考] 本申請案主張2004年6月29曰提出申請的美國臨時 專利申請案序號第60/584,470號的優先權,其標題為「A Method and Apparatus for Reducing Aspect Ratio Dependent Etching in Time Division Multiplexed Etch Processes」,本 申請案與該臨時專利申請案有關且兩案均為本發明人共同 擁有,本文以引用的方式將其併入。 【發明所屬之技術領域】 本發明係關於一種於基板中電漿蝕刻一特徵圖形的方 法與設備。更明確地說’本發明係關於藉由控制用來蝕刻 向深寬比石夕結構的交錯沉積步驟與银刻步驟而於製造該些 結構中減少與深寬比有關之蝕刻。 【先前技術】 於石夕中製造三維結構的方式被廣泛用來製造微機電 (MEMS)裝置。此等結構通常具有报高的深寬比(AR)且深 度範圍從數十微米至數百微米。再者,大部份的裝置設計 均需要有不同尺寸的結構,因此便會有不同的AR同時存 在於單一微晶片之上。 目刖已經有多種處理技術被用來製造三維的微結構。 使用在t漿狀·態中之反應氣體所進行的乾式飯刻便為石夕蝕 刻較常用的其中一種製程。Suzuki等人(美國專利案第 4,579,623號)、KaWasaki等人(美國專利案第4 795 529號)、 以及等人(美國專利案第5,501,893號)已經說明過 1326112 的分時多工(TDM)電漿蝕刻技術通常可運用於MEMS應用 中。通常會在一配備有一高密度電漿源及一射頻(RF)偏壓 基板電極的反應器中來實行該項TDM蝕刻製程。TDM蝕 刻製程會運用交錯的银刻步驟及沉積步驟。舉例來說,於 」夕基板中’會利用六氟化硫(SD作為银刻氣體並且 利用八氟% 丁烷作為沉積氣體。於一蝕刻步驟中,SF 有助於對石夕進行自發且等向钱刻;於一沉積步驟中,W 有助於在已钮刻結構的側壁及底部上進行具保護作用之聚 合物的鈍化作用。於後續的钱刻步驟中,當進行高能及有 向ί±離子才里擊時,於先前沉積步驟中被塗佈在已钱刻結構 j Ρ上的聚合物膜便將會被移除,裸露出該矽表面以供進 〆蝕刻側壁上的聚合物臈仍然存在,從而可阻止橫向 蝕-亥等TDM製程會在蝕刻製程步驟與沉 =裒交錯進行,俾使能夠在極高的钮刻速率下丄 之中界定出高深寬比的結構…所示的便係 普虫刻製程的概略示意圖。 敍刻程係由多道步驟組成。一群步驟(舉例來說, 為一個:圈\為一個循環。-循環「重複」兩次以上則稱 藝中已知的/於一迴圈中連續執行多個循環時’在本技 廟控mr在該等步驟配方參數中略作變化以增強輪 j來忒,變形技術(morphing於 ::圈將會由-連串的循環至二或多個循環::二 母個猶環中的步驟並不完全相同一循環;::成’其中 -步分割成-或多個子步驟(舉例來的步驟可被進 郤(举例來說,蝕刻步驟可被細分 6 1326112 為聚合物移除子步驟及等向矽蝕刻子步驟)。 根據完整資料顯示,於深石夕钱刻中會出現和深寬比有 關之钱刻(Aspect Ratio Dependent Etching,ARDE)的現象。 吾人已經觀察到,當深度或深寬比(其定義為特徵圖形深度 除以特徵圖形寬度)提高時’碎姓刻速率便會下降。於製造 三維結構期間’ ARDE效應可能會表現在兩方面。首先, S對一怪疋寬度特徵圖形進行钱刻製程時,特徵圖形深寬 比會隨著钱刻時間增加而變大,從而導致餘刻速率隨著時 間經過而下降。其次,當同一片基板上出現不同尺寸的特 徵圖形且一起被同時蝕刻時,那麼較寬溝渠被蝕刻的速率 便會快於較窄溝渠被蝕刻的速率。於兩種情況中,蝕刻速 率均會隨著冰寬比提南而下降。圖2剖面圖中所示的便係 ARDE的範例。於此情況中,寬度範圍介於2 5仁⑺至1〇〇 # m間的溝渠彼此相鄰並且會於同一道製程中被蝕刻。 ARDE延遲(有時候亦稱為反應離子蝕刻(RIE)延遲)的量測 方式係將溝渠深度正規化於100#m寬溝渠的深度,其結 果如圖3所示。於此情況中,當1〇〇//m寬的溝渠被蝕刻 至13〇em的深度處時,1〇ym寬的溝渠僅會被蝕刻至94 的深度而2.5#m寬的溝渠則僅會被蝕刻至62"爪的 深度。 ARDE效應使得製造MEMS裝置的複雜度變得更高。 當同時存纟具有各種橫向&寸的結構且同時被餘刻時,所 生成的垂直尺寸將會不同,其並不符於裝置設計需求。即 使對早一結構來說,當進行蝕刻時,垂直蝕刻速率並不恆 7 1326112 定’其代表會出現製程控制問題◦確切地說,RIE延遲係 一種非常複雜的現象,而且已經有人提出許多機制來解釋 姓刻速率隨著深寬比提高而變化的情形。一般而言,造成 RIE延遲的主要因素如下:(1)該已蝕刻結構底部處的離子 通置^貝失,以及(2)因中性遮蔽效應(neutral shadowing)及 柯努德森傳輸(Knudsen transport)所導致的反應中性粒種 (neutral species)空乏。 實務上,MEMS裝置設計者與裝置製造者均必須處理 ARDE效應。其中一種被廣泛使用的方法便係運用蝕刻阻 止層。於絕緣體上之矽(Silicon_0n_Insulat〇r,s〇l)及玻璃 上矽(Silicon-〇n-Glass,SOG)晶圓中,會利用一埋植氧化 層來阻止蝕刻進行,用以補償該RIE延遲現象。然而,當 使用SOI或SOG晶圓時卻會出現兩項缺點。第一項缺點 係在矽/氧化物介面處會出現凹痕,吾人通常並不樂見此現 象。第二項缺點係s〇I與S〇G晶圓的成本高於矽晶圓。 或者’已經有許多團體研究出用以減少ARDE的其它 方式。下文將概述該些研究結果。
Doh等人於報告中提出於電子迴旋共振(ECR)電漿蝕 刻系統中在高偏壓電壓及高偏差頻率下改良RIE延遲。D〇h 等人教示的係於ECR系統中利用C4F8+H2電漿來蝕刻二氧 化石夕(Si〇2)。該偏壓電壓的範圍介於1〇〇至300伏特之間, 偏差頻率介於100kHz至1MHz之間,而反應腔室壓力則 介於3.0毫陶爾至7·5毫陶爾之間。較高的偏差頻率與偏 壓電壓可明顯地降低RIE延遲,而低壓力同樣會促成較小 8 1326112 的RIE延遲。雖然Doh等人詳細地教示電漿參數對RIE延 遲所產生的效應’不過他們的技術使用的卻係單步驟製程 而且並未教示或建議在TDM製程中的用法。再者,D〇h 等人的結果係在蝕刻二氧化矽中所達成者係與本發明在餘 刻矽中所達成的結果形成對比。
Li〗】等人則揭示在誘發耦合電漿(ICP)系統中利用SI?6 電漿來蝕刻多晶矽之實驗中的RIE延遲結果。其報告指出 於高壓(高達20毫陶爾)及中等陰極溫度(即45〇c )處的RIE 延遲非常小。雖然此結果值得注意,不過,卻係以單步驟 製程來蚀刻該多晶矽。相反地,TDM製程採用的則係交錯 沉積技術與蝕刻技術。
Tsujimoto等人則教示一種方法,其中會縮短氣體駐留 時間以降低RIE延遲。其係在ICP系統中使用c〗2電漿來 蝕刻多晶矽。Tsujimoto等人觀察到於較低的反應腔室壓力 下,RIE延遲會降低《不過,該種利用Cl2電漿的蝕刻製 私並不疋TDM製程,而且飯刻速率非常地慢且遮罩選擇 性非常低,利用eh電漿使其實務上無法蝕刻深度大於數 十微米的三維結構。
Chung等人於美國專利申請案第2〇〇3/〇171〇〇〇號中則 教示經由兩種方法來減少TDM矽蝕刻製程的ARDE。第一 種方法教示的係修改圖案幾何,用以等化寬特徵圖形與窄 特徵圖形之間的負載。第二種方法教示的係關閉自動壓力 控制閥’以提高蝕刻步驟與沉積步驟兩者中的製程壓力。 Chung等人並未教示延長沉積時間、縮短蝕刻時間提高 9 1326112 聚&物'儿積速率、或是降低聚合物移除速率來修正ARDE。 此外Chung等人亦未教示或建議利用蝕刻深度差異方面 的即時回授於該製程期間來修正Arde。
Rickard等人在2001年五月於英國愛丁堡所舉行的 SPIE 研討會「Micr〇electr〇nic & mems Techn〇i〇yes」中
則提出連串經過設計的實驗來減少ARDE,其利用的係 TDM製程。於Rlckard等人的實驗中,他們發現到透過較 短的蝕刻時間、低壓力、低電鍍(RF偏壓)功率以及較長 的沉積時間可最小化ARDEe Riekard等人並未揭示於該製 程中以即時方式來量測延遲大小的方法。再者,Rickard 等人亦並未揭示使用閉路適應式配方控制(adaptive control)於該TDM製程期間使ARde最小化。
Lill等人於美國專利案第Μ32,321號巾則教示使用干 涉術來進行即時㈣速率控制。㈣等人所使料干涉計 係以紫外(UV)光源為主,不過,本發明使用的則係雷射光 原LU1等人教不使用單光束來監控強度的循環變化用以 判斷钮刻速率。反之,纟案發明人則運用多道光束來直接 量測干涉情形作為光束間的相差,用以判斷它們的钱刻深 度差異’此可量測㈣速率。U11等人教示以表面的光反 射來監控㈣刻速率,但是卻並未教示直接量測至少兩個 :同特徵圖形寬度以進行即時餘刻深度控制…卜,Ml 專人所教示的控制方法為保持值定的蝕刻速率,但是本發 明則並未監控蝕刻速率’取而代之的係控制該製程以控制 至少兩個不同大小特徵圖卵的㈣!深度以。再者,LilI 1326112 等人並未考慮到將即時控制套用至分時多工製程之中。因 此,Lill等人並未教示透過縮短蝕刻步驟時間提高聚合 物沉積時間、降低聚合物移除速率、或是提高聚合物沉積 速率作為TDM矽蝕刻製程中ARDE的解決方式。
Ayon 等人於 1999 年的 Journal 〇f Electr〇chem s〇c 146(1)第339至349頁中教示透過使用極高的π。流速 (140sccm)來最小化tDm製程的ARDEe Ayon等人的報告 指出,在最小化ARDE的方式中,該等特徵圖形輪廓的階 層會隨著該等特徵圖形尺寸的凹凸起伏而改變。吾人並不 樂見此結果。Ayon等人並未考慮對該製程進行即時量測及 控制’用以最小化ARDE。
Laermer等人於美國專利案第6 72〇 268號中教示於該 製程期間使用光學放射光譜術來決定於TDM製程期間聚 合物何時清除。Laermer等人並未教示使用雙光束干涉計 供即時製程回授使用。此外,Laermer等人並未教示同時 即時量測兩個特徵圖形來減少ARDE。
Hopkins等人於美國專利案第6 187 685號中則討論會 於TDM製程中造成ARDE的機制。明確地說,該等機制 如下:寬溝渠中的沉積物多於窄溝渠中的沉積物(推測係肇 因於傳輸限制)會導致寬特徵圖形(較小的深寬比)中要被移 除的聚合物多於窄特徵圖形中要被移除的聚合物,而寬特 徵圖形(較小的深寬比)中的矽蝕刻速率較高,因此蝕刻與 鈍化(移除與沉積)的淨效應便會等化窄特徵圖形與寬特徵 圖形的蝕刻速率。Hopkins等人教示經由數種方式來達到 11 1326112 RIE延遲補償效果,該等方式會明確地平衡該等姓刻條件 =沉積條件,以便達到相同的效果。Hopkins $人教示内 ♦甲還W’RF偏麼於TDM發#刻製程中具有減少 的效果。H0pkins $人並未考慮使用即時度量術來監控與 修正該製程。 所以,吾人需要於TDM製程中減少arde。 先前技術中並未提供本發明的任何優點。 所以本發明的目的係提供改良以克服先前技術裝置 的不足處,並且讓半導體處理技術有明顯進步。 制裝11月的另""項目的係提供一種方法用以於電毁敍刻 與深寬比有關之㈣’該方法包括··將一基板 、真空反應腔室之中;利用電漿於該基板上積一 純化層;利用Μ來移除至卜部份該鈍化層;利=喂 沉積步Γ: 施一製程迴圈,其會反覆地實行 m 除步驟、以及餘刻步驟;隨著時間經過監控 之上不同大小的特徵圖形;依據該監控步驟來,制 該製=日圈步驟;以及從該真空反應腔室中移除該基來板控制 f程中^ =又一項目的係提供一種方法用以於電榮钮刻 =亡;=比—該方法包括:將-基板 -八物.利: 室之中;利用電浆於該基板上沉積- 物,利用電㈣移除至少—部份該聚合物 從該基板中蝕除材料.者p 汗』用冤漿 ,冗…: 製程迴圈’其會反覆地實行 -積步驟、移除步驟、以及㈣步驟; 調整某項製程來數,以令, ^ k ^驟中 /數U達到至少兩個不同大小特徵圖形具 12 1326112 有相同#刻深度的目的;以及從該真空反應腔室中移除該 基板。 本發明的再一項目的係提供一種設備以於對基板進行 電漿蝕刻期間減少與深寬比有關之蝕刻,該設備包括:一 真空反應腔室;至少一氣體供應源、,用以供應至少一種製 红氣體至忒真空反應腔室之中;一與該真空反應腔室相通 的排氣裝置;一位於該真空反應腔室之中的下電極;一被 連接至該下電極的基板固定器;_電漿源,用以於該真空 反應腔至中產生電漿;一製程控制器’用於交錯地實行利 用電漿方、該基板上沉積一鈍化層利用電漿來移除該已沉 積之純化層巾的至少—部份、以及制電漿從該基板中钮 除材料;以及一被耦合至該製程控制器的差動式干涉計, 。亥差動式干涉6十會產生一信號表示至少兩個不同大小特徵 圖形間的深度差異,而該製程控制器則會響應該差動式干 涉》十所產生的彳s號來改變至少一項製程參數。 月1j面已經概略說明本發明的相關目的。該些目的應該 僅被視為解釋本發明較顯著特點與應用中的其中一部份。 以不同的方式來套用本文所揭示之本發明或是於本揭示的 範鳴内修改本發明則可獲得許多其它有利的結杲。據此, 除了申請專利範圍所界定的本發明之範疇以外,配合附圖 >考本發明之發明内谷及較佳實施例之詳細說明便可瞭解 本發明的其它目的並且可更完整地瞭解本發明。 【發明内容】 為摘要說明本發明’本發明包括一種用於深矽溝渠蝕 13 1326112 ,其會使用交錯循環蝕刻製程或 來減少與深寬比有關之蝕刻 刻之經改良的方法與設備 是分時多工(TDM)製程 (ARDE)。
更明確地說,本發明使用即時度量裝置(舉例來說雙 點式干涉相機)來監控兩個不同大小特徵圖形隨著時間^ 的蝕刻情形、計算蝕刻深度差異信號、將該信號回授至一 處理控制器、以及調整製程以最小化該等受監控特徵圖形 間的蝕刻深度差異,從而減少TDM製程期間的arde。 本發明的特點係提供一種方法用以於電漿蝕刻製程中 減少與深寬比有關之蝕刻。該方法包括下面步驟。將一基 板置放於一真空反應腔室之中。該基板可能係一半導體基 板,例如矽;砷化鎵;或是任何已知的半導體,其包含半 導體化合物在内,舉例來說,Π族與VI族的化合物、m 族與V族的化合物。該基板亦可能係導體’或介電材料(如 玻璃或石英)。於一沉基步驟期間,利用電漿於該基板上沉 積一鈍化層。於該真空反應腔室中會從第一製程氣體(例如 八氟環丁烷)中產生一第一電漿,用以於該基板上沉積一鈍 化層。該鈍化層係由一聚合物或是一碳氟聚合物所組成, 或者亦可能係矽、碳、氮、或是能夠透過電漿進行沉積的 任何其它已知的鈍化材料。於一移除步驟期間,利用電聚 來移除至少一部份該鈍化層。於該真空反應腔室中會從第 二製程氣體(例如六氟化硫、氬氣、以及氧氣的混合氣體) 中產生一第二電漿,用以從該基板中移除該鈍化層。於一 餘刻步驟期間,利用電漿從該基板中蝕除材料(例如石夕)。 1326112 於該真空反應腔室中會從第三製程氣體(例如六氣化硫)令 產生-第二電漿,用以從該基板中蝕除材料。實施一製程 迴圈,其會反覆地實行沉積步驟、移除步驟、以及钱刻步 5。即時地監控該基板之上不同大小的特徵圖形。依據該 監控步驟來控制該製程迴圈步驟以減彡α_。最後,於 完成該餘刻製程之後’從該真空反應腔室中移除該基板。、 本發明的另一項特點係提供一種方法用以於電㈣刻 裝程中減少與深寬比有關之姓刻。該方法包括下面步驟。 =板置放於一真空反應腔室之中。該基板可能係一半 導體基板,例如碎;砷化鍵.十^ v τ化鎵,或疋任何已知的半導體,其 包含半導體化合物在内,舉例來說,„族與νι族的化ς 物、ΠΙ族與ν族的化合物。該基板亦可能係、導體,或介 電:料(如玻璃或石英)…沉基步驟期間,利用電聚於 邊基板上沉積一鈍化層。於該真空反應腔室中會從第一製 程氣體(例如八氣環丁烧)中產生一第一電漿,用以於該基 板h儿積一鈍化層。該鈍化層係由-聚合物或是一碳氟聚 合物所組成,或者亦可能係石夕、碳、氮、或是能夠透過Ϊ 聚進行沉積的任何其它已知的鈍化材料。於一移除步驟期 間’利用電聚來移除至少-部份該鈍化層。於該真空反應 腔至中會從第二製程氣體(例如六氟化硫 '氬氣 '以及氧氣 的混合氣體)中產峰—筮__ φ路 i帛一電漿,用以從該基板中移除該鈍 化層°於一姓刻步驟期間’利用電毁從該基板令钱除材料(例 如:)。於該真空反應腔室中會從第三製程氣體(例如六氣 化硫)中產生-第三電聚,用以從該基板中餘除材料。調整 15 1326112 某項製程參數,例如沉積時間週期、移 蚀刻時間週期,以便以深寬比為 量化::二以及 二=物移除速率、以及沉積速率。該項製程參數可 兮及/ &義為該聚合物的沉積逮率,其可透過被供库-以反應腔室中之沉積氣體的r μ -r φ ^ ^ ^ 刀牛采控制,流入該反應 體的壓ΓΓ氣體的流速;或是該反應腔室中該沉積氣
該項製程參數可進-步被定義為該聚合 =移除速率,其可透過被供應給該反應腔室中之移除氣 * F功率來控制;流入該反應腔室中之該移除氣體的 =,或是該反應腔室中該移除氣體的壓力。或者,該項 ,數可進步被定義為該材料的餘刻速率,其可透過 被供應給該反應腔室中之蝕刻氣體的RF :力率來控制;流 ,該反應腔室中之該蝕刻氣體的流速;或是該反應腔室中 丨蝕刻乳體的壓力。實施一製程迴圈,其會反覆地實行沉 積步驟、移除步驟、以及#刻步驟。最後,於完成該钱刻 製程之後,從該真空反應腔室中移除該基板。 本發明的又一項目的係提供一種設備以於對基板進行 電漿蝕刻期間減少與深寬比有關之蝕刻。該基板可能係一 半導體基板,例如矽;砷化鎵;或是任何已知的半導體, 其包含半導體化合物在内,舉例來說,π族與VI族的化 合物、III族與V族的化合物。該基板亦可能係導體,或 介電材料(如玻璃或石英)。該設備包括下面部份。一真空 反應腔室,其具有至少一氣體供應源,用以供應至少一種 製程氣體至該真空反應腔室之中,以及一與該真空反應腔 16 1326112 室相通的排氣裝置。該製程氣體係依據相關的製程來選 擇,也就是,依據聚合物沉積製程(選用八氟環丁烷)、聚 合物移除製程(選用六氟化硫、1氣、以及氧氣的混合氣 體)、或是從該基板中蝕除材料的製程(選用六氟化硫)等來 選擇。從該基板中被蝕除的材料通常為矽。一位於該真空 反應腔至之中的下電極,用以施加偏壓電壓給該置於—基 板固疋益上的基板,該基板固定器會被連接至該下電極。 該偏壓電壓可能係由RF或Dc電源來提供。一電漿源, 用以於該真空反應腔室中產生電漿。可透過一製程控制器 來控制所產生的電漿,用於交錯地實行利用第一電漿於該 基板上沉積一鈍化層、利用第二電漿來移除該已沉積之鈍 化層中的至少一部份、以及利用第三電漿從該基板中蝕除 材料。一被耦合至該製程控制器的差動式干涉計。該差動 式干涉計會於該基板上產生一雷射光束,並且即時量測該 基板上至少兩個不同大小特徵圖形間的深度差異,以便可 經由該被耦合至該差動式干涉計的製程控制器來調整該項 製程。該製程控制器會響應該差動式干涉計所產生的信號 來改變至少一項製程參數,從而可於TDM製程期間減少 ARDE。 如面已經大體概述本發明相關的重要特點俾使更瞭解 下文中本發明的詳細說明,進而可更澈底明白本發明對本 技術的貢獻。下文將說明本發明的額外特點,其會構成本 發明申請專利範圍的的主要内容。熟習本技藝的人士便應 該明白’以本文所揭示的概念與特定實施例為基礎便可輕 17 1326112 易地修改或設計其它的結構,且仍可實現本發明的相同用 途。熟習本技藝的人士還應該瞭解的係,此等等效構造並 未脫離隨附申請專利範圍中所提出的本發明的精神與範 [本發明詳細說明] 吾等揭示一種於TDM蝕刻製程中縮短甚至消除RJE 延遲(ARDE)之經改良的方法和設備’其方式係藉由調整聚 合物沉積、聚合物移除、及/或從該基板中蝕除材料等製程。 吾等還揭示使用即時度量裝置,差動式干涉計,來產 生一彳s號表不至少兩個不同大小特徵圖形間的深度差異, 以便於TDM蝕刻製程中縮短甚至消除R][E延遲(arde)。 RIE延遲縮通!芦也| 如圖1中清楚例示般,一般人必須以三道基本物理製 程(聚合物沉積、聚合物移除、及等向矽蝕刻等製程)方能 縮短甚至消除RIE延遲。所有三道子製程的蝕刻與沉積特 性均與特徵圖形尺寸有關。不過,該等三道子製程中每一 者的特徵圖形尺寸相依性並不相同。 於典型的TDM矽蝕刻製程中,聚合物沉積步驟係 使用qF8作為前驅氣體。該沉積製程可在有偏壓或無 RF+偏壓下實施。於沒有RF偏壓的情況中該基板會有最小 :離子撞擊。® 4所示的係以特徵圖形深寬比為函數之聚 ^物’儿積迷率的實驗量測結果。高於測試壓力範圍以上, 兴冓尔底°卩處所測得的沉積速率會隨著深寬比提高而下降 來飞就固疋的沉積時間來說,相較於相同深度但較 寬的 +的特徵圖形,較窄的特徵圖形所收到的沉積物會比較 少、)。 制。不同於聚合物沉積製程,以Ar/SF6為主的聚合物移除 =則會部份受到離子的驅使。反之,於聚合物移除步驟 5 入〇2則會將該製程變成離子輔助式的化學機制。圖 斤不的係某個深寬比範圍中溝渠底部處之聚合物移除速 的實驗資料。由該等量測結果可證實,於該等製程條件 ,鈍化物移除速率和深寬比的相依性較低,深寬比較低 之特徵圖形中的鈍化物移除速率僅略微提高。 使X等向矽蝕刻製程本質上的化學特性較高(不受離子驅 —般而言’於雇耗㈣程中會將純刻子製程 =RF偏壓設為可達到可接受特徵圖形輪廟的最低值, 1盡量最大化遮罩對矽蝕釗撰 t 擇月"力。圖6所示的係以深 和深寬比1:等向矽飯刻速率的關係圖。冑向矽蝕刻速率 心:比具有極強的函數關係,深寬比較小 阿的蝕刻速率。 a 貝較 為更瞭解該等三道子製程與ARDE之間的相互 此處建構一簡單的模型,其中: 的相互關係’ P(x) S(x) Rcomp(X)
Rdep(x) Rp〇ly(x) 沉積子製程中所沉積的聚合物厚度 每個TDM循環的矽蝕刻深度 特徵圖形X下複合TDM循環的石夕 速率 特徵圖形X下聚合物的沉積速率 特徵圖形X下聚合物的移除迷率 19 1326112
^ 特徵圖形χ下等向矽蝕刻的速率 dcp 沉積時間(設定於製程配方申) etch 蝕刻時間(設定於製程配方中) y_ctch() 用於移除聚合純化物的時間 ,S〇(X) 特徵圖形x下實際的等向矽蝕刻時間 吾等便能夠計算下面的部份: S(x) =R“。⑻%s<)(x)
利用上面的定義項 於一循環中被蝕刻的矽 聚合物厚度 聚合物移除時間 等向矽蝕刻時間 P ⑴ =Rdep(x)*tdep tpoly—etchW =P(X)/Rp〇|y(X) _R<iep(X)*tdep/Rp〇Iy(x) ^etch~tp〇iyetch(x) tetch-(Rdep(x)*tdep/Rp〇ly(x)) 所以 S(X)-Ris。⑴*(tech_(R …⑴ ⑴)) 以上面的公式為基礎,一 TDM循環中的複合矽蝕刻 速率會與T面構成函數:等向㈣刻速率、聚合物沉積時 間、聚合物移除速率、蝕刻時間、以及沉積時間。 為檢視TDM矽蝕刻製程中的ARDE,可討論兩種不同 大小特徵圖形的複合;5夕触刻速率: s(1)=Ris〇(i)iH(tetch-(Rdep(i)*tdep/Rpoly(i))) S(2) = Ris〇(2)*(tetch-(Rdep(2)*tdep/Rpoly(2))) 就沒有ARDE的情況來說’不同特徵圖形寬度的s(x) 應該要相同。 S(1)=S(2) 1326112 聚合物移除速率幾乎與特徵圖形尺寸無關,因此吾等 可使用下面的近似結果:
Rpoly(l)~Rp〇iy(2)-Rp〇iy 將該等定義項進行替換及重新排列之後,可得出: tetCh = tdep*(Riso(l)*Rdep(l)· Ris〇(2)*Rdep(2))/(Rpoly*(Ris〇(i).Rjs〇(2)) 上面的公式關係到和等向矽蝕刻速率、沉積速率、以 及聚合物移除速率具有函數關係的配方指定蝕刻時間及配 方指定沉積時間。以深寬比為函數來量化該製程的蝕刻速 率、聚合物移除速率、以及沉積速率並且選擇適當的配方 時間,便可縮短TDM製程中的RiE延遲。 相反地,就指定的配方時間來說,可調整該等製程步 驟俾使與深寬比成函數關係的製程蝕刻速率、聚合物移除 速率、以及沉積速率可達最小的ARDE。 圖7與圖8為一 TDM循環期間兩個不同寬度之特徵 圖形的蚀刻深度關係圖。於點rA」處,兩個特徵圖形均 始於相同的深度。於沉積步驟結束前,點「B」處,由於 深寬比較小的特徵圖形的聚合物沉積速率較高的關係,所 以,較寬特徵圖形中所沉積的聚合物膜會厚於較窄特徵圖 形中所沉積的聚合物膜(圖4) ^該蝕刻步驟的第一部份係 用以於進行等向矽蝕刻以前來移除該聚合物。因為聚人物 移除速率幾乎與深寬比無關(圖5),而且小型特徵圖形係 始於較薄的聚合物層,所以,狹窄的特徵圖形將會率先主 除該聚合物膜(點「C」卜如此便使得於該等狹窄特徵圖: 1326112 I進行耗料,料較寬特徵圖形巾仍有聚合物要繼續 =除。於該等較寬特徵圖形已經清除前(點「D」),該等狹 窄特徵圖形則已經於石夕之中钱刻出特定深度。(基本上從 該等較寬特徵圖形中清除額外的聚合物時,該等狹窄特徵 圖形便會「搶先」對石夕進行㈣。)—旦從該等較寬特徵圖 科清除該聚合物之後,該等較寬特徵圖形便會以高於該 等狹窄特徵圖形的速率開始進行蝕刻(圖6)。於區間「Ε」 中,該等寬廣特徵圖形及狹窄特徵圖形均會進一步蝕入矽 之中。由於等向蝕刻時間較長的關係,狹窄特徵圖形會比 較深,+過’該等較寬特徵圖形卻會以較快的速率進行蝕 ^於點FJ處,该等寬廣特徵圖形及狹窄特徵圖形均 會抵達相同的深度·於此時停止蝕刻將會產生沒有arde的 製程。當允許於點「F」之後繼續進行_時,該等較寬 特徵圖形便會繼續以高於該等較小特徵圖形的速率進行姓 刻’從而造成典型的ARDE製程。 依據該模型,對始於相同深度的特徵圖形來說,確保 該TDM循環終止於點「Fj處,便可達到零八麵之tdm 製程的目I假使該等特徵圖形使於不同的深度,那麼便 可調整該TDM製程以補償該等不同的起始深度,以便於 蝕刻進行時達到零延遲的目的。此補償作業可能需要一或 多個TDM循環來完成。 對某一 TDM酉己方來說,共有四種方式可調整製程, 以便達到零ARDE製程的目的: -調整配方蝕刻時間 22 1326112 .調整聚合物移除速率 .調整配方沉積時間 .調整聚合物沉積速率 圖7中概略顯示的製程(基線製程(baseline process))會 出現ARDE。 圖9所示的係該基線製程的修正例,其中已經縮短蝕 :時間致使該等窄特徵圖形與寬特徵圖形具有相同的蝕刻 深度’從而消除ARDE。
圖1 〇所不的係該基線製程的修正苴 ,移除時間(聚合物移除速率已經下降)。藉由改變= 製程子步驟讓該聚合物更能抵抗㈣化學仙或是藉由修 Μ刻步驟以降低移除聚合物的效率便可達成此目的。該 =及/或㈣子步驟的製程變化可能包含改變氣流、改變 至該基板,二 或是改變外加的RF功率(施加 芝疋施加至鬲密度氣體源)。 分解成多道子步驟,而該 ·刻步驟 子步驟為宜)已經過最佳化 ,、中一者(以第-姓刻 物移除速率。續相可導致零A醜的聚合 所示的係該基線製鞀 穑睥Η ϋ 艮袈㈣修正例,其中已經增長沉 致八物的κ许 s s加儿積於該等特徵圖形之上的 ㈣製程的聚合物移除時間…物的厚度將會增長特定 的修正例,其中已經提高聚 聚合物的厚度將會增長特定 圖1 2所示的係該基線製程 合物沉積速率。增加被沉積之 23 1326112 蝕」製裎的聚合物移除時間。藉由改變該製程子步驟中的 :或:項製程變數便可達到提高沉積速率的目❾。該些調 f可此包含改變氣流、改變氣體組成' 改變製程壓力、或 疋:老外加的RF ,力率。一般而言較高的高密度功率、 車门的I程壓力、以及較高的氣體輝光“μ ^叫都會提 沉積速率。 ° 雖然圖巾戶斤示的基線製程會造成ARDE,不過,亦可 有種會呈現反ARDE現象(特徵圖形較小者蝕刻速度較 快)的基線製程。上述的四種方法亦可用來調整該基線製 私以便利用雷同的方法來減少反ARDE現象。 圖U所示的係經過子步驟蝕刻時間調整之後的arde 最化結果示意圖。SEM剖面圖「E」對應的係圖7中範 圍「E」中的某一點。如該模型預測般,該tdm蝕刻製程 呈現出反ARDE現象,窄特徵圖形的蝕刻速度快於較寬特 =圖形的#刻速度。圖13 ( SEM剖面圖「F」對應的係 罪近圖7中點「F」的製程。® 14中之特徵圖形深度相對 於溝渠寬度的量測結果(序列F)顯示出,針對寬度範圍介 於至l〇〇"m之間的溝渠來說,arde已經減少至 5 /〇以下。此結果係對照於先前技術的製程(圖3),該製程 釺對寬度範圍介於丨〇 # m至i 〇〇 " m之間的溝渠來說有3〇% 的ARDEe圖13之SEM剖面圖「G」對應的係圖7中範園 G」中的某一點。於此情況中,較寬特徵圖形的蝕刻速 度快於窄特徵圓形的蝕刻速度(典型的ARDE).» 圖1 5所示的係一利用用於調整蝕刻子步驟時間以最小 24 1326112 化ARDE的方法所獲得之範圍介於5心至卿m之間的 特徵=形的SEM剖面圖。圖16所示的係從圖15的sem 。!/面里測中所獲得的㈣深度相對於特徵圖形尺寸的關係 圖。 “範圍介於5_至100_之特徵圖形的娜£已經 從3 8 %減少至1 〇 〇乂。γ阁人士人β y 範圍"於2.5 # m至i〇〇# m之特徵圖 形的ARDE已經從53%減少至23%。 ,本發明的另—實施例中,會於脑製程期間使用 ”:十來里測至少兩個不同大小特徵圖形間的蝕刻深度差 "。為監控兩個不同大小特徵圖形間的蝕刻深度差異,有 意義的作法係於TDM劁栽你酱μ 變化行為。 作業期間盯住姓刻深度差異的 二所示的係於一 TDM猶環作業期間一窄特徵圖形 ,、 寬特徵圖形間的钱刻深;#兰® β 又差異的關係圖。該關係圖描 繪出始於相同深度(初始蝕刻 木度差為零)的兩種特徵圖 =该循環的該(等)沉積子步驟期間,一窄特徵圖形與 私一 j/木度差異大於零(較寬特徵圖形具有
較向的沉積速率)。一旦開始進行哕f笨彳舳Μ工I 仃这(等)蝕刻子步驟,該蝕 : 會產生—小值的負斜率’該數值大於零。此反映 出,特徵圖形與窄特徵圖形兩者令的聚合物移除情形(雖缺 圖形:的聚合物移除速率較快)。當窄特徵圖形; 二勿先订清除完畢(因為窄特徵圖形於沉積期間收到較 特徵圖形則依然被聚合物鈍化伴^圖Μ的石夕(較寬的 物純化保濩)。於此階段中(窄特徵 25 1326112 圖形正在蝕刻矽,而較寬的特徵圖形則依然在清除聚合 物)’蝕刻深度差異的斜率便會大於零,而蝕刻深度差異的 數值則依然大於零。一旦該等較寬特徵圖形t的聚合物鈍 化層已經清除完畢且開始進行蝕刻時,蝕刻深度差異的斜 率則會再次變成負值(較寬特徵圖形的等向矽蝕刻速率高於 窄特徵圖形的等向矽蝕刻速率)。於該聚合物已經清除完畢 且兩種特徵圖形均開始進行蝕刻時,蝕刻深度差異的數值 則依然大於零(小特徵圖形者較深一反ARDE現象)。於該(等) 蝕刻步驟其餘部份的某特定時點處,該等較寬特徵圖形的 深度將會追上該等窄特徵圖形且兩者具有相同的深度(最小 ARDE)。假使超過此時點之後繼續進行該(等)蝕刻步驟的 話,該等較寬特徵圖形將會繼續以較快的速度進行蝕刻(負 的蝕刻差異斜率)而且蝕刻深度差異將會變成負(較寬特徵 圖形的深度大於窄特徵圖形的深度)《>於此時點處,該製程 將會呈現出先前技術的ARDE輪廓(圖2)。 本技術中已經知悉利用雙點式干涉相機(舉例來說, Jobin YV0n Horiba所生產的TDM-200)於TDM矽蝕刻製程 期間來置測特徵圖形的深度。圖丨8所示的係該干涉相機 及其於TDM石夕姓刻製程中之標準運用的簡化概略示意圖。 方;此配置中,其中一道雷射光束會置於感興趣的特徵圖形 之上’而另一雷射光束則會置於該基板中被遮罩的部份之 上於姓刻作業期間,位於欲進行姓刻之特徵圖形之上的 光束的路徑長度會增長,從而於其本身及被置於該被遮罩 表面上的參考光束之間造成相位差。於此配置中可同時監 26 1326112 控該特徵圖形相對於該被遮罩表面的蝕刻速率與蝕刻深 度TDM-200的標準光束直控為3〇//m,而標準光束分離 距離為240//Π1。 本案發明人已經發現,使用該雙點式干涉相機可以量 測兩個不同尺寸特徵圖形間的蝕刻深度差異。圖Μ所示 的係於一被配置成用以量測蝕刻深度差異的干涉相機的簡 化概略示意圖。理想上,該等雷射光束將會適配該等欲量 測的特徵圖形,不過,即使將雷射光束置放於尺寸小於該 光束直徑的稠密特徵圖形陣列之上亦同樣可以運作。於此 配置中,該相機將會量測兩個特徵圖形的蝕刻深度差異, 而非個別的特徵圖形蝕刻速率或是絕對蝕刻深度。配備有 二道或三道以上光束(一道位於遮罩表面上、一道位於窄特 徵圖形之中、而另一道則位於較寬的特徵圖形之中)的相機 則允許同時量測兩個或兩個以上特徵圖形的絕對蝕刻深 度、钱刻速率差異、以及蝕刻速率。 將一測試特徵圖形併入該裝置遮罩内以幫助進行 ARDE ι測相當實用。於雙點式干涉相機的情況中,建構 由置中於一環中的空心圓所組成的測試特徵圖形相當實 用。該圓的尺寸可能對應於最寬之感興趣的特徵圖形。該 環的厚度則可能對應於最窄之感興趣的特徵圖形尺寸。該 環的半徑可能對應於該等兩道雷射光束間的距離。假使外 環的寬度小於該雷射點尺寸的話,則可使用一連串的同心 環或是一環狀的特徵圖形陣列來改良該蝕刻深度差異量測 的信號雜訊比。 27 1326112 或者,該測試圖案可能係由一稠密的開孔陣列所組成。 該開孔的尺寸可能小於光束尺寸。該陣列的尺寸應該大於 ”玄光束。理想上,由該等寬特徵圖形與窄特徵圖形所組成 之各陣列的中心的分隔距離應該與該雙光束分隔距離相 同0 在製程中即時量測寬特徵圖形與窄特徵圖形間之蝕刻 深度差異的功能允許以許多控制技術來控制arde ^ 於本發明中,使用即時度量法(舉例來說,雙點式干涉 相機)來隨著時間經過以監控兩個不同尺寸特徵圖形的蝕刻 情形、計算蝕刻深度差異信號、將該信號回授至製程控制 器、並且調整TDM製程以最小化該等受監控特徵圖形間 的蝕刻深度差異。
圖20所示的係一電漿反應器1〇的概略示意圖,其係 被配置成用以於一 TDM製程期間來進行ARDE的即時控 制。一 TDM處理腔室係由下面所組成:一真空反應腔室12二 其中有一基板支撐台14位於該反應腔室之中;一氣體供 應源16,用於導入製程氣體;一高密度電漿源18,用於 阻抗匹配 產生電漿;一第一電壓供應器2〇,其具有—第一 22,用以施加電壓給高密度電漿源18 ; 一第二電壓供應器 24(可能係具有阻抗匹配26的DC或RF電壓供應器),用 以施加電壓給基板支撐台14; 一壓力控制機制(舉例來說, 節流閥(throttle valve)); —排氣裝置28,用以排除反應腔 至1 2中的氣體,以及一製程控制器3〇,用以維持預期的 製程設定點(舉例來說,氣流、壓力、電壓…等)。即時蝕 28 1326112 刻深度差異監控器40(舉例來說,雙束式干涉相機)會量測 至少兩種不同特徵圖形尺寸間的蝕刻深度差異。該蝕刻深 度差異會與預期的蝕刻深度差異作比較。假使所測得的數 值與該預期數值間的差異大於允許的誤差容限值的話,那 麽製程控制ϋ便會於現行或後續的TDM循環期間來調整 製程以達預期的蝕刻深度差異值。 用於調整TDM製程的其中—種方法係製程控制演算 法’用以於每個TDM循環結束時藉由調整银刻步驟(或子 步驟)之蝕刻時間來保持至少兩個特徵 異。圖…的即為此方法的流程圖。於此方法 藉由使用者輸入的數值來決定該等兩個特徵圖形間的預期 ^深度差異(刪),或是直接量測該等兩個特徵圖形間 目前的EDD» —般而古,箱,丄t 奴向。預期的£〇〇值為零(沒有arde)。 -旦選;t預期的數值之後’便可開始進行tdm製程。於 該麗循環的作業期間,會量測該等特徵圖形間的獅 並且將其與預期的數值作比 救就雙步驟(沉積與蝕刻) 的TD…刻而言’姓刻深度差異將會遵循和圖17雷同 的曲線。於一 TDM循環夕為丨止u匕 之蝕刻v驟的起始處,EDD(窄特 徵圖形-寬特徵圖形)將會大於零。當該(等)钱刻步驟進 行時,該EDD會獲得一勃枯+ + 人咖^ Α …數值大於零的負斜率。對預期獅 值為零的情況而言,該控制器會監控該咖並且於測得該 ㈣值為零。(或為預期數值)時終止該崎驟。於此配置 中,泫控制益會控制一循環内的edd。 重要而必須注意的係, μ , ·,
、上面的犯例假設有習知的ARDE 29 1326112 在(較问冰寬比之特徵圖形的蝕刻速率較慢)。使用該tdm 石夕餘刻製程則觀察到反ARDE現象。可以使用雷同的方式 於TD1Vϋ刻期間來量測與校正反arde現象。 圖22所示的係用於調整TDM製程之替代性即時ARDE 控制演算法的流程圖。於此情況中會設定麵標準值;執 行使用者指定的TDM循環;於該循環期間量測咖;將 所測得的麵和預期的卿作崎m較結果有差 異的話,該軟體控制器便會修正該循環配方,用以最小化 所測得的腦和實際聊之間的差異。於此配置中,該 控制器會於該TDM製程控制循環至循環的edd。 :上面範例討論的係用於TDM石夕姓刻製程中的細£ 最小化。重要而必須彡主音& # 里女m忍的係’線上度量與製程回授演算 法可被套用至數種材料系統中,包含半導體化合物(舉例來 說’GaAs、InP、SiGe、4)、M_M,A1、Mo、 T!、Ta、w、C〇、以及介電材料(舉例來說,_、
等)。再者’本方法並不受限應用於TDm製程中二可. 應用於習知的製程中。 "'力' J 本揭示包含隨附巾請專利範圍的㈣q 明。耗已經制說明本㈣的較㈣式, 的說 解的係,本文所揭示之較佳形式僅供作範例參考,;二: 可對本發明的構造細節及部件的組合與配置方式、貫際上 改變而不致脫離本發明的精神與範疇。 進行各種 至此已完整說明本發明。 30 1326112 【圖式簡單說明】 圖1所不的係一用於深矽蝕刻之TDM蝕刻製程的圖 畫式不意圖; 圖2所示的係一利用用於深矽蝕刻之TDM製程所實 施的蝕刻的掃描電子顯微(SEM)照片,圖中顯示的係先前 技術的ARDE輪摩;
圖3所示的係利用先前技術之TDM製程的特徵圖形 餘刻深度相對於ARDE特徵圖形寬度的關係圖;
TDM循環的蝕刻深度相對於時間 TDM循環的蝕刻深度相對於時間 圖4所示的係利用TDM 於特徵圖形深寬比的關係圖; 圖5所示的係利用TDM 於特徵圖形深寬比的關係圖; 圖6所示的係利用TDM 於特徵圖形深寬比的關係圖; 圖7所示的係單一 的關係圖; 圖8所示的係單一 的關係圖; 製程的聚合物沉積速率相對 製程的聚合物移除速率相對 製程的等向矽蝕刻速率相對 關係圖,”示:::簡製程㈣刻深度相對於時間的 J冰度,從而消除ARDE ; 圖10所示的係-簡製程的蝕刻深戶 關係圖,I中合陂把B 〃挪到硪度相對於時間的 寬特徵圖形具有相同 俾使乍特徵圖形與 J扪蝕刻冰度,從而消除ARDE,· 1326112 么 所示的係一 TDM製程的蝕刻深度相對於時間的 關係圖,其中會提高沉積時間,俾使窄特徵圖形與寬特徵 圖形具有相同的蝕刻深度,從而消除arde; 所示的係一 TDM製程的蝕刻深度相對於時間的 關係圖’其中沉積速率會古 料會“,俾使窄特徵圖形與寬特徵 圖形具有相同的蝕刻深度,從而消除ARDE; 圖13所不的係利用用於深耗刻之I·製程所實施
的#刻的掃描電子县自斜 ϋ _ , B 頷微…、片,圖申顯示出經過子步驟蝕刻 k間調整的ARDE最小化結果; 來官片沾所不的係一 TDM製程的#刻速率相對於特徵圖 士寬度的關係圖,圖中顯示出藉由改 ARDE控制; 才门氷進仃 圖15所示的係-利用用於深矽蝕刻之TDM製程所實 施的餘刻的掃描電子 列時門㈣4 』微J圖中顯示出經過子步驟蝕 刻時間調整之後的ARDE最小化結果; 护尺m不的係—簡製程的钱刻速率相對於特徵圖 的關係圖’圖中顯示出藉由改變钱刻時間來進行 ARDE控制; 吋间來進仃 圖7所示的係於一 TDM循環作業期門^ 與一寬特徽圖備哀作業期間-,特徵圖形 、 β的钱刻深度差異的關係圖; 圖18所不的係於—tdm耗刻製 簡化概略示意圖; W干涉计的 圖19所示的传% 妁係於一 TDM矽蝕刻製程 簡化概略示意圖ι 干涉相 “係破配置成用以量測蝕刻深度差異; 32 圖2 0戶斤^ '、的係一電漿反應器的概略示意圖,盆 置成用以於一 ΤΓ^Αλ «ΑΙ Μ製程中提供即時的ARDE控制; 圖21所不的係、—製程控制演算法的流程圖,用以於每 個 TDM 德 j, έ士 ;k + ι、·°束時保持至少兩個特徵圖形間的蝕刻 差異;以及 圖22所不的係另一製程控制演算法的流程圖,用以於 每個TDM循瑗沾A 、 ,、·。束時保持至少兩個特徵圖形間的蝕刻 度差異。 /圖式中有數個圖式以相同的元件符號來表示相同 的部件。 【主要元件符號說明】 10 電漿反應器 12 真空反應腔室 14 基板支撐台 16 氣體供應源 18 高密度電漿源 20 電壓供應器 22 阻抗匹配 24 電壓供應器 26 阻抗匹配 28 排氣裝置 30 製程控制器 40 即時蝕刻深度差異監控器 33

Claims (1)

  1. 十、申請專利範圓: 1.一種用以於電漿蝕刻製程中減少與 刻的方法,該方法包括: 將一基板置放於一真空反應腔室之中; 利用電漿於該基板上沉積一鈍化層; 利用電漿來移除至少-部份該鈍化層; 利用電漿從該基板中蝕除材料;
    深寬比有關之蝕 實施-製程迴圈,其會反覆地實行沉積步驟、移除步 驟、以及蝕刻步驟; 隨著時間經過監控該基板上不同大小的特徵圖形; 從一差動式干涉計中產生一初始信號; 於開始進行該製程迴圈步驟以前先評估該初始信號; 於該製程迴圈步驟期間從該差動式干涉計中產生一製 程信號; 將該製程信號與該初始信號作比較; 田該製程信號約等於該初始信號時終止該製程迴圈步 騍;以及 從該真空反應腔室中移除該基板。 2·如申請專利範圍第1項之方法,其中該蝕刻步驟進一 步包括複數個子步驟。 3 ’如申凊專利範圍第1項之方法,其中該蝕刻步驟進一 步包括至少一個等向性子步驟。 4. 一種用以於電漿蝕刻製程中減少與深寬比有關之蝕 刻的方法,該方法包括: 34 1326112 將一基板置放於一真空反應腔室之中; 利用電漿於該基板上沉積一聚合物; 利用電漿來移除至少—部份該聚合物; 利用電漿從該基板中蝕除材料; 貝施一製程迴圈’其會反覆地實行沉積步驟、移除步 驟、以及蝕刻步驟; 從一差動式干涉計中產生一初始信號; 於開始進行該製程迴圈步驟以前先評估該初始信號; 於該製程迴圈步驟期間從該差動式干涉計中產生— 程信號; 將該製程信號與該初始信號作比較; 當該製程信號約等於該初始信號時終止該製程迴圈步 驟; 於至少一道步驟中調整某項製程參數,以達到至少兩 個不同大小特徵圖形具有相同蝕刻深度的目的;以及 從該真空反應腔室中移除該基板。 如申π專利範圍第4項之方法,其中該項製程參數係 沉積時間週期。 6·如申請專利範圍第4項之方法,其中該項製程參數係 移除時間週期。 7·如申。月專利範圍第4項之方法,其中該項製程參數係 蝕刻時間週期。 8’如申明專利範圍第4項之方法,其中該項製程參數係 該聚合物的沉積速率。 I Si 35 1326112 9. 如申請專利範圍第8項之方法,其中該可經由被供應 給該反應腔至中之沉積氣體的RF功率來控制該聚合物的沉 積速率。 10. 如申請專利範圍第8項之方法,其中該可經由流入 該反應腔室中之沉積氣體的流速來控制該聚合物的沉積速 率0 11. 如申請專利範圍第8項之方法,其中該可經由該反 應腔室中之沉積氣體的壓力來控制該聚合物的沉積速率。 12·如申請專利範圍第4項之方法,其中該項製程參數 係該聚合物的移除速率。 13. 如申請專利範圍第12項之方法,其中該可經由被供 應給該反應腔室中之移除氣體的RF#率來控制該聚合物的 移除速率。 14. 如申請專利範圍第12項之方法,其中該可經由流入 該反應腔室中之移除氣體的流速來控制該聚合物的移除速 率 〇 15·如申請專利範圍第12項之方法,其中該可經由該反 應腔至中之移除氣體的壓力來控制該聚合物的移除速率。 16. 如申請專利範圍第4項之方法,其中該項製程參數 係該材料的钱刻速率。 17. 如申請專利範圍第16項之方法,其中該可經由被供 應給該反應腔室中之蝕刻氣體的RF功率來控制該材料的蝕 刻速率。 18. 如申請專利範圍第16項之方法,其中該可經由流入 ι 36 1326112 • 該反應腔室中之蝕刻氣體的流速來控制該材料的姓刻速 率0 19. 如申請專利範圍第16項之方法,其中該可經由該反 • 應腔室中之蝕刻氣體的壓力來控制該材料的蝕刻速率。 20. —種用以於對基板進行電漿蝕刻期間減少與深寬比 有關之蝕刻的設備,該設備包括: 一真空反應腔室; 至少一氣體供應源’用以供應至少一種製程氣體至該 • 真空反應腔室之中; 一與該真空反應腔室相通的排氣裝置; 一位於該真空反應腔室之中的下電極; 一被連接至該下電極的基板固定器; 一電漿源’用以於該真空反應腔室中產生電漿; 一製程控制器,用於交錯地實行利用電漿於該基板上 沉積一鈍化層、利用電漿來移除該已沉積之鈍化層中的至 少一部份、以及利用電漿從該基板中蝕除材料;以及 一被耦合至該製程控制器的差動式干涉計,該差動式 -干涉計於啟動該t襞控制系、统以前先產生一個初始信號, *動式干涉°十係於該電漿控制系統運作期間監控一個製 "、該製程控制器將該製程信號與該初始信號作比 較,該製程控制器當該製程信號約等於該初始信號時終止 該電漿控制系統的運作,且該製程控制器改變至少一項製 程參數。 申。月專利範圍第2G項之設備,其中該項製程參數 t b'i 37 1326112 係被供應、給該真空反應腔室中之該製程氣體的RF功率。 22.如申請專利範圍第2〇項之設備,其中該項製程參數 係流入該真空反應腔室中該製程氣體的流速。 23 ·如申請專利範圍第20項之設備,其中該項製程參數 係該真空反應腔室中該製程氣體的壓力。 24·如申請專利範圍第2〇項之設備’其中該差動式干涉 計會量測相位差。 25.如申請專利範圍第2〇項之設備,其中該差動式干涉 計會使用雷射作為光源。 26·如申請專利範圍第25項之設備’其中該差動式干涉 计之雷射光點的直徑大小約為$ 〇微米。 十一、圖式: 如次頁。 38
TW094121423A 2004-06-29 2005-06-27 A method and apparatus for reducing aspect ratio dependent etching in time division multiplexed etch processes TWI326112B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US58447004P 2004-06-29 2004-06-29

Publications (2)

Publication Number Publication Date
TW200607017A TW200607017A (en) 2006-02-16
TWI326112B true TWI326112B (en) 2010-06-11

Family

ID=35786532

Family Applications (1)

Application Number Title Priority Date Filing Date
TW094121423A TWI326112B (en) 2004-06-29 2005-06-27 A method and apparatus for reducing aspect ratio dependent etching in time division multiplexed etch processes

Country Status (6)

Country Link
US (1) US7959819B2 (zh)
EP (1) EP1761947B1 (zh)
JP (1) JP2008504975A (zh)
CN (1) CN100517596C (zh)
TW (1) TWI326112B (zh)
WO (1) WO2006012297A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107611026A (zh) * 2016-07-11 2018-01-19 北京北方华创微电子装备有限公司 一种深硅刻蚀工艺

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7635418B2 (en) * 2004-12-03 2009-12-22 Nordson Corporation Plasma processing apparatus and methods for removing extraneous material from selected areas on a substrate
US7842223B2 (en) * 2004-12-22 2010-11-30 Nordson Corporation Plasma process for removing excess molding material from a substrate
US20060201910A1 (en) * 2004-12-22 2006-09-14 Nordson Corporation Methods for removing extraneous amounts of molding material from a substrate
US8187483B2 (en) 2006-08-11 2012-05-29 Jason Plumhoff Method to minimize CD etch bias
KR20080060017A (ko) * 2006-12-26 2008-07-01 주식회사 하이닉스반도체 반도체 소자의 제조방법
US7771606B2 (en) * 2007-02-22 2010-08-10 Applied Materials, Inc. Pulsed-plasma system with pulsed reaction gas replenish for etching semiconductors structures
US7749859B2 (en) * 2007-06-29 2010-07-06 Infineon Technologies Ag Semiconductor devices and methods of manufacture thereof
US20090043646A1 (en) * 2007-08-06 2009-02-12 International Business Machines Corporation System and Method for the Automated Capture and Clustering of User Activities
US8058176B2 (en) * 2007-09-26 2011-11-15 Samsung Electronics Co., Ltd. Methods of patterning insulating layers using etching techniques that compensate for etch rate variations
CN101894755B (zh) * 2009-05-20 2012-11-14 中芯国际集成电路制造(北京)有限公司 沟槽刻蚀的方法及量测沟槽深度的装置
US9494865B2 (en) * 2009-12-31 2016-11-15 Cornell University Microscopically structured polymer monoliths and fabrication methods
JP5223878B2 (ja) * 2010-03-30 2013-06-26 株式会社デンソー 半導体装置の製造方法
EP2463896B1 (en) * 2010-12-07 2020-04-15 IMEC vzw Method for forming through-substrate vias surrounded by isolation trenches with an airgap and corresponding device
CN102420152A (zh) * 2011-04-29 2012-04-18 上海华力微电子有限公司 一种用于功率器件在线控制沟槽剩余氧化硅厚度的方法
US8609548B2 (en) 2011-06-06 2013-12-17 Lam Research Corporation Method for providing high etch rate
US8440473B2 (en) * 2011-06-06 2013-05-14 Lam Research Corporation Use of spectrum to synchronize RF switching with gas switching during etch
FR2979478A1 (fr) * 2011-08-31 2013-03-01 St Microelectronics Crolles 2 Procede de realisation d'une tranchee profonde dans un substrat de composant microelectronique
CN103159163B (zh) * 2011-12-19 2016-06-08 北京北方微电子基地设备工艺研究中心有限责任公司 基片刻蚀方法及基片处理设备
US8691698B2 (en) * 2012-02-08 2014-04-08 Lam Research Corporation Controlled gas mixing for smooth sidewall rapid alternating etch process
GB2499816A (en) * 2012-02-29 2013-09-04 Oxford Instr Nanotechnology Tools Ltd Controlling deposition and etching in a chamber with fine time control of parameters and gas flow
JP5713043B2 (ja) * 2012-05-07 2015-05-07 株式会社デンソー 半導体基板の製造方法
US9142417B2 (en) 2012-12-14 2015-09-22 Lam Research Corporation Etch process with pre-etch transient conditioning
GB2516448B (en) * 2013-07-22 2016-12-07 Atlantic Inertial Systems Ltd Reactive Ion Etching
TWI612182B (zh) * 2013-09-09 2018-01-21 液態空氣喬治斯克勞帝方法研究開發股份有限公司 用蝕刻氣體蝕刻半導體結構的方法
FR3020684B1 (fr) * 2014-04-30 2017-05-19 Horiba Jobin Yvon Sas Systeme et procede de spectrometrie de decharge luminescente et de mesure in situ de la profondeur de gravure d'un echantillon
US20150371889A1 (en) * 2014-06-20 2015-12-24 Applied Materials, Inc. Methods for shallow trench isolation formation in a silicon germanium layer
US9558928B2 (en) * 2014-08-29 2017-01-31 Lam Research Corporation Contact clean in high-aspect ratio structures
CN107275202B (zh) * 2016-04-07 2020-03-10 中芯国际集成电路制造(上海)有限公司 刻蚀方法及半导体结构的形成方法
US10807863B2 (en) 2017-05-30 2020-10-20 Murata Manufacturing Co., Ltd. Method for manufacturing micromechanical structures in a device wafer
WO2020247977A1 (en) * 2019-06-04 2020-12-10 Lam Research Corporation Polymerization protective liner for reactive ion etch in patterning
CN114446779B (zh) * 2021-12-30 2024-05-14 西安北方华创微电子装备有限公司 一种深硅刻蚀方法和半导体工艺设备
WO2023249702A1 (en) * 2022-06-23 2023-12-28 Google Llc Waveguide grating depth and filling factor dual modulation

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6050923A (ja) 1983-08-31 1985-03-22 Hitachi Ltd プラズマ表面処理方法
KR900007687B1 (ko) 1986-10-17 1990-10-18 가부시기가이샤 히다찌세이사꾸쇼 플라즈마처리방법 및 장치
JPH0290644A (ja) * 1988-09-28 1990-03-30 Nec Corp 半導体装置の製造方法
JP3323530B2 (ja) 1991-04-04 2002-09-09 株式会社日立製作所 半導体装置の製造方法
US5474650A (en) 1991-04-04 1995-12-12 Hitachi, Ltd. Method and apparatus for dry etching
US6008133A (en) 1991-04-04 1999-12-28 Hitachi, Ltd. Method and apparatus for dry etching
JPH05335397A (ja) * 1992-05-29 1993-12-17 Nippon Steel Corp 半導体ウェハの温度測定方法
DE4241045C1 (de) 1992-12-05 1994-05-26 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
US5372673A (en) * 1993-01-25 1994-12-13 Motorola, Inc. Method for processing a layer of material while using insitu monitoring and control
US6187685B1 (en) * 1997-08-01 2001-02-13 Surface Technology Systems Limited Method and apparatus for etching a substrate
US6632321B2 (en) 1998-01-06 2003-10-14 Applied Materials, Inc Method and apparatus for monitoring and controlling wafer fabrication process
ATE458273T1 (de) 1998-11-04 2010-03-15 Surface Technology Systems Plc Verfahren zur ätzung eines substrats
JP2000306884A (ja) 1999-04-22 2000-11-02 Mitsubishi Electric Corp プラズマ処理装置およびプラズマ処理方法
DE19919832A1 (de) * 1999-04-30 2000-11-09 Bosch Gmbh Robert Verfahren zum anisotropen Plasmaätzen von Halbleitern
US6716758B1 (en) * 1999-08-25 2004-04-06 Micron Technology, Inc. Aspect ratio controlled etch selectivity using time modulated DC bias voltage
US6291357B1 (en) 1999-10-06 2001-09-18 Applied Materials, Inc. Method and apparatus for etching a substrate with reduced microloading
US6284666B1 (en) * 2000-05-31 2001-09-04 International Business Machines Corporation Method of reducing RIE lag for deep trench silicon etching
US20030026934A1 (en) * 2001-03-28 2003-02-06 Hanover Accessories, Inc. Decorative elements and kit for pumpkins
JP3708031B2 (ja) 2001-06-29 2005-10-19 株式会社日立製作所 プラズマ処理装置および処理方法
US6903826B2 (en) * 2001-09-06 2005-06-07 Hitachi, Ltd. Method and apparatus for determining endpoint of semiconductor element fabricating process
US20030052084A1 (en) 2001-09-18 2003-03-20 Tabery Cyrus E. In-situ or ex-situ profile monitoring of phase openings on alternating phase shifting masks by scatterometry
US6815345B2 (en) 2001-10-16 2004-11-09 Hermes-Microvision (Taiwan) Inc. Method for in-line monitoring of via/contact holes etch process based on test structures in semiconductor wafer manufacturing
US6900136B2 (en) * 2002-03-08 2005-05-31 Industrial Technology Research Institute Method for reducing reactive ion etching (RIE) lag in semiconductor fabrication processes
US6924088B2 (en) 2002-06-20 2005-08-02 Applied Materials, Inc. Method and system for realtime CD microloading control
US6905626B2 (en) * 2002-07-24 2005-06-14 Unaxis Usa Inc. Notch-free etching of high aspect SOI structures using alternating deposition and etching and pulsed plasma
US6793765B1 (en) 2002-08-29 2004-09-21 Advanced Micro Devices, Inc. Situ monitoring of microloading using scatterometry with variable pitch gratings
US6939811B2 (en) * 2002-09-25 2005-09-06 Lam Research Corporation Apparatus and method for controlling etch depth
US6919272B2 (en) 2003-02-01 2005-07-19 Newport Fab, Llc Method for patterning densely packed metal segments in a semiconductor die and related structure
US20050112891A1 (en) * 2003-10-21 2005-05-26 David Johnson Notch-free etching of high aspect SOI structures using a time division multiplex process and RF bias modulation

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107611026A (zh) * 2016-07-11 2018-01-19 北京北方华创微电子装备有限公司 一种深硅刻蚀工艺

Also Published As

Publication number Publication date
US20050287815A1 (en) 2005-12-29
JP2008504975A (ja) 2008-02-21
TW200607017A (en) 2006-02-16
US7959819B2 (en) 2011-06-14
CN100517596C (zh) 2009-07-22
WO2006012297A1 (en) 2006-02-02
EP1761947B1 (en) 2015-02-25
EP1761947A1 (en) 2007-03-14
CN1977364A (zh) 2007-06-06

Similar Documents

Publication Publication Date Title
TWI326112B (en) A method and apparatus for reducing aspect ratio dependent etching in time division multiplexed etch processes
US6051503A (en) Method of surface treatment of semiconductor substrates
EP0822584B1 (en) Method of surface treatment of semiconductor substrates
EP1420438A2 (en) Method and apparatus for etching a deep trench
US9666447B2 (en) Method for selectivity enhancement during dry plasma etching
US6979652B2 (en) Etching multi-shaped openings in silicon
Chabloz et al. Improvement of sidewall roughness in deep silicon etching
TWI843802B (zh) 蝕刻半導體結構的方法和設備
US20040124483A1 (en) Gap tuning for surface micromachined structures in an epitaxial reactor
KR101399181B1 (ko) 플라즈마 프로세싱 시스템에 대한 마스크 언더컷 및 노치를최소화시키는 방법
Craigie et al. Polymer thickness effects on Bosch etch profiles
WO2015069521A1 (en) Method for deep silicon etching using gas pulsing
KR20100126149A (ko) 피에칭재의 플라즈마 에칭방법
US20040077178A1 (en) Method for laterally etching a semiconductor structure
Kamto et al. Cryogenic inductively coupled plasma etching for fabrication of tapered through-silicon vias
JP2009302181A (ja) プラズマエッチング処理方法およびプラズマエッチング処理装置
JP2005519480A (ja) リソグラフィパターンの縮小方法および部材
US6593244B1 (en) Process for etching conductors at high etch rates
US20030003748A1 (en) Method of eliminating notching when anisotropically etching small linewidth openings in silicon on insulator
Wasilik et al. Low-frequency process for silicon-on-insulator deep reactive ion etching
Zhao et al. Combining retraction edge lithography and plasma etching for arbitrary contour nanoridge fabrication
Schramm et al. Fabrication of high-aspect-ratio InP-based vertical-cavity laser mirrors using CH 4/H 2/O 2/Ar reactive ion etching
Beheim et al. Deep reactive ion etching for bulk micromachining of silicon carbide
JP5171091B2 (ja) プラズマ処理方法
EP4199687B1 (en) Plasma etching of additive-containing aln