TWI291208B - Method of manufacturing a capacitor and a metal gate on a semiconductor device - Google Patents

Method of manufacturing a capacitor and a metal gate on a semiconductor device Download PDF

Info

Publication number
TWI291208B
TWI291208B TW095101642A TW95101642A TWI291208B TW I291208 B TWI291208 B TW I291208B TW 095101642 A TW095101642 A TW 095101642A TW 95101642 A TW95101642 A TW 95101642A TW I291208 B TWI291208 B TW I291208B
Authority
TW
Taiwan
Prior art keywords
capacitor
gate
layer
trench
dielectric layer
Prior art date
Application number
TW095101642A
Other languages
English (en)
Other versions
TW200629422A (en
Inventor
Kuo-Chi Tu
Original Assignee
Taiwan Semiconductor Mfg
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg filed Critical Taiwan Semiconductor Mfg
Publication of TW200629422A publication Critical patent/TW200629422A/zh
Application granted granted Critical
Publication of TWI291208B publication Critical patent/TWI291208B/zh

Links

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/05Making the transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28079Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a single metal, e.g. Ta, W, Mo, Al
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/926Dummy metallization

Description

1291208 九、發明說明: 【發明所屬之技術領域】 本發明是有關於一種半導體的製造方法,且特別是有 關於一半導體元件的電容器與金屬閘極之製造方法。 【先前技術】 "在半導體產業中,金屬-氧化物半導體_8)電晶體典 型是使用多晶石夕來形成閘極電極。會用多晶石夕材料是因為 其具有抗熱性質,使其與源極餘極區域得以在高溫下一 起進打退火。更進一步,由於多晶矽能夠阻擋以離子植入 ::摻雜之原子進入通道區域,因此在閘極圖案化完成後 能容易地形成自我對準之源極與汲極結構。 、然而,多晶矽閘極電極有一些缺點。與大多數金屬材 料來相比,多晶補極電極是用電阻高相#多的半導體材 料所形#的。這造成多晶矽閘極電極係以比金屬閘極為低 的速,在操作。為了彌補高電阻與其相應之較低操作速 率j多晶矽材料通常需要大量與昂貴的矽化金屬處理,為 的是要增加其操作速率至可接受的水準。 另項使用多晶矽閘極電極的缺點是多晶矽的空乏效 應(depletion effect)。會發生的原因是,目前多晶矽的摻雜 濃度八此至約2xl〇20/cm3到約3xl〇2〇/cm3之範圍。在閘極 材料中的摻雜濃度至少要5xl〇21/cm3才夠。因為摻雜濃度 j的限制,當一多晶矽閘極受到偏壓時,由於缺乏載子, 罪近夕晶矽閘極與閘極介電層之界面上會產生空乏區。 1291208 “因此,理想的是以一金屬閘極電極來取代多晶矽閘極 ,和仁所產生的問題是,如何以金屬閘極製程整合電容 器製造而同時達成成本具競爭力的製程。 q於疋,希望能提供一半導體元件的電容器與金屬閘極 之製k方法’而無前述所討論之缺點。 【發明内容】 一種半導體元件的MIM電容器與金屬閘極之製造方 法,包含提供具有一邏輯區域與一記憶區域之一基材;在 此基材上之此邏輯區域與此記憶區域中形成複數個虛 (dummy)閘極,在此基材上形成一第一介電層並鄰接此複數 個虛閘極;在此基材之此記憶區域上之此第一介電層中形 成複數個電容器溝渠;在此複數個電容器溝渠中形成一下 電極層;形成延伸在至少兩個電容器溝渠間之至少一微溝 渠;移除此複數個虛閘極以形成複數個閘極溝渠;形成一 第二介電層;以及形成位於此第二介電層上方之一金屬層。 【實施方式】 參閱第1圖’例示用以製造一電容器以及一金屬閘極 之方法10。方法10包括步驟100、200、300、400、500、 600、700及800,各步驟詳細說明如後。 現在參照第1圖與第2圖,方法1 〇以步驟1 〇〇為開始, 其中提供一基材102。基材1〇2包括一基材表面i〇2a。基 材102可為一元素半導體,如矽、鍺或鑽石。基材1〇2可 1291208 包括一化合物半導體及/或一合金半導體。基材1〇2可包括 一磊晶層,可具應力以增進性能,亦可包括一絕緣體上半 - 導體(Semic〇nductor-〇n-insulat〇r)結構。一虛閘極 104,包 / 括一虛介電層1〇4a(如矽氧化物)與一虛閘極電極104b(如多 曰曰石夕)’可位於基材102上。虛閘極1〇4可進一步包含複數 個位於虛閘極104侧壁上的間隙壁1〇以與1〇6b。相似地, 另一虛閘極124可位於基材1〇2上,其鄰近於虛閘極1〇4。 φ 虛閘極124亦可包括一虛介電層124a與一虛閘極電極124b 以及複數個位於虛閘極124侧壁上的間隙壁126a與126b。 . 虛閘極104與I24在組成、形成與構成上,可實質上相似。 • 例示用之虛閘極1〇4與124僅為簡化性之範例而非加以限 制。例如,虛閘極104與124可位於基材102之記憶元件 區域中。 基材102可包括複數個輕摻雜之區域1〇8(如源極與汲 極延伸區域(SDE或LDD))與鄰接虛閘極1〇4與124之重掺 • 雜區域(源極與汲極)11〇。一石夕化金屬(此處未示)可位在虛 閘極104與124間之重摻雜區域110上,以形成一接觸。 要不然,此矽化金屬可位於靠近虛閘極1〇4與1:24兩側之 重摻雜區域11 〇 ’各別鄰接閘極間隙壁1 〇6a與1 〇6b。此石夕 化金屬可包括矽化鎳、矽化鈷、矽化鎢、矽化钽、矽化鈦、 矽化鉑、矽化铒、矽化鈀或其組合。此矽化金屬可由如自 我對準矽化金屬(salicide)方法所形成。虛閘極104、124與 重摻雜區域110可由包括熱氧化法、多晶矽沉積法、微影 法、離子植入法、蝕刻法以及多種業界已知之其他方法所 1291208 形成。 現在參照第1圖與第3圖,方法1 〇繼續至步驟200, 其中一介電層202形成在基材102與虛閘極ι〇4、124之上。 在一例示性實施例中,介電層202包括矽氧化物材料。介 電層202可包括氟化矽酸鹽玻璃(FSG)、經碳摻雜之矽氧化 物、氮化石夕、氮氧化石夕或上述材料之組合,或其他適當之 材料,如低介電常數(低K)材料,其中此低κ材料之介電 ¥數小於3·9 ’即以熱氧化法所形成之二氧化石夕的介電常 數。介電層202可由適當之沉積方法來形成,像是化學氣 相沉積法(CVD)、物理氣相沉積法(PvD)、原子層沉積法 (ALD)、旋塗式玻璃法(s〇G)及/或其他適合之方法。然後可 平坦化介電層202,使得介電層202與虛閘極1〇4、124之 上表面共平面。在一例示性實施例中,介電層2〇2與虛閘 極104之平坦化可以化學機械研磨&(CMp)或其他適合之 方法來達成。 現在參照第1圖、第4圖、第5a圖、第6a圖、第7a 圖與第8a圖,方法10繼續至步驟3〇〇以形成一電容器溝 渠。在一實施例中,使用業界已知之適當圖案化方法如微 影法與蝕刻法,可圖案化介電層202以形成一溝渠。一光 阻層302可形成於介電層202與虛閘極1〇4與124上方。 在微影製程時,可於光阻302上方提供一定義有通道306a 之光罩304a。通道306a可設計成一適當之形狀,如圓形、 橢圓或是矩形。例示於第5a圖中者為一範例性圓形通道 306a。在微影製程時,光罩3〇4a上可使用紫外光(uv)或是 1291208 深紫外光(DUV)來照射之。光阻302透過圓形通道3〇6a而 曝光。位於通道306a下方之光阻3〇2的部分物理性質因曝 光而改變。顯影光阻302之後,在光阻3〇2中定義出一圓 形開口 310a,暴露出一部份的介電層202。光阻開口 31〇a 使得介電層202能被蝕刻,而造成可延伸至基材表面1〇2& 之電容器溝渠312a。介電層202的蝕刻法可使用業界中已 知之方法,包括但不限於濕姓刻法、乾蚀刻法、反應性離 子蝕刻(RIE)法或其他適當之方法來執行蝕刻。光阻隨 後可使用濕剝除法或是電漿灰化法來加以去除。 在步驟300形成一電容器溝渠之另一方法可包括使用 選擇㈣刻法以形成-自我對準之電容器溝渠,如第4圖、 第5b圖、第6b圖、第7b圖與第扑圖中所例示者。上述 之選擇餘刻法對介電層施之餘刻速率高於對閘極電極 獅與」鳥之银刻速率。舉例來說,當介電層202的材料 =括梦减物與錢極電極1G4、124的材料包括多晶梦 ::氫氟,㈣或是經緩衝之氫氟酸(卿)溶液可做為㈣ 是氫氟酸或是經緩衝之氫氟酸溶液對矽氧化物之 ==多晶石夕之移除率。因此,可移除亀物而 ===晶# ° ^要_掉介於虛間極_與⑶ 之:電声L 形成一溝渠’同時要保護在其他區域中 ==(t第Μ中所示)時,具有通道遍 可做為此等用途。通道3〇6b可設 形狀,如.圓形、橢圓或是矩 、虽 道鳩為一矩形。使用光置於苐%圖令乾例性通 使用先罩304b來對光阻3〇2進行曝光 1291208 顯影之後,形成光阻開口 〇1ΛΚ 如苐6b圖所示。光阻開口 錯誤及/IS 口為光罩尺寸錯誤、自我對準錯誤、微影圖案 =:古、他處理錯誤而造成圖案偏差。在選擇性餘刻處 # ’,暴露在光阻開°3議下之介電層可被實質性地 矛' ’以形成如第8b圖所示之一溝渠31沘,同時虛閘極 1〇4與」24所暴露出之部分仍然實質上不變。因此,溝渠 312b稭選擇性餘刻而自動地與虛閘極⑽與⑵對準,而 ,為:自我對準溝渠。只要光阻開口遍在其涵蓋之區域 暴路出”電層202,即使部分之虛閘極電極1〇朴、12仆 也被暴露出來,但此等自我對準失誤、偏移歧其他偏差 都不會造成自我對準溝渠之偏差。因此,使得溝渠312b圖 案具有較寬之製程裕度㈣咖⑽㈣卜再^在設計尺 寸規則的限制下,溝渠312b之面積可以最大化,如設計 DRAM之電容器。 現在參照第1圖、第9a圖、第l〇a圖與第lla圖,方 法10繼續至步驟400,在電容器溝渠31以底部與側壁以及 虛閘極104與124的表面上方形成一下電極層她。在一 例示性實施例中,下電極層4〇2a的材料可包括但不限於如 銅銅&金鈦、氮化鈦、组、氮化组、鶴、金屬石夕化物 與多種其他導性材料之材料。然後,下電極層4〇2&可依介 電層202與虛閘極104與124之高度來進行平坦化,使得 下電極402a形成於電容器溝渠312a中,而且暴露出介電 層202以及虛閘極電極1〇4b、12仆兩者之上表面。下電極 層402a之平坦化處理可使用業界已知之方法,例如但不限 1291208 於化學機械研磨法(CMP)而達成。 現在參照第1圖、第9b圖、第10b圖與第lib圖,在 方法10之步驟400中,形成一下電極層402b與一下電極 404b之方法基本類似於前述形成下電極層4〇2a與下電極 404a之方法,除了於平坦化製程時(平坦化下金屬層402b 以形成下電極404b)會繼續對虛閘極電極i〇4b與124b與介 電層202的上表面進行過度研磨之外。在過度研磨時,移 除部分虛閘極電極l〇4b,以形成介於下電極404b與虛閘極 電極104b間之間隙406。相似地,移除部分虛閘極電極124b 以形成介於下電極404b與虛閘極電極124b間之間隙4〇8。 間隙406與408確保下電極404b與虛閘極電極i〇4b/l24b 間以及與於後續處理步驟中所形成之閘極電極間為電絕 緣。過研磨之終點可由時間模式所決定,使得間隙具有適 當之尺寸。 現在參照第1圖、第12a圖、第13圖、第14a圖與第 15a圖,方法1〇繼續至步驟5〇〇,其中形成一微溝渠Η。。 一光阻層502a形成於電容器溝渠312a(見第Ua圖)中之介 電層202、虛閘極1〇4與下電極4〇乜之上方。在微影製程 中疋義有一通道504a之光罩504位於於光阻502上方。 此微影製程類似於描述方法1〇之步驟3〇〇 如料露出介電層則電容器溝渠312a延 品域使得;丨電層202可被钱刻,導致在介電層2〇2中定 義出•近且延伸自電容II溝渠312a中之下電極彻a/4〇4b 之一微溝渠5H)。餘刻介電層2G2之方法可使用業界已知方 Ϊ291208 去來執行餘刻’包括但不限於濕蝕刻法、乾蝕刻法、反應 眭離子蝕刻法或其他適當之方法。在微溝渠51〇在形成後, \光阻502隨後可用剝除或是電漿灰化來加以去除。參照第1 , 圖第1213圖、第13圖、第14b圖與第15b圖,在具有自 我對準溝渠之介電層202中形成一微溝渠51〇b方法10之 步驟500係實質上類似於前述之方法。 現在參照第1圖與第16a圖(或第16b圖),方法1〇繼 φ 續至步驟600,其中虛閘極104與124,包含虛閘介電層104a 與U4a(見第I5a/b圖)與虛閘極電極1〇朴與i24b(見第 、15a/b圖)被移除,導出介電層2〇2與基底1〇2定義從介電 層202上表面延伸至基材表面102a之閘極通道602a(或 602b)。 現在請參照第1圖與第17a圖(或第17b圖),方法1〇 繼續至步驟700,其中形成一介電層702於介電層202上、 在電谷器溝渠312a(或312b)中之下電極404a(或404b)上與 φ 閘極通道6〇2a(或602b)中。在一實施例中,介電層7〇2可 包括習知之介電材料,例如但不限於,si〇或SiON、氟化 矽酸鹽玻璃(FSG)或高介電常數(高κ)材料,例如但不限於 - Ta2〇5、Hf02、Al2〇3、In02、La〇2、Zr〇2、Ta〇2、前述材 料之組合或多種其他之材料。在另一實施例中,如果閘介 電層與電容器介電層的規格需要不同的厚度的話,一第一 介電層可已經於步驟400,形成於電容器溝渠312a(或3 12b) 中,並在組成與形成上經由類似步驟700之方法。 現在參照第1圖、第18a圖與第19a圖,方法10繼續 12 1291208 至步驟800,於介電層702上方形成一金屬層802。在一例 示性實施例中,此金屬層802在組成與形成上可實質地類 • 似於下電極層402a/b。金屬層802與介電層702隨後可依 . 介電層2〇2之高度來進行平坦化,而暴露出介電層202來, ‘ 形成在電容器溝渠312a(見第17a圖)中之電容器絕緣層 7〇4a與電谷器上電極804a、在閘通道602a(見第17a圖)中 之一閘介電層706a與閘極電極806a以及在閘通道6〇4a(見 φ 第17a圖)中之一閘介電708a與閘極電極808a。一金屬-絕 緣體-金屬(MIM)電容器與一金屬閘極堆疊於焉形成。在一 . 例示性實施例中,金屬層802與介電層702依介電層2〇2 • 高度之平坦化方法可使用業界中已知之方法,例如但不限 於CMP法來達成。要不然,形成在電容器溝渠3i2b(見第 17a圖)中之電容器絕緣層7〇4b與電容器上電極8⑽&、在 問通道602b (見第17b圖)中之一閘介電7_與間極電極 8〇讣以及在閘通道6〇4b (見第m圖)中之一閑介電7嶋 • 與閘極電極8G8b之方法(見第1圖、第18b圖與第19b· . 基本上類似於前述之方法。然而,在平坦化過程中,合對 • I電層202與介電層观、金屬層8G2、以及間隙壁^b 與126b之上表面進行過研磨,使得間隙4〇6與4〇8之 得以加大。 又 ,内連線之接觸插塞可形成於基材上。例#,在基材上 方形成並圖案化一介電層以形成接觸孔洞 使接觸孔洞延伸至基材中適當康又D十規七 、田之重摻雜區域。此圖案化方 為任何適當之處理,例如微影法與餘刻法。具有接觸 13 1291208 孔洞之介電層在組成與形成方法上,可實質類似於介電層 2〇2。接觸孔洞隨後可填滿在組成上實質類似於下電極層 4〇2與金屬;| 802之導電材料。填滿之導電材料隨後以例如 CMP之半坦化方法來形成接觸插塞。另外可選擇在其他區 域2成其他接觸插塞,以電性連接適當之主動區域,如 電容器上電極804a/b與閘極電極8〇6a/b。其他之内連線, 如中介插塞與金屬線亦可經由業界中已知之適當方法來形 成。 在一例示性實施例中,所描述之方法提供-含有金屬 閘極之電晶體與含有一 MIM電容器之被動元件。在另一方 法之-例示性實施财…自我對準刪電容^與金屬間 極-同形成。經由此自我對準之方法,可將電容器面積最 大化,讓製程裕度加寬,並對稱地安置兩間隙。 一例示性、涉及多重步驟之微影蝕刻製程可包括光阻 圖案化、蝕刻與光阻剝除。此光阻圖案化可進一步包括光 阻塗覆、軟烤、光罩對準、曝光、曝域烘烤、光阻顯影 與硬烤之處理步驟。微影圖案化亦可以其他適當之方法, 如無光罩微影、電子束刻寫(electr〇n_beam wHting)、離子 束刻寫 ' 光學刻寫與分子拓印(m〇lecular丨聊㈣來實作或 是加以取代。 用來形成電容器電極、閘極電極與内連線之導電材料 可包括銅、鶴、鈦、组、氮化鈦、氮化组、石夕化錄、石夕化 鈷、及/或其他適當之導電材料。此導電材料可由cvD法、 PVD法、電鍍法、ALD法與其他適合之方法來形成。用來 1291208 形成閘介電層、電容器絕緣層與其他合適介電層之介電材 料可包括氧化矽、氮化矽、氮氧化矽及/或一高κ材料。高 κ材料可包含氧化銓、矽化铪、氧化矽铪、氮氧化矽鈴、 氧化锆、氧化銘、二氧化給-銘氧(Hf02-Al203)合金、氮化 矽、五氧化二鈕或上述材料之組合。用來形成閘極間隙壁、 内層介電層、淺溝渠隔離、與其他隔離之介電材料可包括 氧化矽、氮化矽、氮氧化矽、經氟掺雜之矽酸鹽玻璃(FSG) 及/或一低K材料。此低K材料可包含Black Diamond'Applied Materials of Santa Clara,California)、乾 凝膠(Xerogel)、氣凝膠(Aerogel)、無定形氟化碳、聚對二 甲苯(Parylene)、BCB(bis_benzocyclobutenes)、SiLK(Dow Chemical,Midland,Michigan)、聚醢亞胺及/或其他材料。 此等介電材料可由熱氧化法、CVD法、ALD法、PVD法、 旋塗式玻璃法及/或其他方法來形成。導電與介電材料兩者 可使用如雙鑲嵌法之整合方法來形成與圖案化。 在一例示性實施例中,所描述之方法提供一含有金屬 閘極之電晶體與含有一金屬-絕緣體-金屬(MIM)電容器之 被動元件。在一例示性實施例中,所描述之方法提供一嵌 入式動態隨機存取記憶體(embedded DRAM),或包括一 DRAM與互補金氧半(CMOS)電晶體之整合結構。以此形成 之積體電路可包含一邏輯區域與一記憶區域,其中各區域 包含複數個閘極堆疊而記憶區域包含複數個電容器。 除了 DRAM與CMOS電晶體以外,此積體電路可進一 步包含,如電阻器與誘導子之被動元件,與如雙極電晶體、 15 1291208 高電壓電晶體、高頻電晶體、其他記憶晶胞或上述元件組 白之主動元件。基材可進一步包括其他隔離,如淺溝渠隔 離(STI)、場氧化層(FOX)與埋入氧化層(BOX)。 要瞭解到,在不脫離所揭露之實施例之範圍内,仍可 有許多變化。更進一步,多種例示性實施例之元件與教示 可與部分或是所有例示性實施例的整體或是部分結合。 雖然本發明已以一較佳實施例揭露如上,然其並非用 以限定本發明,任何熟習此技藝者,在不脫離本發明之精 神和範圍内,當可作各種之更動與潤飾,因此本發明之保 護範圍當視後附之申請專利範圍所界定者為準。 【圖式簡單說明】 在閱頃所伴隨之圖式時,本發明所揭露之各方面最好 由後續之詳細說明中加以瞭解。要強調的是,依據業界之 k準實務,多項特徵不依比例繪示。事實上,多項特徵之 尺寸為了要清楚討論之緣故,會被隨意放大或縮小。 第1圖是流程圖,例示一半導體元件的電容器與金屬 閘極製造方法之一實施例。 後續之第2圖、第3圖、第4圖、第5a圖、第6a圖、 第7a圖、第8a圖、第9a圖、第i〇a圖、第iia圖、第 圖、第13目、第14a ϋ、第15a ®、第16a圖、第17a圖、 第18a圖、第19a圖例示在多種製造階段形成一電容器與 一金屬閘極之一實施例。第2圖、第3圖、第4圖、第5b 16 1291208 圖、第6b圖、第7b圖、第8b圖、第%圖、帛、 第则、第⑽、第13圖、第㈣圖、第⑽ 16b圖、.第17b圖、第18b圖、第柳圖例示在多種 段使用-選擇性㈣來形成—自我對準電容器與— 極之一實施例。 第2圖係-截面圖,例示在其表面具有一虛間極之一 基材。 第3圖係一截面圖,例示形 N不形成在第2圖之基材上之一 溝渠層之一實施例。 第4圖係一截面圖,例+犯上、 ⑺不形成在第3圖之溝渠層上之 一層光阻之一實施例。 第5a圖係-示意圖,例示—光罩之實施例,此光罩具 有位於第4圖光阻上方的環形通道。 第5b圖係一示意圖,例示一光罩之實施例,此光罩具 有位於第4圖光阻上方的矩形通道。 第6a圖係沿第5a圖之線6a之一截面圖,例示一光阻 圖案之實施例。 第6b圖係沿第5b圖之線6b之一截面圖,例示一光阻 圖案之實施例。 第7a圖係一示意圖,例示形成在如第6a圖之溝渠層 中之一電容器溝渠之一實施例。 第7b圖係一示意圖,例示形成在如第6b圖之溝渠層 中之一電容器溝渠之一實施例。 第8a圖係沿第7a圖之線8a之一截面圖,例示在溝渠 17 1291208 層中經#刻之一電容器溝渠之實施例。 第8b圖係A第7b圖之線gb之一截面圖,例示在溝渠 層中經蝕刻之一電容器溝渠之實施例。 第9a圖係一截面圖,例示形成在溝渠層上與第8a圖 電容器溝渠中之一下電極層之實施例。。 第9b圖係一截面圖,例示形成在溝渠層上與第8b圖 電容器溝渠中之一下電極層之實施例。 第10a圖係一示意圖,例示第9a圖之下電極層依此溝 渠層高度平坦化之實施例,使得一下電極位於第7a圖電容 器溝渠中。 第10b圖係一示意圖,例示第9b圖之下電極層依此溝 渠層高度平坦化之實施例,使得一下電極位於第7b圖電容 器溝渠中。 第11a圖係沿第i〇a圖之線lla之一截面圖,例示此下 電極層依此溝渠層高度平坦化之實施例,使得—下電極位 於第7a圖電容器溝渠中。 雷第m圖係沿第10b圖之線llb之—截面圖,例示此 位依此溝渠層高度平坦化之實施例,使得-下電極 位於弟7b圖電容器溝渠中。 lla圖第雷^圖係—截面圖’例示—形成在溝渠層上與在第 =溝渠中之下電極上方之—光阻層之實施例。 爪圖電容二了面圖,例示一形成在溝渠層上與在第 Λ _中之下電極上方之—光阻層之實施例。 圖係一截面圖,例示位於光阻上方之一光罩之實 18 1291208 施例。 、第14a圖係_示意圖,例示部份在下電極中與第⑴ 圖溝渠層中被蝕刻之一微溝渠之實施例。 圖溝㈣與第- 圖之線15a之一截面圖,例示部 溝渠層中被韻刻之一微溝渠之實 第15a圖係沿第!4a 份在下電極中與第lla圖 施例。 弟15b圖係沿第14b圖 国炙綠15b之一截面圖,例示部 伤在下電極中與第丨〗b圖溝泪 圃厚木層中被蝕刻之一微溝渠之實 施例。 第16a圖係一截面示意圖 層與基材所定義,並由移除第 施例。 第16b圖係一截面示意圖 層與基材所定義,並由移除第 施例。 ,例示一閘通道,其為溝渠 15a圖之虛閘極而形成之實 ,例示一閘通道,其為溝渠 15a圖之虛閘極而形成之實 第17a圖係一截面圖,例示形成在溝渠層、下電極、 微溝渠與第…圖問通道上之—介電層之實施例。 第m圖係一截面圖,例示形成在溝渠層、下電極、 微溝渠與第⑽圖閑通道上之一介電層之實施例。 第18a圖係-截面圖,例示形成在帛m圖介電層上 之一金屬層。 第m圖係一截面圖,例示形成在帛m圖介電層上 19 1291208 之一金屬層。 第19a圖係一示意圖,例示第18a圖之介電層與金屬 層依溝渠層之高度平坦化,以形成一電容器、一金屬閘極 與一連接墊之實施例。 第19b圖係一示意圖,例示第18b圖之介電層與金屬 層依溝渠層之高度平坦化,以形成一電容器、一金屬閘極 與一連接墊之實施例。
【主要元件符號說明】 102 :基材 102a :基材表面 104、124 :虛閘極 104a、124a :虛介電層 104b、124b :虛閘極電極 106a、106b、126a、126b :間隙壁 108 :輕摻雜之區域 110 :重摻雜之區域 202、702 :介電層 302、502 :光阻層 304a、304b、504 :光罩 306a、306b、504a :通道 310a、310b :圓形開口 312a、312b :電容器溝渠 402、402a、402b :下電極層 20 1291208 404、404a、404b :下電極 406 、 408 :間隙 510、510a、510b :微溝渠 602a、602b :閘極通道 704a、704b :電容器絕緣 706a、706b、708a、708b ··閘介電 802 :金屬層 804、804a、804b :上電容器電極
806、806a、806b、808a、808b :閘極電極
21

Claims (1)

1291208 申請專利範園 含 .-種電容器與金屬閘極之製造方法,該方法至少包 在一基材上形成一虛(dummy)閘極; 在該基材上形成一第一介電層並鄰接該虛閘極; 在該第一介電層中形成一電容器溝渠; 在該電容器溝渠中形成一下電極; 移除該虛閘極以提供一閘極溝渠; 容器溝渠之該下電極上與該閘極溝渠中形成— 弟一介電層;以及 在該電容器溝渠與該閘極溝渠中之該 形成-金屬層。 *以層上方 葡^如/ 4專利範㈣1項所述之電容11與金屬閘極之 更包含在該第—介電層中形成—微 該電容器溝渠。 3·如中4專利範圍帛i項所述之電容器與金屬間極之 ' /、中w亥電谷器溝渠形成於該基材之一 DRAM區 域中。 ,卜生4·如中請專利範圍帛1項所述之電容器與金屬閘極之 製仏方去’其中該第二介電層之介電常數超過3.9。 22 1291208 5方如中請專利範圍帛1項所述之電容器與金屬閉極之 :',其中該第二介電層包含選自由氧化矽、氮务 與氮氧化矽之群組所組成之一材料。 、石夕 6古如中請專利範圍帛1項所述之電容器與金屬間極之 绝/ ,更包含形成一掺雜輪廟(d〇pingpr〇f , 義在鄰接該虛閘極之該基材中。 -係疋 製、生古如巾請專利範㈣1項所述之電容器與金屬閘極之 k方法’其中形成該下電極包含·· 在該基材上方沉積一下電極層·,以及 極,Hi電極層平坦化以暴露出該第-介電層與該虛間 /、 間隙係形成於該虛閘極與該下電極之間。 製、/古如巾請專利範_ 1項所述之電㈣與金屬閘極之 1 k方法,其中形成該下電極包含: 在該基材上方沉積一下電極層; 在該下電極層上方沉積一絕緣層;以及 以暴露出該第一介電 將該絕緣層與該下電極層平坦化 層與該虛閘極。 與金屬閘極之製造方法,該 9. 一種半導體元件之電容器 方法至少包含·· 提供-基材’在其上形成有一虛間極; 23 1291208 在該基材上形成一第一介電層並鄰接該虛閘極; 在該第一介電層中形成一自我對準之電容器溝渠; 在與該虛閘極分離之該電容器溝渠中形成一下電極; • 移除該虛閘極以提供一閘極溝渠; 在該電容器溝渠與該閘極溝渠中形成一第二介電層; 在該電容器溝渠與該閘極溝渠中之該第二介電層上方 形成一金屬層;以及 •、豸該第二介電層與該金屬層平坦化以形成在該電容器 溝木中之一金屬_絕緣體-金屬(MIM)電容器與在該閘極溝 渠中之一閘堆疊。 口 1〇·如申請專利範圍第9項所述之方法,其中在該電容 器溝渠中形成該下電極包含將該下電極平坦化。 U·如申請專利範圍第10項所述之方法,其中將該下電 • 極平坦化包含移除部分該虛閘極與該第一介電層以與該卢 閘極分離。 、/ 1 八I2·如申請專利範圍第9項所述之方法,其中將該第二 介電層與該金屬層平坦化包含移除該閘堆疊與該第」介; 層以進一步將該ΜΙΜ電容器與該閘堆疊分離。 13· —種半導體元件之電容器與金屬閘極之製造方 法,該方法至少包含: 24 1291208 提供包含一邏輯區域與一記憶區域之一基材; 在該基材上之該邏輯區域與該記憶區域中形成複數個 虛閘極; 在4基材上开> 成一第一介電層並鄰接該些虛閘極; 在該基材之該記憶區域上之該第一介電層中形成複數 個電容器溝渠; 在該些電容器溝渠中形成一下電極層; 形成延伸在至少一之該些電容器溝渠間之至少一微溝 渠; 移除該些虛閘極以形成複數個閘極溝渠; 在該些閘極溝渠與該些電容器溝渠中形成一第二介電 層;以及 形成位於該第二介電層上方之一金屬層。 14·如申請專利範圍帛13項所述之方法,其中該記憶 區域包含一 dram區域。 I5·如申請專利範圍第13項所述之方法,其中形成位 於名第一;丨電層上方之該金屬層,提供在該些電容器溝渠 中之複數個MIM電容器與在該些閘極溝渠中之複數個金屬 閘極。 16·如申請專利範圍第13項所述之方法,其中該第二 介電層之介電常數超過3 9。 25
TW095101642A 2005-02-09 2006-01-16 Method of manufacturing a capacitor and a metal gate on a semiconductor device TWI291208B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/054,448 US7163853B2 (en) 2005-02-09 2005-02-09 Method of manufacturing a capacitor and a metal gate on a semiconductor device

Publications (2)

Publication Number Publication Date
TW200629422A TW200629422A (en) 2006-08-16
TWI291208B true TWI291208B (en) 2007-12-11

Family

ID=36780487

Family Applications (1)

Application Number Title Priority Date Filing Date
TW095101642A TWI291208B (en) 2005-02-09 2006-01-16 Method of manufacturing a capacitor and a metal gate on a semiconductor device

Country Status (3)

Country Link
US (1) US7163853B2 (zh)
CN (1) CN100373591C (zh)
TW (1) TWI291208B (zh)

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7301180B2 (en) * 2001-06-18 2007-11-27 Massachusetts Institute Of Technology Structure and method for a high-speed semiconductor device having a Ge channel layer
US6730551B2 (en) * 2001-08-06 2004-05-04 Massachusetts Institute Of Technology Formation of planar strained layers
US7138649B2 (en) * 2001-08-09 2006-11-21 Amberwave Systems Corporation Dual-channel CMOS transistors with differentially strained channels
US7138310B2 (en) * 2002-06-07 2006-11-21 Amberwave Systems Corporation Semiconductor devices having strained dual channel layers
US7557032B2 (en) 2005-09-01 2009-07-07 Micron Technology, Inc. Silicided recessed silicon
US7416943B2 (en) * 2005-09-01 2008-08-26 Micron Technology, Inc. Peripheral gate stacks and recessed array gates
US7687342B2 (en) * 2005-09-01 2010-03-30 Micron Technology, Inc. Method of manufacturing a memory device
CN100413066C (zh) 2005-11-30 2008-08-20 中芯国际集成电路制造(上海)有限公司 低k介电材料的接合焊盘和用于制造半导体器件的方法
US7495290B2 (en) * 2005-12-14 2009-02-24 Infineon Technologies Ag Semiconductor devices and methods of manufacture thereof
KR100809336B1 (ko) * 2006-10-02 2008-03-05 삼성전자주식회사 메모리 소자의 제조 방법
US7696057B2 (en) * 2007-01-02 2010-04-13 International Business Machines Corporation Method for co-alignment of mixed optical and electron beam lithographic fabrication levels
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
TWI412980B (zh) * 2008-03-14 2013-10-21 Innolux Corp 影像顯示系統
US20100013047A1 (en) * 2008-07-16 2010-01-21 Andreas Thies Integrated circuit and method of manufacturing the same
US8735235B2 (en) * 2008-08-20 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit metal gate structure and method of fabrication
US8242551B2 (en) 2009-03-04 2012-08-14 Taiwan Semiconductor Manufacturing Company, Ltd. Metal-insulator-metal structure for system-on-chip technology
US8193081B2 (en) * 2009-10-20 2012-06-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for metal gate formation with wider metal gate fill margin
US8574990B2 (en) 2011-02-24 2013-11-05 United Microelectronics Corp. Method of manufacturing semiconductor device having metal gate
US8802524B2 (en) 2011-03-22 2014-08-12 United Microelectronics Corp. Method of manufacturing semiconductor device having metal gates
US8431453B2 (en) * 2011-03-31 2013-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma doping to reduce dielectric loss during removal of dummy layers in a gate structure
US9129856B2 (en) * 2011-07-08 2015-09-08 Broadcom Corporation Method for efficiently fabricating memory cells with logic FETs and related structure
FR2980915A1 (fr) * 2011-09-30 2013-04-05 St Microelectronics Crolles 2 Procede de fabrication de capacites dans un circuit integre
US9443853B1 (en) 2015-04-07 2016-09-13 International Business Machines Corporation Minimizing shorting between FinFET epitaxial regions
US9722043B2 (en) * 2015-06-15 2017-08-01 International Business Machines Corporation Self-aligned trench silicide process for preventing gate contact to silicide shorts
US9893145B1 (en) 2016-08-09 2018-02-13 International Business Machines Corporation On chip MIM capacitor
US10734444B1 (en) * 2019-01-28 2020-08-04 Globalfoundries Singapore Pte. Ltd. Integrated circuits with integrated memory structures and capacitors and methods for fabricating the same

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4963501A (en) * 1989-09-25 1990-10-16 Rockwell International Corporation Method of fabricating semiconductor devices with sub-micron linewidths
KR100209278B1 (ko) * 1995-12-30 1999-07-15 김영환 반도체 소자의 폴리레지스터 구조 및 그 제조방법
US6177303B1 (en) * 1998-09-28 2001-01-23 U.S. Philips Corporation Method of manufacturing a semiconductor device with a field effect transistor
JP2001168092A (ja) * 1999-01-08 2001-06-22 Toshiba Corp 半導体装置およびその製造方法
US6093590A (en) * 1999-09-14 2000-07-25 Worldwide Semiconductor Manufacturing Corp. Method of fabricating transistor having a metal gate and a gate dielectric layer with a high dielectric constant
KR100350056B1 (ko) * 2000-03-09 2002-08-24 삼성전자 주식회사 다마신 게이트 공정에서 자기정렬콘택패드 형성 방법
US6303418B1 (en) * 2000-06-30 2001-10-16 Chartered Semiconductor Manufacturing Ltd. Method of fabricating CMOS devices featuring dual gate structures and a high dielectric constant gate insulator layer
US6387750B1 (en) 2001-07-02 2002-05-14 Macronix International Co., Ltd. Method of forming MIM capacitor
CN1191611C (zh) * 2002-04-10 2005-03-02 台湾积体电路制造股份有限公司 制作双栅极结构的方法
US6787836B2 (en) * 2002-08-21 2004-09-07 International Business Machines Corporation Integrated metal-insulator-metal capacitor and metal gate transistor
US20050255642A1 (en) * 2004-05-11 2005-11-17 Chi-Wen Liu Method of fabricating inlaid structure

Also Published As

Publication number Publication date
US20060177979A1 (en) 2006-08-10
TW200629422A (en) 2006-08-16
CN1822349A (zh) 2006-08-23
CN100373591C (zh) 2008-03-05
US7163853B2 (en) 2007-01-16

Similar Documents

Publication Publication Date Title
TWI291208B (en) Method of manufacturing a capacitor and a metal gate on a semiconductor device
KR102364667B1 (ko) 통합된 하이 k 금속 제어 게이트들을 갖는 비휘발성 분리형 게이트 메모리 셀들 및 제조 방법
TWI251275B (en) A method of in-situ damage removal-post O2 dry process
JP5091487B2 (ja) 半導体装置の製造方法
TWI298179B (en) Metal oxide semiconductor transistor and method of manufacturing thereof
TW201717398A (zh) 半導體裝置及其製造方法
TWI301655B (en) Method for fabricating semiconductor device
CN109494219B (zh) 集成电路
DE102012106901A1 (de) FinFET und Verfahren zu dessen Herstellung
TW201017776A (en) Method for making a semiconductor device
TWI713147B (zh) 半導體裝置的形成方法
TWI380408B (en) Method for manufacturing a semiconductor device
TW200931589A (en) Semiconductor device and manufacturing method thereof
US7022565B1 (en) Method of fabricating a trench capacitor of a mixed mode integrated circuit
US20080160698A1 (en) Method for fabricating a semiconductor device
KR100667653B1 (ko) 반도체 장치 및 그 제조 방법
TW202303746A (zh) 半導體裝置與其形成方法
TWI362723B (en) Volatile memory and manufacturing method thereof
TWI351735B (en) Memory device and fabrication method thereof
US7544556B1 (en) Process for forming CMOS devices using removable spacers
TW202139368A (zh) 金屬氧化物半導體場效電晶體裝置及其製造方法
JP2006060173A (ja) 半導体装置及びその製造方法
TWI826125B (zh) 具有多層介電質的儲存電容器
KR100732269B1 (ko) 반도체 소자 및 그의 제조 방법
KR20010061785A (ko) 연결 배선과 금속 전극의 쇼트를 방지하기 위한 반도체소자의 제조 방법

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees