TWI278934B - Method of forming a metal layer using an intermittent precursor gas flow process - Google Patents

Method of forming a metal layer using an intermittent precursor gas flow process Download PDF

Info

Publication number
TWI278934B
TWI278934B TW093129692A TW93129692A TWI278934B TW I278934 B TWI278934 B TW I278934B TW 093129692 A TW093129692 A TW 093129692A TW 93129692 A TW93129692 A TW 93129692A TW I278934 B TWI278934 B TW I278934B
Authority
TW
Taiwan
Prior art keywords
substrate
metal layer
gas
forming
layer
Prior art date
Application number
TW093129692A
Other languages
English (en)
Other versions
TW200520099A (en
Inventor
Hideaki Yamasaki
Tsukasa Matsuda
Atushi Gomi
Tatsuo Hatano
Mitsuhiro Tachibana
Original Assignee
Tokyo Electron Ltd
Ibm
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd, Ibm filed Critical Tokyo Electron Ltd
Publication of TW200520099A publication Critical patent/TW200520099A/zh
Application granted granted Critical
Publication of TWI278934B publication Critical patent/TWI278934B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/16Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal carbonyl compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

1278934 · 九、發明說明: 【發明所屬之技術領域】 本,,關=導體之處理,尤有關於在間歇前驅物氣 p由祕金屬刖驅物之熱分解而形成金屬層的。、、 L先前技術】 料fKi屬銅導人用於製造積體電路之多層金屬化機制需要利用 廣,勿/襯裡層(liner),以增進銅層的附著愈=而 隔絶銅與介電材料以避免銅擴散到介電材料中。-亚化子 〔wi積在ί電材料上之阻障物/襯裡層可包含折射材料,如鶴 7早物特性使鎢層適合用於高階以銅為基礎的互广/、擴政 障物/襯裡層之沉積製程。Cc (或更低)之間進行鎢阻 藉著在如氫或魏之還原氣 =二(Γ編1,WF°而軸於基板上在埶二 ΙΞί ί 物之缺點為_化物副產物與鎢層之结合,1二 可用來改盖卜认Α儿二卜鹵素现驅物(如幾基鵁前驅物) 應副產物與埶沉積鎢戶之^人^;的鶴層的材料性質會因C0反 【發明内容】 卜ΐ供:f在基板上形成金屬層的方法,翻藉著在-fr梯 中叹置-基板’使縣板暴露於—還 ^ :私槽 碳基金屬前驅物氣體之脈衝,夢 ^其=二土板暴路於一 灵4暴路純朗具麵欲厚度之金麟形成為止。 1278934、 美拓巾’提供-種在基板上形成金屬方法,該 i it數個微結構,該綠係縣在—製程射設置一基 驅物t基ί暴露於—還原氣體,使該基板暴露於—羰基金屬前 聂脈衝’藉此在該基板上形成一金屬層,以及重複該等 二=二,具有所欲厚度之金屬層形成為止。該方法包含形成 至=一二2金屬層在至少—微結構之底部上具有第—厚度、在 具;:以之側壁上具有第二厚度、且在至少-微結構之頂部 〜在γϊ施例巾,金屬層可形成於基板上,或者,金屬層可形 成於一金屬成核層上。 【實施方式】 〜^ ί為依據本發明-實施例,用於形成金制之處理系統的 間化方塊圖。處理系統⑽包含製程 :槽區域1b、與排氣槽23。圓形開口 μ形成於下槽區域lb的中 央,其中下槽區域lb連接至排氣槽23。 基板支架2設置於f程槽丨内,其驗水平的支持欲處理之 基板(晶圓)50。基板支架2被圓柱狀支持構件3支撐, ,㈣之下方部分的中央往上延伸。驗在基板二;上= 基板5〇之導气:環4設置於基板支架2之邊緣上。此外,基板支架 /、有加…、σσ 5 ’其由電源β所控制且用於加熱基板。加熱器 可為一電阻加熱器。或者,加熱器5可為一燈型加熱器。 在處理過程中,加熱的基板5〇可熱分解w(c〇)6前驅物,且可 使鎢層形成於基板50上。基板支架2被加熱至-預定溫度,該溫 度適合所欲之鎢層沉積於基板5〇上。一加熱器(圖未示)被嵌入 製程槽1之壁中,以加熱槽壁至—預定溫度。此加熱器可維持製 程槽1之壁的溫度在約4〇t:至80t:之間。 、 一,蓬頭10位於製程槽1之上槽區域la中。在蓮蓬頭1〇底部 的蓮蓬頭板10a包含多個氣體輸送孔1〇b,其用於將包含界(⑶、 前驅物的製程氣體輸送至位於基板5〇上方之處理區域6〇中。處 1278934 ,區,60為一由基板直徑與基板50及蓮蓬頭ίο之間的間隔所界 疋之間
開口 10c設於上槽區域ia中,用於將製程氣體由氣體管 導入氣體分散室1(M。設置同心之冷卻劑流動管路1Qe以控制蓮蓬 頭j0的溫度,並藉此避免在蓮蓬頭1〇中w(c〇)6前驅物的分解。 冷^劑流體(如水}可由冷卻劑流體來源1()f被供應至冷卻劑流 動管路10e,以將蓮蓬頭ι〇之溫度控制在約邡它至1〇〇。匸之間。L 氣體管線12將氣體輸送系統300連接至製程槽丨。前驅ς容 态13包含固悲W(C0)6前驅物55,且設置前驅物加熱器i3a來加 熱箣驅物谷為13,以維持w(C0)6前驅物55在一可產生w(C0)6前 驅物之所欲蒸氣壓的溫度。W(c〇)6前驅物55可具有一相當高之蒸 氣壓、’在65 C時Pvap〜1托耳(T〇rr)。因此為了將w(c〇)6前驅物氣 體輸送至製程槽1 ’僅需要溫和的加熱前驅物來源13及前驅物氣 體輸送管、線(如氣體管線12)。此外,w㈣6前驅物不會在低於約 200°C之溫度下熱分解。此可大幅降低w(c〇)6前驅物因為與加熱之 槽壁的互相影響及汽相反應所造成之分解。 、、,在了貝施例巾,W(C0)6前驅物蒸氣可不需要利用載體氣體而 輸送至製私,1 ’或者,可利用載體氣體來促進前驅物輸送至製程 槽卜氣體管線14可由氣體來源15提供載體氣體至前驅物容器 13,並且質量流量控制器⑽,mass fk)wa)nrQller) 16可用 ,控制載㈣體流量。當湘載體氣體時,其可被導人前驅物容 為13的下方部分,以滲透穿過固態w㈣6前驅物55。或者,載 體氣體可導入前驅物來源13中,並且分散於整個嶋w_6前驅 物=的頂部。設置感測器45以測量來自前驅物容器13之總氣體 流夏。舉例來說,感測器45可包含一 MFC,如此輸送至製程槽! 之w(co)6前驅物的量可利用感測器45與肌16來測定。或者, ^測器45可包含-光吸收感測器以測量在氣體流動絲程槽j中 界(0))6前驅物的濃度。 分流管線41位於感測n 45之下游,並將氣體管線12連接至 1278934· H管線24。設置分流管線41係為了使氣體管線12排氣,並户 二的供應_)6前驅物到製程槽卜此外,^ ^ 之下=_ 42設置於分流管線41上。以體&線12分歧 設置加熱1 (圖未示)以分勸σ熱紐管線12、14 ^中可控制氣體管線的溫度以避免W(GQ)6前 /氣 I 2〇〇C^ 1〇〇〇C-^ :^25Ϊ 12。=^!^體管線18由氣體來源19供應至氣體管線 體其H it釋來稀釋製程氣體或調整製程氣體的分壓。氣 祖官線18含有MFC 20與閥21。MFc 16與2〇,以及^ 42受控制器40所控制,苴可遺17 21 14 與稀釋氣體之供應、中斷:、===、=:二氣f〇、 之輸出’控制㈣可透過載 二,版的极里,以得到w(co)6前驅物至製程 旦 Μ衣私心1。冷夺軋體可利用氣體管線64、MFG67、iPiRfiA# ^5^1。控制器‘。可控制還 25用^ΐ、%4 賴23連制毅抽I㈣彻。真空泵 #. ^miaf 4^f;fApc^f control Ιργ) ^ ^ 工 σσ 5 automatic pressure 可且有-评八^7丁器57可與真空泵25串聯使用。真空泵25 達^每秒5(^Γ升ϋtU1*b〇—牆⑶1^ P卿),其具有高 可具有-乾.在處理過財,縣氣^ ^=、统棚
it^^: r" °APC 59 〇 L σσ Λ 之未反麟‘雜㈣與副產物。 杜斗=:,5又置二個基板抬升銷26 (僅示出兩個)以拖 住、升同、與~低基板50。基板抬升鎖26固定至平板27,且可 1278934 ^ίτίίίΐ Ιτ^ΤΐΊ 28 ) iiiti^ff1 ^ 30 mmi^g ^ ® -,i;i 淳,;=;r:處理器 交換二 =統電:中及= ,制,槽1中的壓力之自動壓力控制器59連結並交換資訊 之Ϊ式依據儲存之製程條件被用來控制前述處理系
work™ 500 DELL PRECISI〇N WORKSTATION 610 Texas Dallas^Dell
Corporation 。 用於形成鎢層之處理系統可包含如圖j所示之單一 多曰個ii (ίϊί、统可包含批式(batch) s程槽,其可同時ΐ理 其f 了 ΐ導體基板(㈣晶圓)之外,舉例來說, ;板以i ί板、玻璃基板、或化合物半導體基板。舉例來 叛基金屬刖驅物的熱分解與金屬層的形成主要係藉著⑶移除及 1278934 co副產物由基板酬來進行。co副產物與金屬層之結合可 =基ίί)前完全、_⑽職物由金屬層去除不 f在製程槽中再吸附至金屬層上。co反應副產物 二、孟屬層之結合會提咼金屬層的電阻率,並導致不佳 ί正ΐΐΐ因於在金1層表面及/或金屬層中突起(金屬^粒)的 个止吊生成。 體财^3月1貝、把例中’金屬層在一系列的幾基金屬前驅物氣 夕iPU纪)形成。各個脈衝形成厚度介於約5埃與60埃 气二層。在本發明另一實施例中,在各個羰基金屬前驅物 =脈,怖成之金屬層的厚度可介於約5埃與丨。埃之間 Ϊ fZt射’在多個金屬前驅物氣舰衝之後的總金屬層厚 露於基板在其沉積製程中前驅物氣體僅暴 全屬Uifΐ本ΐ明—實施例,利用間歇前驅物氣流製程形成 f it在步驟204中,使基板暴露於—還原氣 夢此由ί a μ中’使基板暴露於金屬前驅物氣體之脈衝, 屬職物氣體之熱分解在基板上形成金屬層。在步 -田所人厗度尚未達到時,製程返回步驟206。 .驅物“dftt明—實施例’在用於形成金屬層之間歇前 氫;在圖3所示之例子中’包含還原氣體(如 金屬遍。虱)之氣流被建立於製程槽中。接著,羰基 說,時之脈衝以一時間週期250流入製程槽中。舉例來 前驅物視炭基金屬前驅物之反應性、羰基金屬 特及稀釋氣體之稀釋程度、以及處理系統之流量 以間週期25G結束時,藉著潔淨氣體與還原氣體, 日寸間週期咖淨化製程槽。如圖3所示 1278934 速可為固定。或者,可在製程中變化潔淨氣體 氣體與ί釋氣體:包屬前驅物與選擇性的載體 到200 sccm之間。舉==、,技基^屬之流速可介於約0.1 seem 可包含惰性_,如氯、^、、載體知氣體:稀f氣體、與潔淨氣體 施例中,載體氣體流速可條^在本發明之-實 淨氣體流速可介於約5〇 〇 1000 seem之間,潔 介於㈣_與丨_ 咖譲之間,且稀釋氣體可 氣。在本發:;另月一;=:中中::,;=一,氣體,如氣 烷(SiHO、乙软、产(ς· η V 原乳體可已3合矽氣體,如矽 另-實施例中,還Γ原氣1 體6可包以=^右在本發明又 含職體。舉例來說,其包含石朋垸(腦)二ϋ通)式孤之 介^二::^匕^:::實施例中巧原氣體的流速可 在圖3所示之實施例中,沉積週期π。由日丰門柄# 組成。在時間週請的過程中與細 =細氣體淨化製程槽。可重複 :丄J: 欲厚度之金屬層形成於基板上為止。 m川直到所 及八戈適實當驗Hf(ir?es度之金屬層的製程條件可藉著直接實驗 Ϊ tirt gn oi experi嶋〇來決定。舉例來 口可凋正之衣私麥數可包含時間週期250與260之長产、、、设声 溫度)、製健力、製程氣體、與相對氣體流速。可^ 請間週期250與260之長度來最佳化金屬層之厚度鱼 性。在每個沉積週期中,時間週期25〇與26〇之長度可^常數, 1278934 =期250與260之長度在積週期270中可各自〜 -)500 T〇rrlt?,4ir^ 約〇. 2 T。:二另-實:例中’製程槽壓力可小於 6〇〇°c之間,或約靴與識t“基===靴與 之幾寺在一溫度介於35t與45。^=容器中 本毛月貝施例中,在形成金屬;之ϋ* -χ#丨 === 氣流餘在基板上“核 獅“;二二法二 f二,:⑽、 。.⑽之製嶋、與約屑-物氣體、約 剖面本;r 结構上之鶴層的 3。。的型態.。微結構二板: ^吉構,頂部之鎢層的厚度·、在微結構開口頂部展 微結厚度33〇、與在 表I中之嫣層係利用下列製程條件而形成:a)基板溫度約 13 1278934 r、盥之,CVD、製程' b)基板溫度約500°c之間歇前驅物氣流製 基板f度約400°c之間歇前驅物氣流製程。根據a)—c), 二f鶴層之前,先利用包含w(co)6之w(co)6前驅物氣體、載體 二與潔淨氣體氬在基板上沉積—薄鎢成核層。鎢成核層在製 私槽壓力約0.5T〇rr下沉積6〇秒。 在基ί反上形,薄鎢成核層之後,CVD製程a)在基板溫度約500 、班/5 了^丁 ’且藉著使W(C〇)6氣體、載體氣體氬、潔淨氣體氬、與 體氯接觸基板。間歇前驅物氣流製程b)與c)分別在基板溫 又:“⑽與棚^之下進行,且利用120個沉積週期、約〇·〇4 T〇rr 壓力、潔淨氣體氬、還縣體氫、以及包含載體氣體氬之 W(CO)6丽驅物氣體。
表I (b) 間歇前驅物 氣流製程(500 t:) (c) 間歇前驅物 氣流製程(400 t)
顆粒大小 100-350 A 300-350 A 100-150 A (a) CVD (500°C) 表1中的結果顯示由製程條 近的360/320值,此顯示相似之鎢層的突士 螞層岣具有相 度。間歇前驅物氣 14 1278934
流製程b)與c)產生較CVD製程佳之、、回淑、;巨、塞 之,與CVD製程相比,在微結構底部上之"^之 =/320值。換言 利用間歇前驅物氣流製程而大幅改善。3層度可 與c)之340/320值亦改善,此顯示利 十於七溝來說’ W 較佳之鎢層310的相對側壁覆蓋率。用間歇則驅物氣流製程具有 對於製程條件a)- c)來說,鎢声卩彳η SEM顯微照相來觀察。㈣製戶》;烏的顆粒大小藉由 l〇〇A.i 350Λ, 粒大小之範圍從約⑽㈣15Q 貞不鎢顆 ^約300 Α到紐(對於基板温度約\〇gH5〇〇jC ),且 前驅製程成之鎢顆粒具有較⑽製程=大==歇 實施例中’其可小二: 構之深度可大於約!=約ϋ.1微米°在更另-實施例中’微結 ㈣在「實施例中’微結構之縱橫比可大於約3 : 1。在另-每施 里,結構底部上之鶴層厚度可大於約1GA ^ ^大於約50 A。事實上’其可大於約15G A。在—實中 之事t層上厚度 1可大於約1G A。在另—實施例中,其可 、、、、勺bt^A事貝上,其可大於約150 A。 全屬結構底部上之金屬層厚度與鄰接微結構之 例大於約0.1。在另一實施例中,微結構側壁上之 ^屬層厚度與鄰接微結構之金屬層厚度的比例大_ G.丨。在又一 構頂部之金屬層厚度與雜微結構之金屬 予二、'、2、力〇. 7。在另一實施例中,此比例小於約〇. 5。 另前驅物氣流製程之例子中’鶴層在基板溫度4〇〇 -用6G個沉積週期形成於—熱生成之二氧切層上。各 約A,前驅,體^脈衝_約為25秒,且載體氣體氬之流速° 、:seem還原氣體氫之流速約為⑽。藉此製程所形成 15 1278934 ,鎢層厚度約4 389 A,且在每個沉積週期中,平均沉積速率約6· 5 電阻率約為 34. 9 //ohm-on。 前述實施例已具體顯示且已說明了本發明之功能性與結構性 勺、人之目的並且可在不脫離這些原理下進行修改。故,本發明 已含所有在不脫離下述專利申請範圍之精神與範疇下所進行的修 改。 【圖式簡單說明】 ,圖1為依據本發明一實施例,用於形成金屬層之處理系 間化方塊圖;
金本㈣-實關,侧畴前驅減流製程形成 3顯示依據本發明—實施例,相於形成 物氣流製程中之氣流;以及 ^,4顯示依據本發明一實施例’形成鄉微上 广主要元件符號說明】 ^10 100
W 10a10b 10c 製程槽 蓮蓬頭、 處理系統 蓮蓬頭板 氣體輸送孔 開口
10d l〇e10f12 13 13a1415 氣體分散室 冷卻劑流動管路 冷卻劑流體來源 氣體管線 前驅物容器 前驅物加熱器 氣體管線 氣體來源 16 質量流量控制器(MFC) 閥 氣體管線 氣體來源 上槽區域 下槽區域 基板支架 質量流量控制器(MFC) 閥 圓形開口 排氣槽 排氣管線 真空泵 基板抬升銷 平板 驅動機構 槽進料通道 圓柱狀支持構件 閘閥 氣體輸送系統 導引環 控制器 真空抽氣系統 分流管線 閥 感測器 加熱器 基板 處理系統控制器 17 1278934 55 W(CO)6前驅物 57 收集器 59 自動壓力控制器(APC) 6 電源 60 處理區域 200-210 步驟 250 時間週期 260 時間週期 270 沉積週期 300 微結構 310 鎢層 320 鄰接微結構300之鎢層的厚度 330 微結構300底部之鎢層的厚度 340 微結構300之垂直側壁上之鎢層的最小厚度 350 微結構300開口頂部之鎢層的厚度 360 突出於微結構300頂部之鎢層的厚度 370 基板 380 洞或渠溝之平均寬度 390 洞或渠溝之深度
18

Claims (1)

1278934 十、申請專利範圍: 1·種在基板上形成金屬層的方法,該方法包含·· 在二製程槽中設置一基板; 使該基板暴露於一還原氣體; μ使ίίΐ暴露於—縣金屬前驅物之脈衝,藉此在該基板上 形成一金屬層;以及 重m暴露步驟朗具有所欲厚度之金屬層形成為止。 宜中irf入^利範圍第1項之在基板上形成金屬層的方法, 其中專w利^^之^^成金屬層的方法, 一者。 丨N1 M〇、Co、Rh、Re、Cr、與RU中之至少 其中在紐上職金騎的方法, 其^增蝴的方法, 6.根據申請專利範圍第2奴勺美1 SCC=^/con之間。 其中該羰基金屬前驅物氣體更包人^ 》成五屬層的方法, 一者。 3載體氣肢與稀釋氣體中之至少 7·根據申請專利範圍第6頊 其中該載體氣體與稀釋氣體巾之彡成金屬層的方法’ 9. 根據中請專利範圍第6項^二、與亂中之至少一者。 其中該前驅物氣體包含載職體,土:成^屬層的方法, 10 seem與1000 seem之間。ΠΛ載體虱體具有一流速介於約 10. 根據申請專利範圍第6項 法,其中該前驅物氣體包含稀釋;念形成金屬層的方 伟礼體该稀釋氣體具有一流速介 19 1278934 於約10 seem與1000 seem之間。 11·根^申請專利範圍第1項之在基板上形成金屬層的方 法’其中該還原氣體包含含氫氣體、含石夕氣體、含、 氮氣體中之至少一者。 A% /'3 12·根據中請專利範圍第丨丨項之在基板上形成金屬層的方 法’其中該還原氣體包含含氫氣體,該含氫氣體包含氣氣。 1 申請專利範圍第11項之在基板上形成金V層的方 ί Λ1原氣體包含含石夕氣體,該含石夕氣體⑤含魏(咖)、 乙矽烷(Si^)、及二氣矽烷中之至少一者。 木請專利範圍第11項之在基板上形成金屬層的方 ϋιΓ、原乳體包含含领氣體,該含蝴氣體包含硼烷(βΗ3)、 二硼烷、及三硼烷(&Η9)中之至少一者。 * ί中利範圍第11項之在基板上形成金屬層的方 法 法 法 法 者· 包含含氮氣體,該含氮氣體包含氨(肌)。 請專利範圍第11項之在基板上形成金屬層的方 ’ ί 體之流速介於約10 _與麵seem之間。 Λίΐί?專利範圍第1項之在基板上形成金屬層的方 ,更已3使一溧淨氣體暴露於該基板。 圍第17項之祕板上形成金屬層的方 /、T垓冷W尹乳體包含惰性氣體。 i9中專利範圍第18項之在基板上形成金屬層的方 其中賴性氣體包含氬、氦、氖、氪、氣、與氮中之至少一 心i0.中利範圍第17項之在基板上形成金屬層的方 '5 氣體之流速介於約50 seem與1〇〇〇 sccm之間。 心i·中範圍第1項之在基板上形成金屬層的方 ρ/' ^厌土·^屬刖驅物氣體脈衝之長度介於約1秒與500秒 之間° 20 1278934 .根據申請專利範圍第i項之在基板上形成 該ίίΐ屬前驅物氣體脈衝之Μ約為25秒。 .根據申凊專利範圍第W之在基板上形成金屬 基金屬前驅物氣體脈衝之間物 24.根據申請專利範圍第j項之在基板 物氣體脈衝之間的時間 、表甘山ί申凊專利範圍第1項之在基板上形成全屬ΪΓί 法,其中该基板的溫度介於約250它與600 g的方 法/、中该基板的溫度介於約40(TC與5〇〇t之間。s的方 27.根y申請專利範圍第j項之在基板上形曰全 法’ J中該製程槽的壓力介於約〇. 〇1 T〇rr與5 t屬層的方 28·根據申請專利筋ifj镇彳u rr之間。 法,其中該製程槽的壓力小於約0·2Τ〇=形成金屬層的方 法板上形成金屬層的方 法,ί中ft請專利範圍第1項之在基板上形成全屬層的方 的厚度介於所形‘金屬層 法,Ϊ中第1項之在基板上形成金屬層的方 的厚度介於約5 物氣體之脈衝期間所形成之金屬層 至屬層之所欲厚度小於約500A。 法,其中板上形成金屬層的方 一者。 半導體基板、LCD基板、與破螭基板中之至少 21 1278934 、34.根據申請專利範圍第丨項之在基板上形成金屬層的方 法,更包含在該基板上沉積一金屬成核層。 35. 根據申請專利範圍第34項之在基板上形成金屬層的方 法,其中该沉積包含利用一選自於CVD、pECVD、盥pVD 、 一製程。 ,、 36. 根據申請專利範圍第34項之在基板上形成金屬層的方 ^積利用—CVD製程,該⑽製程包含使該基板暴露 於一叛基金屬丽驅物氣體。 37. 5據申請專利範圍第34項之在基板上形成金屬層的方 Torr " CVD 5 ^ CVD 〇. 1 Torr與5 Torr之間的製程槽壓力。 】8一,據申請專概圍第%項之在基板上職金屬層的方 之製程==细—_程,該CVD製_ —約為G. 5 b &,利範圍第1項之在基板上形成金屬層的方 法其中趙板包含複數個微結構,且該方法更包含: 7®声化,5,、屬? ’该金屬層在至少—微結構之底部上具有第- 構之頂部具有—突出:。^上/、有弟—厚度、且在至少-微結 法, 法,i中=1請2範圍第39項之在基板上形成金屬層的方 二iii—微結構之寬度小於約Q.1微米。 法,复中iiCiif圍第39項之在基板上形成金屬層的方 ^甲至乂 一碱結構之深度大於約1微米。 法,請ΐϋ範圍第39項之在基板上形成金屬層的方 Τ°亥至夕一微結構之縱橫比大於約3 : 1。 22 1278934 法,ΐ中項之在基板上形成金屬層的方 法,在基板上形成金屬層的方 法,項之在基板上形成金屬層的方 法二第39項之在·形成金層層的方 大於^ I卜〜雜該至少一微結構之金屬層厚度的比例 法:中第39項之在基板上形成金屬層的方 大於約0.1。子又人” 5玄至少一微結構之金屬層厚度的比例 法,其中圍第39項之在基板上形成金屬層的方 比例小於約度與雜駐少—微結構之金屬層厚度的 法,其中====圍第39項之在基板上形成金屬層的方 比例小於約o.t厚度與鄰接該至少一微結構之金屬層厚度的 23
TW093129692A 2003-09-30 2004-09-30 Method of forming a metal layer using an intermittent precursor gas flow process TWI278934B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/673,646 US6924223B2 (en) 2003-09-30 2003-09-30 Method of forming a metal layer using an intermittent precursor gas flow process

Publications (2)

Publication Number Publication Date
TW200520099A TW200520099A (en) 2005-06-16
TWI278934B true TWI278934B (en) 2007-04-11

Family

ID=34376654

Family Applications (1)

Application Number Title Priority Date Filing Date
TW093129692A TWI278934B (en) 2003-09-30 2004-09-30 Method of forming a metal layer using an intermittent precursor gas flow process

Country Status (6)

Country Link
US (1) US6924223B2 (zh)
JP (1) JP5019430B2 (zh)
KR (1) KR101088931B1 (zh)
CN (1) CN100483637C (zh)
TW (1) TWI278934B (zh)
WO (1) WO2005034224A1 (zh)

Families Citing this family (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
JP3819335B2 (ja) * 2002-07-15 2006-09-06 東京エレクトロン株式会社 成膜方法
US7427426B2 (en) * 2002-11-06 2008-09-23 Tokyo Electron Limited CVD method for forming metal film by using metal carbonyl gas
US20050069641A1 (en) * 2003-09-30 2005-03-31 Tokyo Electron Limited Method for depositing metal layers using sequential flow deposition
US7966969B2 (en) 2004-09-22 2011-06-28 Asm International N.V. Deposition of TiN films in a batch reactor
JP4945937B2 (ja) * 2005-07-01 2012-06-06 東京エレクトロン株式会社 タングステン膜の形成方法、成膜装置及び記憶媒体
US7735452B2 (en) * 2005-07-08 2010-06-15 Mks Instruments, Inc. Sensor for pulsed deposition monitoring and control
US7482269B2 (en) * 2005-09-28 2009-01-27 Tokyo Electron Limited Method for controlling the step coverage of a ruthenium layer on a patterned substrate
US8268078B2 (en) * 2006-03-16 2012-09-18 Tokyo Electron Limited Method and apparatus for reducing particle contamination in a deposition system
US20070237895A1 (en) * 2006-03-30 2007-10-11 Tokyo Electron Limited Method and system for initiating a deposition process utilizing a metal carbonyl precursor
US7691757B2 (en) 2006-06-22 2010-04-06 Asm International N.V. Deposition of complex nitride films
US7867560B2 (en) * 2007-03-28 2011-01-11 Tokyo Electron Limited Method for performing a vapor deposition process
US7629256B2 (en) * 2007-05-14 2009-12-08 Asm International N.V. In situ silicon and titanium nitride deposition
US8053365B2 (en) 2007-12-21 2011-11-08 Novellus Systems, Inc. Methods for forming all tungsten contacts and lines
KR101602517B1 (ko) * 2008-08-04 2016-03-10 에이지씨 플랫 글래스 노스 아메리카, 인코퍼레이티드 Pecvd를 이용한 박막 코팅을 증착하기 위한 플라즈마 소스 및 방법
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
CN102265383B (zh) * 2008-12-31 2014-06-11 应用材料公司 用于沉积具有降低电阻率及改良表面形态的钨膜的方法
US9159571B2 (en) 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US20100267230A1 (en) 2009-04-16 2010-10-21 Anand Chandrashekar Method for forming tungsten contacts and interconnects with small critical dimensions
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
JP5659040B2 (ja) * 2011-02-24 2015-01-28 東京エレクトロン株式会社 成膜方法および記憶媒体
JP5656683B2 (ja) * 2011-02-24 2015-01-21 東京エレクトロン株式会社 成膜方法および記憶媒体
KR102064627B1 (ko) 2012-03-27 2020-01-09 노벨러스 시스템즈, 인코포레이티드 텅스텐 피처 충진
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
JP2015160963A (ja) * 2014-02-26 2015-09-07 東京エレクトロン株式会社 ルテニウム膜の成膜方法および成膜装置、ならびに半導体装置の製造方法
CN107852805B (zh) 2014-12-05 2020-10-16 Agc玻璃欧洲公司 空心阴极等离子体源
MY191327A (en) 2014-12-05 2022-06-16 Agc Flat Glass Na Inc Plasma source utilizing a macro-particle reduction coating and method of using a plasma source utilizing a macro-particle reduction coating for deposition of thin film coatings and modification of surfaces
US10100407B2 (en) * 2014-12-19 2018-10-16 Lam Research Corporation Hardware and process for film uniformity improvement
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
JP6467239B2 (ja) * 2015-02-16 2019-02-06 東京エレクトロン株式会社 ルテニウム膜の成膜方法、成膜装置及び半導体装置の製造方法
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US9721765B2 (en) 2015-11-16 2017-08-01 Agc Flat Glass North America, Inc. Plasma device driven by multiple-phase alternating or pulsed electrical current
US10242846B2 (en) 2015-12-18 2019-03-26 Agc Flat Glass North America, Inc. Hollow cathode ion source
US10573499B2 (en) 2015-12-18 2020-02-25 Agc Flat Glass North America, Inc. Method of extracting and accelerating ions
JP6723128B2 (ja) 2016-09-27 2020-07-15 東京エレクトロン株式会社 ニッケル配線の製造方法
US11348795B2 (en) 2017-08-14 2022-05-31 Lam Research Corporation Metal fill process for three-dimensional vertical NAND wordline
KR102344996B1 (ko) 2017-08-18 2021-12-30 삼성전자주식회사 전구체 공급 유닛, 기판 처리 장치 및 그를 이용한 반도체 소자의 제조방법
KR20200140391A (ko) 2018-05-03 2020-12-15 램 리써치 코포레이션 3d nand 구조체들에 텅스텐 및 다른 금속들을 증착하는 방법
US20200098549A1 (en) * 2018-09-26 2020-03-26 Applied Materials, Inc. Heat conductive spacer for plasma processing chamber
US11761081B2 (en) * 2018-10-10 2023-09-19 Entegris, Inc. Methods for depositing tungsten or molybdenum films
CN109182996B (zh) * 2018-11-05 2020-11-24 中国兵器工业第五九研究所 钨合金涂层制备设备及方法
WO2020123987A1 (en) 2018-12-14 2020-06-18 Lam Research Corporation Atomic layer deposition on 3d nand structures
CN110699663B (zh) * 2019-09-09 2022-11-22 长江存储科技有限责任公司 金属薄膜沉积方法
CN117957636A (zh) * 2021-09-10 2024-04-30 朗姆研究公司 半导体处理期间的处理气体渐变
SE2250842A1 (en) * 2022-07-04 2024-01-05 Canatu Oy A method for operating a chemical vapor deposition process

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5306666A (en) * 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6475276B1 (en) * 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US6551929B1 (en) * 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US6551539B1 (en) * 2000-09-19 2003-04-22 Velcro Industries B.V. Releasable strap
US6878402B2 (en) * 2000-12-06 2005-04-12 Novellus Systems, Inc. Method and apparatus for improved temperature control in atomic layer deposition
US6635965B1 (en) * 2001-05-22 2003-10-21 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US6686278B2 (en) * 2001-06-19 2004-02-03 United Microelectronics Corp. Method for forming a plug metal layer
US6511867B2 (en) * 2001-06-30 2003-01-28 Ovonyx, Inc. Utilizing atomic layer deposition for programmable device
WO2003029515A2 (en) 2001-07-16 2003-04-10 Applied Materials, Inc. Formation of composite tungsten films
US7592256B2 (en) 2001-08-14 2009-09-22 Tokyo Electron Limited Method of forming tungsten film
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US20030157760A1 (en) * 2002-02-20 2003-08-21 Applied Materials, Inc. Deposition of tungsten films for dynamic random access memory (DRAM) applications
US20030203616A1 (en) * 2002-04-24 2003-10-30 Applied Materials, Inc. Atomic layer deposition of tungsten barrier layers using tungsten carbonyls and boranes for copper metallization
JP4126219B2 (ja) 2002-11-06 2008-07-30 東京エレクトロン株式会社 成膜方法
US7192866B2 (en) * 2002-12-19 2007-03-20 Sharp Laboratories Of America, Inc. Source alternating MOCVD processes to deposit tungsten nitride thin films as barrier layers for MOCVD copper interconnects

Also Published As

Publication number Publication date
JP2007507892A (ja) 2007-03-29
KR20060090676A (ko) 2006-08-14
US20050069632A1 (en) 2005-03-31
JP5019430B2 (ja) 2012-09-05
TW200520099A (en) 2005-06-16
KR101088931B1 (ko) 2011-12-01
US6924223B2 (en) 2005-08-02
CN1860587A (zh) 2006-11-08
CN100483637C (zh) 2009-04-29
WO2005034224A1 (en) 2005-04-14

Similar Documents

Publication Publication Date Title
TWI278934B (en) Method of forming a metal layer using an intermittent precursor gas flow process
TWI333234B (en) Integration of ald/cvd barriers with porous low k materials
TW589684B (en) Method for depositing refractory metal layers employing sequential deposition techniques
TWI360167B (en) Method for integrating a ruthenium layer with bulk
TWI571524B (zh) 用於穿孔中選擇性鎢沉積的系統及方法
TW200832558A (en) Method for integrated substrate processing in copper metallization
TWI544548B (zh) 形成使用氧化物襯墊的可流動介電質之方法
TWI313910B (en) Method for forming a barrier/seed layer for copper metallization
US20070054487A1 (en) Atomic layer deposition processes for ruthenium materials
TW201248723A (en) Low temperature silicon oxide conversion
TW200947558A (en) Method for integrating selective low-temperature ruthenium deposition into copper metallization of a semiconductor device
US20070077750A1 (en) Atomic layer deposition processes for ruthenium materials
TW200818318A (en) Integrated process for sputter deposition of a conductive barrier layer, especially an alloy of ruthenium and tantalum, underlying copper or copper alloy seed layer
TW200823309A (en) ALD of metal silicate films
TW201131653A (en) Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
TW201029089A (en) Activated gas injector, film deposition apparatus, and film deposition method
CN109280901A (zh) 钨膜的成膜方法和成膜装置
TWI239571B (en) Structure comprising an interlayer of palladium and/or platinum and method for fabrication thereof
TW201016880A (en) In-situ chamber treatment and deposition process
TW200831699A (en) Low temperature ALD SiO2
TW200947527A (en) Film formation apparatus for semiconductor process and method for using same
TW201205672A (en) Semiconductor device and method of manufacturing semiconductor device
TWI290859B (en) Method for depositing metal layers using sequential flow deposition
JP4889227B2 (ja) 基板処理方法および成膜方法
TW543115B (en) Method and apparatus for forming an interlayer insulating film, and semiconductor device