TWI271803B - Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films - Google Patents

Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films Download PDF

Info

Publication number
TWI271803B
TWI271803B TW094122815A TW94122815A TWI271803B TW I271803 B TWI271803 B TW I271803B TW 094122815 A TW094122815 A TW 094122815A TW 94122815 A TW94122815 A TW 94122815A TW I271803 B TWI271803 B TW I271803B
Authority
TW
Taiwan
Prior art keywords
film
gas
depositing
nitrogen
substrate
Prior art date
Application number
TW094122815A
Other languages
English (en)
Other versions
TW200610057A (en
Inventor
Raymond Joe
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW200610057A publication Critical patent/TW200610057A/zh
Application granted granted Critical
Publication of TWI271803B publication Critical patent/TWI271803B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3125Layers comprising organo-silicon compounds layers comprising silazane compounds

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Carbon And Carbon Compounds (AREA)

Description

1271803 九、發明說明: 【發明所屬之技術領域】 含石夕種基板處理技術,且尤關於-種基板之上的 加強辦餘沈積方法。 膜=半導體產業之中的大量應用。含_薄膜包 =ΐϊ rtr ΐ夕(多晶⑴與蟲日⑽、销晶片(SiGe)、 礙切⑽)、氮切_、碳氮化石夕 積技術各種物理及/或化學沉 :=4ί; Ϊ2ί性質、正在製造之裳置所引起的物 積方法:所及衣造處理有關的成本因素而決練佳的沉 本考量之可為—種能夠滿足恰當的技術要求與成 材料學,沈積(cvd)為積體電路製造時用以沉積 1 ίΓ 物質輸制基板表面且經由-個或更多個 肩ΐ反°。故形成固態膜。典型地選擇有利於產生化學反 子具有足以轉游離碰撞量,因此,選 件(例如處理錢力、氣體流量等等)下的特Ϊ 、、、且氣“產生翻於正在處理室之㈣行的特定之處理的一 5 1271803 群帶電物質與化學反應性物質。 電漿激發通常使薄膜形成反應得以在遠低於 CVD形成類似的薄膜時典型所需之溫度的低溫狀;= 敖發的 外,電漿激發能夠啟動薄膜形成的化學':^。此 f為能量偏轴力傾向。·,可之中 PECVD膜之化學與物理性質在相當大的範圍内變化处。里多數而使 SiN已廣泛地用於半導體裝置而作為鈍化膜 (SiH4) ^3;ί?ΐνηί 使用一虱矽甲烷(SlH2Cl2)與的熱cvd 次 f t,SiH4的爆炸性與腿必的腐谢生,故i須1對處二 .進嚴格的控制且必須小心地處理廢液。又只「广”,木 ’而這與先進裝置處 的=並,。雖然為了沉積SiN膜而以j 二= 基酸)石夕,AS)與六氯二魏(Hc=^ f置性心’::要替代的沉積方法,其必須能夠提供較佳的 衣置、|父小的熱預鼻、及較少的處理系統 【發明内容】 统盘int—i基板之上的含石夕氮膜之低溫電漿加強沉積系 漿社t激發反應氣體、隨後,使被激體ϊ ,體此合、及在化學氣相沈積處理之中從被激發的氣 體〜合物將含矽氮膜沉積在基板之上。 、W j本發明之一實施例中,提供一種基板之上的SiCNH膜之低 j水加強沉積方法。本方法係包括在處理室之中設置基板、在 二二,電浆源之中激發含氮的反應氣體、隨後,使被激發的反應 ^體與魏烧_氣體混合、及在化學氣相沈積處理之中從被激 ^的氣體混合物將SiCNH膜沉積在基板之上。含氮的反應氣體包 括N2、NH3、、NO、或Να、或其中兩個或更多個的組合。 在本發明之另一實施例中,提供一種基板之上的siCN〇H膜 6 1271803 之低溫電漿加強沉積方法。本方法係包括在處理室之中設置美 板、在隔開的電漿源之中激發含氧的反應氣體、隨後,彳2被& 的反應氣體與石夕氨烧前驅氣體混合、及在化學氣相沈積處理之 從被激發的氣體混合物將SiCNOH膜沉積在基板之上。'含氣= 應氣體包括〇2、〇3、氏〇2、氏0、NO、或KO、或其中兩個或更 多個的組合。 ^發明之其它㈣及優點可參照以下之詳細說日狀圖示 『寸圖而更加清楚。在圖式中,相似的參考符號指“ 【實施方式】 圖1顯示根據本發明之一實施例的基板之上 強沉積的批次型處理系統之簡化方塊圖 括,室10與位在其中之處理管25,而處理管^則ί ί ,25將氣體排除到真^玆 ^隔咖斜此t 在垂 係设在旋韓a 26夕l I» 士土 中基板托木35 烈所驅動^軸21之上=/^ ^在貫穿蓋子27且由馬達 高薄膜整體的均勻性、或^在處理_進行旋轉而提 應管25 以將基板托架35傳送進及傳送出反 歧管2的開口端。在、取上端的位置時,就利用蓋子27封閉 r壁部之中形⑶的 7 1271803 批次型處理系統1係包括氣體輸送系統,其包括氣體供應管 線45。使氣體供應管線45連接至石夕氨院氣體源95與隔開^聚 源94。隔開的電漿源係代表位在與處理室遠離之位置處的電漿 源,亦即受處理的基板所在之處理室的外部,故在之後必須將二 激發的氣體輸送到處理室。矽氨烷氣體源95包括液體輸送盥 矽氨烷源。使用液體質流控制器控制流入氣化器之中的熊矽騎' 烧前驅物質材料之流量’而在其中進—步使氣化的 質材料與例如氬(A〇等惰性載子氣體混合。又,若 的蒸氣㈣高的話,則可以利用發泡系統取代惰性载 P高開的電漿源94形成為從反應氣體源96激發反應氣體:= 電漿源94為’例如,微波電襞源,其中微波功率在、約5〇〇 、 至約5,000W之間。微波頻率為,例如,2 45GHz或8 3gHz。 j被激發的反應氣體與來自隔開的電漿源94之下游 ,官線45之中的氣體源95之魏烧前驅氣體混合。接著开; 土^被激,的氣體混合物流人處理室丨。的反應管25之 反本是:在將被激發喊體混合物通入 f ?先使來自隔開的電漿源94之被激發的反應氣 -/、來自_但位在處理室1()内部的電漿源94之下^ 統所示的實施例中,氣體輸^系、 且流,基板彳。之上,私在基板⑽之上崎體混合物 其能夠高達每β、20=;ί二久?咖,包括乾式真空泵浦, ^由麗2調整處理壓力。_器84從處^ 未反應的前驅物質材料與反應副產物。 收木 處理監測系、统92係包含感測器75,能夠進行即 ’歹’如,包含質譜儀(MS)或傅立葉轉換紅外線光譜儀(ϋ)二 8 1271803 與處理系統〗監着理緣1之細、更足以 體源95 * 96、fT門;以啟動。又’使控制器9〇轉合於氣 20 :15 65 ?7〇^ ' ^ 28'^ ^ ^ 訊。可以將㈣,“η # ^真空泵浦系統88,並能夠與其交換資 精密工作站—成美國制奥斯汀市之_公司的戴爾 低、、^ifr根據本發明之另—實施_基板之上的切氮膜之 100皿圓處理系統之簡化方塊圖。處理系統 使基ifuiti處理室110 ’用以安裝支撐基板125且 205 if,自上應上體:應源145的反應氣體流入隔開的電⑽ 隔開的· μ 細彡成為產生激發反應氣體所f的電漿。 為]如’微波電漿源’其中微波功率在約· J —至約5,G(K)W之間。微波頻率為,例如,2.45GHz或 ^雜在隔開的電漿源施之下游,氣體源刚係供應梦氨烧 體與被激發的反應氣體在氣體供應 吕線175的軋體輸达系統之中混合。類似地,如同圖丨所示, 體源⑽係包括液體輸送系統或發泡⑽。接著使所形成之被激 發的氣體混合物215流入處理室π〇之中。使用更包括氧 以未圖示)、-組擋板(未圖示)與多孔之蓮蓬頭氣體注入 之軋體輸迗系統而將被激發的氣體混合物215通入到處理室ιι〇 之中的處理區160。雖然未詳細圖示,但本發明亦可以是:在將被 激發的氣體混合物215通入處理區160之前、就先使來自隔開的 電漿源205之被激發的反應氣體與來自隔開的電漿源2〇5之下游 但位在處理室110内部的氣體源14〇之矽氨烷前驅氣體混合。將 處理室110連接至真空泵浦系統150,其包括渦輪分子真空泵浦 (TMP) ’能夠具有高達約每秒5,〇〇〇公升(及更大)之抽真空速 9 1271803 度、及閘閥,用以控制氣體壓力。 當藉由安裝在基板托架120之内的基板升降銷(未圖示)接 收到基板且藉由安裝在其中之裝置機械性地調動之後,就藉由機 械手臂的基板傳送系統210而經由槽閥(未圖示)及處理室進料 部、(,未圖示)將基板125傳送進及傳送出處理室n〇。一旦從基板 傳送系統210接收到基板125之後,就將基板降低到基板托架12〇 的上表面。
藉由靜電夾鉗(未圖示)將基板125固定在基板托架120。又, ,板托架120係包括加熱器元件13〇且基板托架12〇更包括冷卻 系統1括從基板托架120吸熱且放熱到熱交換系統(未圖示) =再循環的冷媒越。又,將氣體輸送到基板的背面而提高基板 5與基板托架12〇之間的氣隙熱傳導率。在升高或降低基板的溫 度而需要進行溫度控制時,就可以利用此種系統。 控制器155係包括微處理器、記憶體、及能夠產生控制電壓 ^丈位I/O戈皐’而此控制電壓不僅足以監測處理系、統議之輸 ,、更足以與處理系統100之輸人軌並純啟動。又,使控制 Γΐ55^合於處理室110、氣體源140與145、隔開的電漿源205、 ΰ。二、°,根據儲存的處理配方而利用儲存在記憶體 、、私式控制刚迷處理系、统1〇〇之元件。控制器⑸之一實例 為吳國德州奥斯、;Τ市之戴爾公司的_精密玉作站61qTM。、 ㈣:祕特定硬體的許多變化樣態皆可驗實現本 又士 且讀變化樣態為具備本項技藝之-般知識的 盘二二二絲故圖1與圖2之處理系統純屬例示性。圖1 200 5 ^ ^ 200mm基板、3G()mm基板、甚至更大的基板。 圖3為根據本舍明之一實施例的基 心 處理至之中。處理至為,例如,圖1與圖2分別所示之處理室i 1271803 或100的其中一個。基板為,例如,半導體基板,例如Si基板、 含Ge的Si基板、Ge基板、或化合物半導體基板,且包括各種主 動裝置及/或隔離區。 在步驟312,使反應氣體流入隔開的電漿源之中且被激發。在 本土月之κ知例中’反應氣體包括含氮的氣體。在本發明之另 -貫施例中,反應氣體包括含氧的氣體。在步驟314,使被電聚激 應氣體與隔’電漿源之下游㈣氨烧前驅氣體混合。在 ^^16,在化學氣概積處理之巾從被㈣軌體混合物 氮膜沉積在處理室中的基板之上。 之實施例’在隔開的電漿源之中的被激發的反應 if 發的反應氣體與含碎的魏烧前驅氣體之實 ί 進行。此種分開進行對沉積處理、含魏膜的成份、 其7^具有更大的控制。被激發的反應氣體係包括自由 ^冗产而f⑪魏前驅氣體混合時,其得以使基 及控制沉積的含矽氮膜之中的碳含 板妳ΐίίίίΐΐϊ,其使所有的氣體暴露於電漿源,且使基 盆ΐ含珍:本發明之實施例係利用隔開的電漿源, 體並不直接接觸電漿源,而是使與隔開 ϊίΐΐ發的反應氣體混合。因此,基板並不 JStf’,縣係可能對_的_造成破壞。 可雜由錢實驗及,或實驗設計 ΐϊ 整的處理參數包含,例如,隔開的電漿功率、 ί體Ξι。" 1力、反應氣體的種類與梦氨烧氣體、及相關的 含石夕氣膜中’反應氣體包括含氮的氣體且沉積的 ,、_、或其中兩個或更)多==== 11 1271803 吞氣的氣脰之氣體流量在,例如,約sccm至約5 ,前使用_CVD · pe⑽處理所= 造之中的其它薄膜而言,對 “ 二,=由改變處理條件可以調整含魏膜 二ίΐ::力率、基板溫度、處理壓力、反應氣體的種類盥 矽虱j:兀乳體、及相關的氣體流量。 、… 別含例中’沉積的Sic顺膜之中的碳與氫之個 之中的石夕與氮之個別含量。在本發明之另-每 與叙㈣销敵組合含量制、於薄膜i 的含石夕/知例中’反應氣體包括含氧的氣體且沉積 2 02 〇 i ί Ϊ ^氧、及氫(亦即SiCN0H)。含氧的氣體包 合。流到‘將人。二0、或_、或其中兩個或更多個的組 ^ S_H膜係具有作為低k值之層間膜的應 用低的熱預狀與基板的其它_相容之特性。 ,本务明之一實施例中,沉積的&C =係小於薄膜之中⑽與氧之個別含量膜:== 中或^ ί的ί 處理條件包括在約10 mT⑽至約4町⑽ 處理條件更包括在約丨观至約_。〇之間的 石夕氨烧前驅物質係包括_結構單元。有機魏院前驅物質 12 1271803 更包括鍵結到Si原子(或多個Si原子)之至少一個烷基。烷基為, 例如甲基、乙基、丙基、或丁基、或其組合。又,烷基為環烴基, 例如本基。此外’烧基可以是乙烯基。根據本發明之一實施例, 石夕氣烧氣體流量在約1 seem至約500 seem之間。表格1顯示根據 ^發明之實施例的用於沉積含矽氮膜之矽氨烧與有機石夕氨烧化人 表格1 三乙基矽氨烷 三丙基矽氨烷 三苯基矽氨烷 四曱基二矽氨烷 六曱基二矽氨烷 六乙基二石夕氨烧 六苯基二石夕氨烧 七曱基二矽氨烷 二丙基-四甲基二矽氨烷 二-η-丁基-四曱基二石夕氨烧 二-η-辛基-四甲基二矽氨烷 一^基"二曱基壤二碎氨烧 六曱基環三石夕氨烧 六乙基環三矽氨烷 六苯基環三石夕氨烧 八曱基環四矽氨烷 八乙基環四矽氨烧 四乙基_四曱基環四石夕氨烧 氰丙基曱基矽氨烷 四苯基二甲基二矽氨烷 亡苯基-四曱基二矽氨烷 二乙烯-三曱基環三矽氨烷
SiC6H17NS1C9H23N SiC18H17NSi2C4Hi5]S[ ^Ϊ2〇12Η31ΚSi2C36H31isf 8ΐ2〇7Η21Ν Si2C10H27K
^ί2〇2〇Η47ΚS12C9H27N3 ^13〇6Η2ιΝ3Si3Ci2H33K[3Si3C36H33N3 Si4C8H28K4Si4C16H44K4 Si4C12H36H4SiC5H10N2 Si2C26H27K Si2C16H23H Si3C9H21N3 13 上271803 四四甲基環四石夕氨烧 甲基二矽氨烷
si4C12H28N4 Si2C8H19N 膜之低溫電板之上的含石夕氮 厨驅物質
B
OH c H3〜一s卜一沖一 g [
CH 及三前驅物㈣包括Si_N_Si結構單元 2〇 Torr H f基°前驅物質為在2叱具有約 用於半導體製造之中係f為處理氣體而已 性而降低底層氧化層的含水量ΓΗΜΠ$ 由提商表面斥水 與處理;有以下優點··獅s前驅物質 且其產=、二 1:易處理,觸前驅物質為低成本, 麻虽f然以上已詳細說明本發明之特定例示性實施例,作孰朵本 3 ti人士應清楚理解:只要在不脫離本發明之‘的教= 、月況下,可以對上述例示性實施例進 y 本發明之觀射包括财可能之樣能。"口此 【圖式簡單說明】 ~ 批―加剌基板之上的含魏膜之低 / 皿电I加強》儿積的批次型處理系統之簡化方塊圖。 低基板之上的切氮膜之 低/皿电水加強此和的早一晶圓處理系統之簡化方塊圖。 圖3為根據本發明之一實施例的基板之上的含石夕氮膜之低溫 14 1271803 電聚加強沉積的流程圖。 【主要元件符號說明】 1 批次型處理系統 10、110 處理室 15、20、65、70 加熱器 100 處理系統 105 基座 120、35 基板托架 125 > 40 基板(或晶圓) 130 加熱器元件 140、145、95、96 氣體源 150 真空泵浦系統 155、90 控制器 160 處理區 165 氣體注入板 175、45 氣體供應管線 2 歧管 21 轉軸 22 升降器 23 上端 24 下端 25 處理管 26 旋轉台 27 蓋子 28 馬達 205、94 電漿源 210 基板傳送系統 215 氣體混合物 30 熱反射器 15 1271803 300 沉積方法 310、 312、314、316 步驟 46 氣體注入系統 47 孔部 75 感測器 80 排氣管 82 自動壓力控制器 (APC) 84 陷阱器 86 真空泵浦 88 真空栗浦系統 92 處理監測系統 16

Claims (1)

1271803 十、申請專利範圍: 1.一種含矽氮膜的沉積方法,包含以下步驟: 一基板的設置步驟,在一處理室之中設置一其板. 一反應氣體的激發步驟,在與處理室^開的^漿源之中激發 一反應氣體; 混合倾、,在反應氣體崎發步驟之後,使被激發的反應 氣體兵-石錢烧前驅氣體混合而形成_被激發的氣體混合物;及 ->儿積步驟,在-化學氣相沈積處理中,從被激發的氣體混 • 合物將一含矽氮膜沉積在處理室之中的該基板之上。 • 2·如申請專利範圍第丨項之含魏膜的沉積方法,其中該基板係包 含Si基板、含Ge的Si基板、Ge基板、或化合物半導體基板。 3·如申請專利範圍第1項之含矽氮膜的沉積方法,其中該反應氣體 係包含一含氮的氣體,包括N2、NH3、AH2、NO、或N20、或其 中兩個或更多個的組合。 ^ 4·如申請專利範圍第3項之含矽氮膜的沉積方法,其中該沉積的膜 之中的碳與氫之個別含量係小於膜中的石夕與氮之個別含量。 •籲 5.如申請專利範圍第3項之含矽氮膜的沉積方法,其中該沉積的膜 • 之中的碳與氫之組合含量係小於膜之中的石夕與氮之組合含量。 6·如申請專利範圍第3項之含矽氮膜的沉積方法,更包含一含氮的 氣體的輸送步驟,將流量在約10 seem至約5,000 seem之間的一 含氮的氣體輸送到電漿源。 7·如申請專利範圍第1項之含矽氮膜的沉積方法,其中反應氣體係 包含一含氧的氣體,包括〇2、〇3、H202、H2〇、NO、或n2〇、或 17 1271803 其中兩個或更多個的組合。 8·如申晴專利範圍第7項之含矽氮膜的沉積方法,豆 之中的碳與氫之個別含量係小於薄膜之中的石夕與氧之姻联
10.如申請專利範圍第7項之含矽氮膜的沉積方法,更包含一人— 的氣體的輸送步驟,將流量在約10sccm至約5,〇〇〇sccm之7 一含氧的氣體輸送到電漿源。 B勺 =·如申請專利範圍第1項之含矽氮膜的沉積方法,其中該矽 前驅氣體係包含一有機矽氨烷前驅物質。 * 70 =·如申請專利範圍第1項之含矽氮膜的沉積方法,其中該矽氨户 剞驅氣體係包含三乙基石夕氨烧、三丙基石夕氨烧、三苯基石夕氨垸、 氨烷、四曱基二矽氨烷、六曱基二矽氨烷、六乙基二矽氨烷、 /、本基—石夕氨烧、七曱基二石夕氨烧、二丙基_四曱基二石夕氨烧、二 _ _n_丁基_四曱基二矽氨烷、二-η-辛基_四甲基二矽氨烷、三乙基三 曱基環三矽氨烷、六曱基環三矽氨烷、六乙基環三矽氨烷、六^ 基環三矽氨烷、八曱基環四矽氨烷、八乙基環四矽氨烷、四乙基 四甲基環四矽氨烷、氰丙基甲基矽氨烷、四苯基二甲基二矽氨燒 二苯基四甲基二矽氨烷、三乙烯三曱基環三矽氨烷、四乙烯_四甲 基環四石夕氣烧、或二乙烯四甲基二石夕氨烧、或其中兩個或更多個 的組合。 13·如申請專利範圍第1項之含矽氮膜的沉積方法,其中使矽氨烷 氣體與流量在約1 sccm至約500 seem之間的被激發的反應氣體混 18 1271803 合0 14·如申請專利範圍第1項之含矽氮膜的沉積方法,更包含一操作 步驟,在約lOmTorr至約400Torr之間的氣體壓力下操作該^理 15.如申請專利範圍第1項之含矽氮膜的沉積方法,更包含一加熱 步驟’將基板加熱到約150°C至約600。〇之間。
16·如申請專利範圍第1項之含矽氮膜的沉積方法 氣體混合物更包含一惰性氣體。 ’其中被激發的 Π.如申請專利範圍第16項之含矽氮膜的沉積方法,其中輸量 在約5 seem至約20,000 seem之間的惰性氣體。 、 I8·如申請專利範圍第1項之含石夕氮膜的沉積方法, 置步驟係包含在-批次型處理室之中放置片之間土的基板。 19.如申請專利翻第i項之含魏臈的沉積方法,i 置步驟係包含在單一晶圓處理室之中放置一基板。,、土板勺口又 2〇.如申請專概圍第1項之含魏_沉積 人 =含舰激反錢雜賴社下_錢鮮 ’其中含矽氮膜 ’其中反應氣體 21·如申請專利範圍第丨項之含矽氮膜的沉積 為側壁膜、阻障膜、钱刻終止膜、或低k值膜。 22·如申請專利範圍第1項之含矽氮膜的沉積方法 19 1271803 的激發步_包含在_的微波鎌源之巾以電漿激發反應氣 體0 23·—種SiCNH膜的沉積方法,包含以下步驟: 一基板的设置步驟,在一處理室之中設置一基板; 一含氮的反應氣體的激發步驟,在與處理室隔開的電漿源之 中激發一含氮的反應氣體; 一,合步驟,在含氮的反應氣體的激發步驟之後,使被激發 的反應氣體與’氨烧前驅氣體混合而形成—被激發的氣體混合 物;及
一沉積步驟,在一化學氣相沈積處理中 合物將一 SiCNH膜沉積在基板之上。 ,從被激發的氣體混 24·如申請專利範圍第23項之SiCNH膜的沉積方法,豆中SiCNH ,之中的碳與氫之個別含量係小於薄膜之中的矽與氮^個別含
26. 如申請專利範圍第μ項之Sic丽膜的沉積方法, 包括N2、丽3、耶2、N0、或N20、或其中兩個:戈更 27. ,申請專利範圍第23;貝之沉丽膜的沉積方法,其 j胰之中的碳與氫之組合含量係小於該膜中的矽與氮之組合含貝 28.如申請專利範圍第23項之SiCNH膜的沉積方法,更包含一含 20 1271803 氮的反應氣體的輸送步驟,將流量在約1〇sccm至約5,⑻〇sccm 之間的一含氮的反應氣體輸送到電漿源。 29j〇申請專利範圍第a項之沉而膜的沉積方法,其中該石夕氨 烧鈿驅氣體係包含一有機石夕氨烧前驅物質。 30f申請專利範圍第23項之沉顺膜的沉積方法,其中該 烧前㈣包含三乙基梦魏、三丙基魏烧、三苯基梦氨烧、 烧、四曱基二石夕氨烧、六甲基二魏烧、六乙基二石夕氨燒、 八本基一矽氨烷、七甲基二矽氨烷、二丙基-四甲基二矽氨烷、二 -η-丁,-四甲基二矽氨烷、二_n_辛基_四甲基二矽氨垸、三乙基三 Ιί環,ί燒、六甲基環三魏烧、六乙基環三發氨炫、六苯 基衣二矽虱烷、八甲基環四矽氨烷、八乙基環四矽氨烷、四乙 四四魏烧、氰丙基甲基魏烧、四苯基二甲基二石夕氨炫、 j基·四甲基二魏烧、三乙烯三甲基環三魏垸、四乙稀四甲 四魏烧、或二乙烯四曱基二碎氨烧、或其中兩個或更多個 3^^申請專利範圍第23項之SiCNH膜的沉積方法’其中使該矽 ,· $燒氣體與流量在約丨secm^,⑺咖之間的被激發的反應氣 體混合。 32·如申請專利範圍第;23項之SiCNH膜的沉積方法,更包含一操 作f驟,在約l〇mTorr至約400T〇rr之間的氣體壓力下操作該^ 理室。 33·如申請專利範圍第23項之SiCNH膜的沉積方法,更包含一力π 熱步驟’將基板加熱到約150°C至約600°C之間。 21 1271803 34·如申請專利範圍第23項之SiCNH膜的沉積方法,其中該被激 号X的氣體混合物更包含一惰性氣體。 =·如申請專利範圍第34項之SiCNH膜的沉積方法,其中該惰性 氣體係以約5 seem至約20,000 seem之間的流量被輸送。 36·如申請專利範圍第23項之siCNH膜的沉積方法,其中該基板 的設置步驟係包含在一批次型處理室之中放置〗至2〇〇片的基板。 m 37.如申請專利範圍第23項之SiCNH膜的沉積方法,其中該基板 售的設置步驟係包含在單一晶圓處理室中放置一基板。 38·如申請專利範圍第23項之SiCNH膜的沉積方法,其中該混合 步驟係包含使被激發的反應氣體與電漿源之下游的矽氨烷氣體混 合。 ” 3j·如申請專利範圍第23項之SiCNH膜的沉積方法,其中該含矽 氮膜為侧壁膜、阻障膜、钱刻終止膜、或低k值膜。 肇40.—種SiCNOH膜的沉積方法,包含以下步驟: 一基板的設置步驟,在一處理室之中設置一基板; • 一含氧的反應氣體的激發步驟,在與處理室隔開的電漿源之 中激發一含氧的反應氣體; -混合步驟,在含氧的反聽體的激發㈣之後,使被激發 的反應氣體與-石夕氨烧前驅氣體混合而形成一被激發的氣體混合 物;及 -沉積步驟’在-化學氣相沈積處理巾,從被激發的氣體混 合物將一 SiCNOH膜沉積在基板之上。 22 1271803 41.如申請專利範圍第4〇項之SiCNOH膜的沉積方法,其中該基 板包含Si基板、含Ge的Si基板、Ge基板、或化合物半導體基 42_如申請專利範圍第40項之SiCN0H膜的沉積方法,豆中該反 應氣體包含-含氧的氣體,包括〇2、〇3、H2〇2、h2〇 /N〇 :"或 N2〇、或其中兩個或更多個的組合。 ’ 43. 如=請專利範圍第40項之siCN〇H膜的沉積方法,其中該沉 積的膜之中的碳與氫之個別含量係小於該膜之中的矽盥氧之個別 ’ 含量。 睿 44. 如,請專利範圍第4〇項之SiCNOH膜的沉積方法,其中該沉 積^膜之中的碳與氫之組合含量係小於該膜之中的矽與氧之组人 含量。 /、 '> cr 申請專利範圍第40項之siCN〇H膜的沉積方法,更包含一 含氧的反應氣體的輸送步驟,將流量在約1〇 sccm至約5,〇〇〇 sccm 之間的一含氧的反應氣體輸送到電漿源。 ’ ,鲁請專利範圍第40項之sicN〇H膜的沉積方法,其中該矽 氦烷刖驅氣體包含一有機矽氨烷前驅物質。 t7·^!請專利範圍第40項之SiCN〇H膜的沉積方法,其中該矽 ,烧禮物質係包括三乙基魏烧、三丙基魏烧、三苯基石夕氨 ,二二矽氨烷、四曱基二矽氨烷、六甲基二矽氨烷、六乙基二矽 ,烷二六苯基二矽氨烷、七曱基二矽氨烷、二丙基·四曱基二矽氨 少元 11 丁基-四甲基二石夕氨烧、二辛基_四甲基二梦氨烧、三 二I,環三石夕氨烧、六曱基環三石夕氨烧、六乙基環三石夕氨烧、 八本基環二矽氨烷、八曱基環四矽氨烷、八乙基環四矽氨烷、四 23 1271803 乙基四甲基環四矽氨烷、氰丙基T基矽氨烷、四苯基二甲美一 氨烷、二苯基-四甲基二矽氨烷、三乙烯三甲基環三矽氨烧= 烯-四甲基環四矽氨烷、或二乙烯四甲基二矽氨烷、或其中兩個 更多個的組合。 >Λ ^ 48.如申請專利範圍第40項之SiCNOH膜的沉積方法,其中使該 石夕氣烧氣體與流量在約1 seem至約500 seem之間的被激發的反應 氣體混合。 • 49·如申請專利範圍第40項之SiCNOH膜的沉積方法,更包含一 •φ操作步驟,在約lOmTorr至約400Torr之間的氣體壓力下操作該 處理室。 μ 50·如申請專利範圍第40項之SiCNOH膜的沉積方法,更包含一 加熱步驟,將基板加熱到約15〇°C至約600°C之間。 51·如申請專利範圍第4〇項之SiCNOH膜的沉積方法,其中該被 激發的氣體混合物更包含一惰性氣體。 52=申請專利範圍第51項之SiCNOH膜的沉積方法,其中該惰 ' 性氣體在約5 seem至約20,000 seem之間的流量被輸送。 53·如申請專利範圍第4〇項之siCN〇H膜的沉積方法,其中該基 板的設置步驟係包含在一批次型處理室之中放置1至2〇〇片之間 的基板。 54·如申請專利範圍第4〇項之siCN〇H膜的沉積方法,其中該基 板的設置步驟係包含在單一晶圓處理室之中放置一基板。 24 1271803 55·如申請專利範圍第40項之SiCNOH膜的沉積方法,其中該混 合步驟係包含使被激發的反應氣體與電漿源之下游的石夕氨烧氣 混合。 兀氺-=如申請專利範圍第40項之SiCN0H 膜為阻障膜、钱刻終止膜、或低k=。
25
TW094122815A 2004-07-14 2005-07-06 Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films TWI271803B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/891,301 US7129187B2 (en) 2004-07-14 2004-07-14 Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films

Publications (2)

Publication Number Publication Date
TW200610057A TW200610057A (en) 2006-03-16
TWI271803B true TWI271803B (en) 2007-01-21

Family

ID=35197702

Family Applications (1)

Application Number Title Priority Date Filing Date
TW094122815A TWI271803B (en) 2004-07-14 2005-07-06 Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films

Country Status (4)

Country Link
US (1) US7129187B2 (zh)
JP (1) JP2008507130A (zh)
TW (1) TWI271803B (zh)
WO (1) WO2006019438A2 (zh)

Families Citing this family (81)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4179311B2 (ja) * 2004-07-28 2008-11-12 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4228150B2 (ja) * 2005-03-23 2009-02-25 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US7384861B2 (en) * 2005-07-18 2008-06-10 Texas Instruments Incorporated Strain modulation employing process techniques for CMOS technologies
US7657143B2 (en) * 2005-10-07 2010-02-02 Novatronix Corporation Method for improving refractive index control in PECVD deposited a-SiNy films
JP4929811B2 (ja) * 2006-04-05 2012-05-09 東京エレクトロン株式会社 プラズマ処理装置
US7902080B2 (en) * 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US7790634B2 (en) * 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US20070277734A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7498273B2 (en) * 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US7825038B2 (en) * 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
WO2007145075A1 (ja) * 2006-06-16 2007-12-21 Toray Engineering Co., Ltd. シリコン系薄膜及びシリコン系薄膜の形成方法
US8232176B2 (en) * 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
DE102006035563A1 (de) * 2006-07-27 2008-01-31 Kimes, Karin Silan freie plasmagestützte CVD-Abscheidung von Siliziumnitrid als anti-reflektierendem Film und zur Wasserstoffpassivierung von auf Siliziumwafern aufgebauten Photozellen
US7718553B2 (en) * 2006-09-21 2010-05-18 Asm Japan K.K. Method for forming insulation film having high density
US20080207007A1 (en) * 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
JP2008300444A (ja) * 2007-05-29 2008-12-11 Hitachi Kokusai Electric Inc 半導体製造装置
US7781352B2 (en) * 2007-06-06 2010-08-24 Asm Japan K.K. Method for forming inorganic silazane-based dielectric film
US7745352B2 (en) * 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
US7803722B2 (en) * 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7943531B2 (en) * 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
US8357435B2 (en) * 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US20110254078A1 (en) * 2008-09-30 2011-10-20 Tokyo Electron Limited Method for depositing silicon nitride film, computer-readable storage medium, and plasma cvd device
US20100081293A1 (en) * 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
US8580993B2 (en) * 2008-11-12 2013-11-12 Air Products And Chemicals, Inc. Amino vinylsilane precursors for stressed SiN films
US8765233B2 (en) * 2008-12-09 2014-07-01 Asm Japan K.K. Method for forming low-carbon CVD film for filling trenches
US8889235B2 (en) 2009-05-13 2014-11-18 Air Products And Chemicals, Inc. Dielectric barrier deposition using nitrogen containing precursor
US8511281B2 (en) * 2009-07-10 2013-08-20 Tula Technology, Inc. Skip fire engine control
US8980382B2 (en) * 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) * 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US7935643B2 (en) * 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US7989365B2 (en) * 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US20110136347A1 (en) * 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
US8449942B2 (en) * 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
JP2013516763A (ja) 2009-12-30 2013-05-13 アプライド マテリアルズ インコーポレイテッド フレキシブルな窒素/水素比を使用して生成されるラジカルを用いる誘電体膜成長
US20110159213A1 (en) * 2009-12-30 2011-06-30 Applied Materials, Inc. Chemical vapor deposition improvements through radical-component modification
US8329262B2 (en) * 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
SG182336A1 (en) * 2010-01-06 2012-08-30 Applied Materials Inc Flowable dielectric using oxide liner
CN102714156A (zh) 2010-01-07 2012-10-03 应用材料公司 自由基成分cvd的原位臭氧固化
KR101853802B1 (ko) * 2010-03-05 2018-05-02 어플라이드 머티어리얼스, 인코포레이티드 라디칼­성분 cvd에 의한 컨포멀 층들
US8236708B2 (en) 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
US8476142B2 (en) 2010-04-12 2013-07-02 Applied Materials, Inc. Preferential dielectric gapfill
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US20130217240A1 (en) * 2011-09-09 2013-08-22 Applied Materials, Inc. Flowable silicon-carbon-nitrogen layers for semiconductor processing
US20130217243A1 (en) * 2011-09-09 2013-08-22 Applied Materials, Inc. Doping of dielectric layers
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
TWI522490B (zh) 2012-05-10 2016-02-21 應用材料股份有限公司 利用微波電漿化學氣相沈積在基板上沈積膜的方法
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9643844B2 (en) 2013-03-01 2017-05-09 Applied Materials, Inc. Low temperature atomic layer deposition of films comprising SiCN or SiCON
WO2014158448A1 (en) * 2013-03-14 2014-10-02 Applied Materials, Inc. Enhancing uv compatibility of low k barrier film
US10297442B2 (en) * 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US9245740B2 (en) 2013-06-07 2016-01-26 Dnf Co., Ltd. Amino-silyl amine compound, method for preparing the same and silicon-containing thin-film using the same
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
TW201610204A (zh) 2014-07-26 2016-03-16 應用材料股份有限公司 矽碳氮氧化物的低溫分子層沉積
US9490116B2 (en) * 2015-01-09 2016-11-08 Applied Materials, Inc. Gate stack materials for semiconductor applications for lithographic overlay improvement
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US9799511B2 (en) 2015-05-02 2017-10-24 Applied Materials, Inc. Methods for depositing low k and low wet etch rate dielectric thin films
US10395918B2 (en) * 2015-05-22 2019-08-27 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for controlling plasma in semiconductor fabrication
CN109790036B (zh) * 2016-09-28 2022-11-04 美国陶氏有机硅公司 氯二硅氮烷
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
JP6767257B2 (ja) * 2016-12-22 2020-10-14 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
KR20220056249A (ko) 2018-10-19 2022-05-04 램 리써치 코포레이션 갭 충진 (gapfill) 을 위한 도핑되거나 도핑되지 않은 실리콘 카바이드 증착 및 원격 수소 플라즈마 노출
KR20230170130A (ko) * 2018-12-20 2023-12-18 어플라이드 머티어리얼스, 인코포레이티드 개선된 가스 유동을 처리 챔버의 처리 용적에 공급하기 위한 방법 및 장치

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4863755A (en) 1987-10-16 1989-09-05 The Regents Of The University Of California Plasma enhanced chemical vapor deposition of thin films of silicon nitride from cyclic organosilicon nitrogen precursors
JPH02310372A (ja) * 1989-05-25 1990-12-26 Sony Corp 光反応装置
FR2701492B1 (fr) 1993-02-10 1996-05-10 Univ Lille Sciences Tech Procédé pour déposer une couche mince sur un substrat par plasma froid différé d'azote.
FR2713389B1 (fr) 1993-12-03 1996-01-26 Europ Composants Electron Procédé de fabrication de composant de type empilé.
FR2718155B1 (fr) 1994-04-05 1996-04-26 Europ Composants Electron Procédé de dépôt de diélectrique et/ou de métal sur un substrat.
FR2718154B1 (fr) 1994-04-05 1996-04-26 Europ Composants Electron Procédé de dépôt de diélectrique et/ou de métal sur un substrat.
JP3910734B2 (ja) * 1997-12-03 2007-04-25 ジェームス・ダブリュー・ミッツェル 表面処理方法
KR100533198B1 (ko) * 2000-08-18 2005-12-05 동경 엘렉트론 주식회사 저유전성 질화규소막 및 그 형성 방법, 반도체 장치 및 그제조 방법
US20040253777A1 (en) 2001-08-30 2004-12-16 Hidenori Miyoshi Method and apparatus for forming film
US6790788B2 (en) * 2003-01-13 2004-09-14 Applied Materials Inc. Method of improving stability in low k barrier layers
JP4329403B2 (ja) 2003-05-19 2009-09-09 東京エレクトロン株式会社 プラズマ処理装置
JP4119791B2 (ja) * 2003-05-30 2008-07-16 サムコ株式会社 カソードカップリング型プラズマcvd装置を用いた炭素含有シリコン系膜の製造方法

Also Published As

Publication number Publication date
JP2008507130A (ja) 2008-03-06
US20060014399A1 (en) 2006-01-19
US7129187B2 (en) 2006-10-31
WO2006019438A3 (en) 2006-07-13
WO2006019438A2 (en) 2006-02-23
TW200610057A (en) 2006-03-16

Similar Documents

Publication Publication Date Title
TWI271803B (en) Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films
JP4960276B2 (ja) ケイ素含有膜の周期的プラズマ化学気相堆積
KR101193628B1 (ko) 저온 실리콘 화합물 증착
TWI270579B (en) Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides
JP6106278B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
US7531467B2 (en) Manufacturing method of semiconductor device and substrate processing apparatus
US20030232501A1 (en) Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
US20050271813A1 (en) Apparatuses and methods for atomic layer deposition of hafnium-containing high-k dielectric materials
TW201041036A (en) Semiconductor device manufacturing method and substrate processing apparatus
TWI706957B (zh) 碳矽烷與氨、胺類及脒類之觸媒去氫耦合
TWI765950B (zh) 一種包含第4族過渡金屬前驅體之含第4族過渡金屬之成膜組成物、一種在基板上沉積含第4族過渡金屬之膜之方法、以及一種在基板上沉積形成含第4族過渡金屬之保形膜之方法
TW201125041A (en) High mobility monolithic p-i-n diodes
TW200832551A (en) Film formation method and apparatus for semiconductor process
TW201250899A (en) Method of manufacturing semiconductor device and method of processing substrate and substrate processing apparatus
TW201202466A (en) Organoaminosilane precursors and methods for depositing films comprising same
CN101529599A (zh) 用于栅极叠层结构的集群顺序处理的方法
TW201111540A (en) Boron film interface engineering
JP2008502805A (ja) 多成分誘電体膜を形成するためのシステム及び方法
TW200927981A (en) Preparation of metal-containing film via ALD or CVD processes
TW200910452A (en) Methods for depositing a high-k dielectric material using chemical vapor deposition process
TW201833128A (zh) 用於ald及cvd薄膜沉積之釕前驅物及其用法
KR102415780B1 (ko) Pe-ald에 의한 유기 공동-반응물과 함께 규소 전구체를 사용한 규소-기반 필름에의 탄소 및/또는 질소 합체
TW201213589A (en) Methods for forming tungsten-containing layers
TW200540291A (en) Precursors for deposition of silicon nitride, silicon oxynitride and metal silicon oxynitrides
TWI378499B (en) Method for passivating at least a part of a substrate surface

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees