TWI253117B - Atomic layer deposition methods of forming silicon dioxide comprising layers - Google Patents

Atomic layer deposition methods of forming silicon dioxide comprising layers Download PDF

Info

Publication number
TWI253117B
TWI253117B TW093127330A TW93127330A TWI253117B TW I253117 B TWI253117 B TW I253117B TW 093127330 A TW093127330 A TW 093127330A TW 93127330 A TW93127330 A TW 93127330A TW I253117 B TWI253117 B TW I253117B
Authority
TW
Taiwan
Prior art keywords
rate
chamber
substrate
inert gas
oxidant
Prior art date
Application number
TW093127330A
Other languages
English (en)
Other versions
TW200518225A (en
Inventor
Li Li
Weimin Li
Gurtej S Sandhu
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Publication of TW200518225A publication Critical patent/TW200518225A/zh
Application granted granted Critical
Publication of TWI253117B publication Critical patent/TWI253117B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Description

1253117 九、發明說明: 【發明所屬之技術領域】 本發明係關於一種形成二氧化矽構成層之原子層沈積之 方法。 【先前技術】 積體電路製造中之半導體處理包括半導體基板上的層之 沈積。例示性方法包含化學氣相沈積(CVD)及原子層沈積 (ALD)。C VD及ALD可在腔室中進行,該等腔室將單個基板 固定在晶圓固持器或晶座上。亦可利用含多個基板之腔 室。一般將一種或多種前驅體氣體提供至腔室中之蓮蓬 頭,该蓮蓬頭意欲均一地反應物氣體大體上均質地提供在 基板之外部表面上。該前驅體反應或其它表明於基板上之 適合層的沈積。可利用或可不利用電萦增強。若利用電裝 k強可直接在腔至内或其运處產生及維持電漿。 在半導體製造中通常利用之絕緣或介電材料為二氧化 〇 【發明内容】 本發明包含形成二氧切構成層之原子層沈積之方法。 在一實施例中,將一基板定位於一沈積腔内。在有效使用 化學方法吸附含矽之第一物質單層至該基板之條件下,使 二甲基料流人腔室且使第-惰性氣體流人腔t。該p 惰性氣體以第-速率流動。在形成第一物質單層之後,在 使氧化劑與用化學方法吸附之第-物質有效反應,且在該 基板上形成-含二氧化石夕之單層之條件下,使氧化劑流入 95854.doc 1253117 該腔室,且使第二惰性氣體流入該 以低於第-速率之第二速率流 f -弟-惰性氣體 匕勒。3玄a) ^曱基石々p— 惰性氣體流動及該b)氧化劑;给 //兀及弟一 第二惰性氣體流動係連續會 複的,以在該基板上有效形成二氧切構成層/、、’、 在-實施例中,在基板上^ 沈積之方法包含在沈積腔内定位石夕構成層之原子層 方法吸附含矽之第一物質單芦:反纟有效使用化學 曱基矽烷流入該腔室。在形成 % 一 化劑與化學方法吸附之第一物 设在使乳 0^ ^ 、有效反應,且在該基板上 形成一含一乳化矽之單層夕 早層之條件下,使氧 室,且使第二惰性氣μ冷λ斗 W机八d月工 、/;,'〜腔室。該氧化劑以至少2000 seem之流動速率流進腔室。 .^ ^ ^ ^ °亥二甲基矽烷及氧化劑流動物 係連績重稷的,以在該某扭 有效形成二氧化秒構成声。 涵蓋其它實施例及態樣。 【實施方式】 出於美國專利法"促進科學及有用技術之進 , 第八部分)之擁護憲法之目的,提交本發明所揭示之内:。 =明包含在-基板上(例如在一半導體基板上)形成二 爾構成層之原子層沈積之方法。在該文件之上下文 中:語,’半導體基板”或,,半導電基板”^義為意味含半導 體丰莫:任何構造,其包含但不限於諸如半導電晶圓之表 -、電才才料(單獨地或其上含其它材料之組合)及半導電 2層(早獨地或與其上含其它材料之組合)。術語”基板" ''曰任何支撐結構’包含但不限於’上面所描述之半導體 95854.doc 1253117 基板。雖然本發明係關於—種形成二氧化石夕構成声之ald 方法,本發明並不排除藉由非ald形成之二氧化石夕構成声 二 的::層;例如且僅舉例而言’該方法涵蓋了根據 本么之.占樣,在藉由CVD沈積之二氧化石夕構成層上形成 二乳切構成層,及/或在藉由ALD形成之二氧切構成層 上形成糟由CVD沈積之二氧化石夕構成層之ald之方法。換 而言之,本發明亦包含在在基板上形成複合物或均質的、 氧化石夕構成層中,將本發明之方法態樣與㈣製程相… ALD有時亦係指原子層蟲晶法、原子層處理等。當通常 想在基板上形成比較薄之層時,⑽係可取的。^包含 使初始基板曝露於第-化學物質’以達成將該物質吸附至 該基板之化學㈣作用。理論上’該化學吸附作用在整個 曝露的初始基板上形成一單層,其係均一地—個原子或分 子之厚度。換而言之,較佳地形成一飽和單層。然而,化 學吸附作用不可能或一般不能發生在基板之所有部分,使 得一般最初形成不連續之單層。但是,該不完美之單層仍 然在此文獻範圍内’且為熟習此項技術者所認可。在許多 應用中’僅有一大體上飽和之單層係合適的。大體上飽和 單層為一種仍能產生沈積層之大體上飽和單層,該沈積層 展現该層想要之品質及/或特性。 在曝露於第-物質後,通常自基板上淨化及提供第二化 學物質以使用化學方法吸附至第—物質之第一單層上。然 後淨化第二物質且重複將第二物質單層曝露於第一物質之 步驟。在某些狀況下’該等兩個單層可為相同物質。同時, 95854.doc 1253117 第三物質或更多之物質可如對第一或第二物質之描述相繼 使用化學方法吸附及淨化。此外,第_、第二及第三物質 之種或夕種此與惰性氣體混合以加速反應室中之壓力飽 和度,或為其它目的。 淨化可含多種技術,其包含但不限於使基板及/或單層接 觸運載氣體及/或使壓力低於沈積壓力,以減少與基板及/ 或使用化學方法吸附物質接觸之物質的濃度。運載氣體之 實例包含A、Ar、He、Ne、Kr、Xe#。淨化可實際上包含 使基板及/或單層接觸允許化學吸附副產物以釋出及減少 準備引入另一物質之物質的濃度的任何物質。對於熟習此 項技術者而言,可用實驗方法測定淨化之合適量係已知 的。淨化時間可相繼減少至產生薄膜增長速率增加的淨化 時間。薄膜增長速率增加可指示改變至非ALD製程狀態, 且可用來建立淨化限制。 通常將ALD描述為一種自我限制製程,其中基板上存在 有限數目之位置,使用化學方法吸附可將第一物質吸附至 該基板上。第二物質僅可與第一物質結合,且因此亦可係 自我限制的 旦基板上所有有限數目之位置與第一物質 結合,該第一物質通常不與已與基板結合之第一物質之其 它結合。然而,在ALD中處理條件可變化,以促進該結合, 且ALD可為非自我限制的。因此,ALD亦可包含藉由物質 堆疊-次形成除了 一個單層之外,形成超過一個原子或分 子厚度的-層的物質。更進一步注意到局部化學反應可發 生於ALD期間(例如,引人的反應物分子可替㈣自現㈣ 95854.doc 1253117 庫上層)°在發生該等化學反 應=圍内,通常將其限制在該表面之最上面的單層内。 在溫度及壓力之常用範圍内進行,且根據已建立 之淨化標準逐單層地達成所需之雔a 此,讳姓—乂 " 0層之形成。即使如 :特…體、層組合物、沈積裝傷及根 此項技術者已知標準的其它因素而定,ald條件大' $不維^^度、壓力及淨化之傳統條件使不當反應最小化, 違不虽反應可影響單層形成及所得總ald層之品質。因 ^傳統溫度與壓力範圍之外的操作可使有缺陷單層 存在風險。 化學氣相沈積(CVD)之通用技術包含多種更呈體之方 法,其包含但不限於電毁增強之CVD及其它。CVD通常用 於在基板上非選擇性地形成完整、沈積之材料。Me⑽ =程之-特徵為沈積腔中多種物質同時存在,該等多種物 負共同反應以形成沈積材料。將該條件與傳統⑽之 心準作對比’其巾基板與單沈積物質接觸,該單沈積物 可使用化學方法吸附至基板或至基板上先前沈積之物質、 - ALD處理方式可提供同時接觸之複數個物質,其屬於— 種類型或在發生ALD化學吸附作用而非CVD反應條件下。 不是共同反應’該物質可使用化學方法吸附至一基板上或 提供-表面之先前沈積之物質(後續物質可接著使用化I 方法吸附至忒表面)以形成適當材料之一完整層。 在多數CVD條件下,沈積之方生在很大程度曰上不依賴於 組合物或下層基板之表面特性。相反地,基板或使用化學 1253117 方法吸附物質之組合物、結晶結構及盆
々再及具匕特性可影響ALD 之化學吸附作用速率。 僅舉例而言,參照^-3描述了根據本發明之態樣在基板 上形成二氧化_構成層之例示性方法。形成之層可包含二 氧化石夕、大體上由二氧㈣組成’或由二氧切組成。圖【 圖解地展示了沈積腔10,其中已定位了基板i2,例如半導 體基板13。將沈積腔10圖解地展示為具有原料流之A、B及 C。當然可提供更多或更少的前驅體原料流。此外,在將原 料流送至腔室1G之前,可將其組合或混合。#然亦可在腔 室10内提供蓮蓬頭或其它分配裝置(未圖示)。一例示性真空 控制降落/排氣管16自腔室1〇延伸用於排出未反應之氣體 與來自基板之副產物且用於控制腔室壓力。該沈積條件可 包7至少—或所有前驅體之«產生、或無任何前驅體之 電漿產生。此外,任何該電漿產生可在沈積腔10中及/或其 遠處進行。 〃 在一實施例中,在有效使用化學方法吸附含矽之第一物 貝單層至基板上之條件下,使三曱基矽烷流入腔室且使第 一惰性氣體流入腔室。圖2描述了一例示性第一物質單層 20其包含結合至基板13/與基板13結合之矽及一或多個殘 餘甲基。一例示性第一惰性氣體包含氦。當然,該第一惰 性氣體亦可組成惰性氣體之混合物。僅舉例而言,例示性 車乂佳壓力條件包含自1托爾(Torr)至400托爾。一例示性較佳 狐度知圍(例如藉由溫度控制卡盤)包含自20°C至lOOOt:之 任何溫度。 95854.doc 1253117 以某-第-速率引人第—惰性氣體流,相對於本發明之 -態樣,自連續討論得出,㈣_惰性氣體流之物質性係 固有的。將本發明簡化至在一具有52〇〇cm3之内部容積之 反應器/腔”進行。三甲基石夕燒以⑺_之速率流動2 秒’且氦以_0 sccm之速率流動2秒。反應器壓力為⑽托 爾,且卡盤溫度為125°C。 在形成第-物質單層之後,在使氧化劑與化學方法吸附 …物質有效反應’且在該基板上形成一含二氧化石夕之 單層之條件下’使氧化劑流入該腔室,且使第二惰性氣體 流入該腔室。在—實施例中,該第二惰性氣體流以低於第 -速率之第二速率流動。僅舉例而言,圖2描述了十 25,其包含藉由使用氧化劑之氧原子置換或取代圖2中 基所形成之二氧化石夕。 流人腔室之前,反應器淨化掉 之三甲基矽烷。在一伽-从— ^ 在例不性實施例中,藉由終止三甲基石夕 烷流入腔室,同時佶笛 _ t使第一惰性氣體流入腔室持續一段 而使其發生,以自肿金士 、 二至中有效淨化三甲基矽烷。淨化三 基矽烷時,該惰性氧,泣7 t 汛體流可以與初始化學吸附作用期間之 惰性氣體流之相同第-速率流動,或以不同速率流動。 、/亥第&第一惰性氣體可係相同的或係不同的。氧化劑 流可在腔室内不含雷將 电水、可在腔室内包含電漿,及/或藉由 遠端電漿送入腔室之針〜 精田 月,J ’传以活化/充能(energized)。 —動氧化^時,惰性氣體流之第二速率較佳地不超過 在甲基石夕垸飢動期間第一惰性氣體流入腔室之第一速率 95854.doc -12- 1253117
ί 5第°:速且皁更二地:超過該第—速率之4 °%。此外較佳地, Μ-速率為該第-速率之至少25%,更佳地為該第—速 率之至少鳩,且甚至更佳地為該第—速率之至少35%。 已發現’藉由ALD利用具有低於第一惰性氣體流之第一 二速率惰性氣體流之三甲基㈣形成之二氧化石夕 f能產生一更完整/連續之二氧化石夕單層構成。舉例而 ^夏化(qUantify)基板上所形成之單層之飽和度的典型方 法是參照各自反應前驅體流之—單循環後之單層的平均厚 度。,例而言’吾人預期形成於基板上之二氧切之100% 飽和早層具有約3埃(^糾細)至4埃之厚度。典型先前技術 —乳切⑽製程在基板上達成僅m埃或更小之平均厚 度#曰不在基板上平均覆蓋三分之一(1/3)或更少。根據本 發明之-較佳態樣及實施例,將該等二氧切構成單層之 每一形成約至少2埃之平均厚&,且較佳地為至少3埃之平 均厚度。 在車乂 u知例中,所述之三甲基石夕烧流動持續某一第 寺奴,且氧化劑流動持續長於該第一時段之某一第二時 段。在-較佳實施例中,該第二時段為該第一時段的至少 兩倍。在—較佳實施例中’㈣二時段超過2·5秒,而該第 寺奴不超過2.5秒。僅舉例而言,一實例三甲基矽烷流動 時段為自1秒至5秒,其中2秒為一特定較佳實例。更進一步 堇牛例而5 ’ 一較佳氧化劑流動時段為自1秒至1 〇秒,其中 5秒為一特定較佳實例。 氧化劑流動期間之條件(例如溫度及壓力)可與三甲基矽 95854.doc -13- 1253117 燒流動期間之條件相同或不同,且例如以上所提供。在一 例示性簡化實施之實例中,氧化劑包含以测sccm之組合 速率抓動之12體積%的〇3及88體積%的〇2之組合。惰性氣體 ^以3_咖流動之氦,其在三曱基石夕烷流動期間為仍 a積%的錢率之惰性氣體。基板或卡盤溫度為⑵。c,且 内部反應器壓力維持在㈣峨爾。該處理持續5秒。可使 2任何替代氧化劑’當然,無論是現有的還是將開發的。 舉例而言,實例包含氧基、η2〇、η2〇2、ν〇、Ν2〇#。 三甲基石夕烧及第一惰性氣體流動,連同氧化 1 生氣體流動’相繼重複,在基板上有效形成二氧切構成 層,例如圖1所描述之層30。 在一較佳實施例中,腔室之、秦 之/㈣較佳的在形成具有氧化 1 -乳切構成單層後進行。在—較佳實施例中,藉由 =第二惰性氣體流人腔室時終止氧化劑流人腔室進行該淨 =自腔室中有效淨化氧化劑。—實例中惰性氣 較佳時段為自1秒至_、之任何時段,其中5秒為-特定實 : 卜當然,在淨化以第一速率流動之三甲基石夕炫時 二:=動時段與在淨化氧化劑時第二情性氣趙之流 勖時4又可相同或不同。 :據本:明之另一態樣,及不依賴於上述之内容 涵盘在基板上形成二氧切構成層之ALD方法,1包括 2效使用化學方法吸附含石夕之第一物質單層至基板上之 惰Li二二广,麗入腔室。在三甲基石鳩動期間, @乱旦可 >瓜入或不流入該腔室。無論如何,在使氧化劑 95854.doc -14- 1253117 與化學方法吸附之第—物質有效反應且在基板上形成含二 氧化矽之單層之條件下’在形成第一物質單層之後,將氧 化劑流入該腔室。在氧化劑流動期間,可使惰性氣體流入 或不流入該腔室。該氧化劑以至少2_咖之速率流入腔 室’較佳地以至少3_ scem之速率,更佳地以至少4刚 seem之速率,且最佳地以至少5〇〇〇sccm之速率。該三甲基 石夕烧及氧化劑流動相繼重複,在基板上有效形成二氧化石夕 構成層。
在二氧化石夕之ALD製程期間,先前技術氧化劑流入腔^ 之速率應理解為稍低於2〇〇〇 sccm,且特定地低於⑽ seem。如此處所描述的,提供—增加的氧化劑㈣速度, 且不依賴於反應器容積,能在基板上形成—更完整或飽禾 之一乳化石夕單層,且可能不依賴於上述關於第—實施例泛 屬性。^而無論如何,較佳處理係進一步根據上述第一負 '每 且上述第一實施例係根據本發明之此後者實 施例之較佳態樣。
,據法令m言描述本發明之結構特徵或方法特徵 的。然而,應理解本發明不限於所展示及 ^、疋特徵,因為此處所揭示之手段包含使本發明生 =較佳形式。因此,本發明主張根據均等物原則 二申物範圍之合適範圍内的任何格式或修正。 【圖式間單說明】 ==本發明之一態樣所使用之沈積腔之圖解視圖。 係根據本發明之一態樣所處理之基板之圖解剖視圖。 95854.doc -15- 1253117 圖3為圖2基板之視圖,其處於圖2所展示之處理步驟之後 續處理步驟中。 【主要元件符號說明】 10 沈積腔 12 基板 13 半導體基板 16 真空控制降落/排氣管 20 第一物質單層 25 單層 30 層
95854.doc -16-

Claims (1)

1253117 、申請專利範圍: 一種在一基板上形成一=氧化石夕構成層之原子層沈積方 法,其包含以下步驟: 在一沈積腔内定位一基板; 在有效使用化學方法吸附含石夕之一第一物質單層至該 基板上之條件下,使三甲基钱流人該腔室且使一第一 惰性氣體流入該腔室,該第一惰性氣體以一第—速率流 動; 在形成該第-物質單層之後,在使一氧化劑與該化學 ^法吸附之第-物質有效反應且在該基板上形成一含二 二化石夕之皁層之條件τ,使該氧化劑流人該腔室,且使 :第二惰性氣體流人該腔室;該第二惰性氣體以低於該 第一速率之一第二速率流動;及 :鏖重稷4 a)二甲基矽烷及第一惰性氣體流動及b)氧 氧I及m氣體流動,以在該基板上有效形成-二 氧化矽構成層。 如請求項1之方法,其中第 50% 〇 二速率不超過該第一速率之 如請求項1之方法,其中第 40%。 一速率不超過該第一速率之 如請求項1之方法,其中第二 至 50%。 •速率為該第一速率之自25〇/。 如明求項1之方法,其中第二 至 40%。 速率為该第一速率之自25% 95854.doc 1253117 6. 方法,其中第二速率為該第—速率之自娜 7· 1 =項1之方法,其中第二速率為該第1率之自Μ 8·:;請求項1之方法’其中該第-與第二惰性氣體係相同 9·:請求項1之方法’其中該第-與第二惰性氣體係不同 之方法’其中將該等二氧切構成單層之每一 為一至少2埃(Angstrom)之平均厚戶。 方法’其中將該等二氧化;構成單層之每一 y成為一至少3埃之平均厚度。 12. 如請求们之方法’其中該氧化劑 率流人該反應器。 JOOOsccm之速 13. 如請求項1之方法,其中該氧化劑以5 I 率流入該反應^。 心—至少侧咖之速 14. 如請求们之方法,其中該氧化 率流入反應器。 —h、4_sccm之速 15. 如請求項〗之方法,其中 率流入該反應器。 訐M 一至少5000 sccm之速 16·如請求項〗之方法,其中爷 漿。 μ乳化劑流在該腔室内不含電 17·如請求項1之方法,其中 桃’將該等二氧化石夕構成該第一速率之抓至 早層之母一形成為一至少3埃 95854.doc 1253117 之平均厚度,且該氧化劑以一至 該反應器。 2〇0〇 seem之速率流入 1 8·如請求項!之方法,其中該三 段,且T基矽烷流持續一第一時 仅且邊乳化劑流持續一第二時# 外咕 第一時段。 手奴,该第二時段超過該 1 9 ·如δ月求項1 8之方法,盆中 一 少2倍。 /、 βΧ 一時段為該第一時段之至 2〇·如請求項18之方法,其中 一時段不超過2.5秒。 仏超較5秒,且該第 21. -種在一基板上形成一二 法,其包括以下步驟: 冑成層之原子層沈積方 在一沈積腔内定位一基板,· 二⑷在有效使用化學方法吸附含石夕之一第一物質單層至 絲板上之條件下,使三甲基錢流人該腔室,且使惰 性氣體流人該腔室,該惰性氣體流為-第-速率; (b) 在形成該第一物質置爲 一 士 貝早層後’在使該惰性氣體流入該 月工至之同時’終止該二甲其々 —甲基矽烷流入該腔室以自該 中淨化三甲基矽烷; & (c) 在自該腔室中淨化該— 化。亥二甲基矽烷後,在使一氧化 與該化學方法吸附之第—私新 罘物質有效反應,且在該基板上 形成含二氧化矽之一單居夕彳欠μ 層之條件下,使該氧化劑及該惰 性氣體流入该腔室;在兮_儿 在以化劑流動期間,該惰性氣體 以一低於该第一速率之第二速率流動; ⑷在形成乳切構成單層後,在將該惰性氣體流 95854.doc ^53117 室之同時,終止該氧化劑流入該腔室以有效自該 腔至中淨化氧化劑;及 相繼重複該⑷至⑷流動,在該基板上有效形成一二氧 化石夕構成層。 22.如請求項21之方法,其中在淨 ^ ^ 匕一甲基矽烷時,該惰性 氧體以該第一速率流動。 23·如請求項21之方法,苴中在、、泰外知7丨 ,、甲在淨化虱化劑時,該惰 以該第一速率流動。 ^乳體 24.2求項21之方法,其中在淨化三甲基錢時,該惰性 :體:該第、一速率流動’且在淨化氧化劑時,該惰性氣 體以该第一速率流動。 、 2 5 ·如晴求項21之方法,其中將兮望 … ,、干將-亥專―減矽構成單層之每 一形成為一至少2埃之平均厚度。 A如請求項21之方法’其中將該等二氧切構成單層之每 一形成為一至少3埃之平均厚度。 27. 如請求項21之方法’其中第二速率不超 50%。 <千心 28. 如請求項21之方法,其中第— 甲弟一速率不超過該第一速率之 40%。 <干< 30% 第 29·如請求項21之方法,其中 至 40%。 3 0·如請求項21之方法,其中 至 40%。 31.如請求項21之方法,其中 第一速率為該第—速率之自25% 第二速率為該第一速率之自 該第一速率之自35% 95854.doc 1253117 至 40%。 32·如請求項21之方法,其中該氧 Λ古 虱化劑以一至少2000 sccm之 速率流入該反應器。 33.如請求項21之方法,其中第二速率為該第-速率之自35% 至4〇%,將該等二氧化石夕構成單層之每-形成為-至少3 埃之平均厚度,且該氧化劑以一 人該反應器。 刀〇〇〇吻之速率流 其中該氧化劑流在該腔室内不含電 34.如請求項21之方法 其中該⑷流係在—第-時段且該⑷ 該第二時段長於該第一時段。 其中該第二時段為該第一時段至少2 其中該第二時段超過2.5秒,且該第 3 5 ·如請求項21之方法 流係在一第二時段 36.如請求項35之方法 3 7.如請求項35之方法 一時段不超過2.5秒 38種在—基板±形成—二氧切構成層之原子層沈積方 法,其包括以下步驟: · 在一沈積腔内定位一基板; 在有效使用化學方法吸附一含矽之第一物質單層至該 基板上之條件下,將三子基石夕院流入該腔室; 、、在形成該第-物f單層後,在使—氧化劑與該化學方 法吸:之第一物質有效反應且在該基板上形成一含二氧 單層之條件下’使該氧化劑流人該腔 以一至少鳩咖之流動速率流人該腔室;及 95854.doc 1253117 —相繼重複該三甲基㈣及氧化劑流動以在該基板上有 效形成—二氧化矽構成層。 月求項38之方法,其中該氧化劑以至少3_ %⑽之流 動速率流入該腔室。 、、員38之方法’其中邊氧化劑以至少彻。⑶⑽之流動 速率流入該腔室。 h =求項38之方法,其中該氧化劑以至少测sccm之流 動速率流入該腔室。 :.1°::項§38之方法’其中該氧化劑為0⑽之-混合物。 •女印求項38之方法,其中 毁。 亥腔室内不含電 44·如請求項38之方法,並中 ,、中5亥乳化劑為〇3及〇2之一混合 ’且在該腔室内不含電漿。 45·如請求項38之方法, T 4 4 一虱化矽構成單層之每一 彤烕為一至少2埃之平均厚度。 46. 如請求項38之方法, 亥4 一虱化矽構成單層之每一 形成為一至少3埃之平均厚度。 47. 如請求項38之方法, 一 ,,0 ,、中'亥二甲基矽烷流係在一第一時 ί又且4氧化劑流係在一第_ 罘一時奴,該第二時段長於該第 一時段。 48=請求項47之方法,其中該第二時段為該第一時段至少2 倍。 49·如請求項47之方法,其中哕 〜第一時|又超過2·5秒,且該第 一時段不超過2.5秒。 95854.doc
TW093127330A 2003-09-23 2004-09-09 Atomic layer deposition methods of forming silicon dioxide comprising layers TWI253117B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/669,667 US7018469B2 (en) 2003-09-23 2003-09-23 Atomic layer deposition methods of forming silicon dioxide comprising layers

Publications (2)

Publication Number Publication Date
TW200518225A TW200518225A (en) 2005-06-01
TWI253117B true TWI253117B (en) 2006-04-11

Family

ID=34313736

Family Applications (1)

Application Number Title Priority Date Filing Date
TW093127330A TWI253117B (en) 2003-09-23 2004-09-09 Atomic layer deposition methods of forming silicon dioxide comprising layers

Country Status (8)

Country Link
US (1) US7018469B2 (zh)
EP (1) EP1664374B1 (zh)
JP (1) JP4348445B2 (zh)
KR (2) KR100758758B1 (zh)
CN (1) CN100577864C (zh)
AT (1) ATE511555T1 (zh)
TW (1) TWI253117B (zh)
WO (1) WO2005033359A2 (zh)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7112503B1 (en) * 2000-08-31 2006-09-26 Micron Technology, Inc. Enhanced surface area capacitor fabrication methods
US7217615B1 (en) * 2000-08-31 2007-05-15 Micron Technology, Inc. Capacitor fabrication methods including forming a conductive layer
US7105065B2 (en) * 2002-04-25 2006-09-12 Micron Technology, Inc. Metal layer forming methods and capacitor electrode forming methods
US7440255B2 (en) 2003-07-21 2008-10-21 Micron Technology, Inc. Capacitor constructions and methods of forming
US7692222B2 (en) * 2006-11-07 2010-04-06 Raytheon Company Atomic layer deposition in the formation of gate structures for III-V semiconductor
CN101215692B (zh) * 2008-01-04 2010-06-02 清华大学 多反应腔原子层沉积装置和方法
US7858535B2 (en) * 2008-05-02 2010-12-28 Micron Technology, Inc. Methods of reducing defect formation on silicon dioxide formed by atomic layer deposition (ALD) processes and methods of fabricating semiconductor structures
US8501268B2 (en) 2010-03-09 2013-08-06 Micron Technology, Inc. Methods of forming material over a substrate and methods of forming capacitors
US8288811B2 (en) 2010-03-22 2012-10-16 Micron Technology, Inc. Fortification of charge-storing material in high-K dielectric environments and resulting apparatuses
CN104911561B (zh) * 2015-04-14 2017-12-26 中国计量科学研究院 制备高厚度均匀性纳米/亚微米SiO2薄膜的方法
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US10494715B2 (en) * 2017-04-28 2019-12-03 Lam Research Corporation Atomic layer clean for removal of photoresist patterning scum
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
US10319586B1 (en) * 2018-01-02 2019-06-11 Micron Technology, Inc. Methods comprising an atomic layer deposition sequence
US20200135489A1 (en) * 2018-10-31 2020-04-30 Atomera Incorporated Method for making a semiconductor device including a superlattice having nitrogen diffused therein

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6780704B1 (en) * 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
US6541369B2 (en) * 1999-12-07 2003-04-01 Applied Materials, Inc. Method and apparatus for reducing fixed charges in a semiconductor device
AU2001245388A1 (en) * 2000-03-07 2001-09-17 Asm America, Inc. Graded thin films
US6605549B2 (en) * 2001-09-29 2003-08-12 Intel Corporation Method for improving nucleation and adhesion of CVD and ALD films deposited onto low-dielectric-constant dielectrics
US7374617B2 (en) * 2002-04-25 2008-05-20 Micron Technology, Inc. Atomic layer deposition methods and chemical vapor deposition methods
US6713873B1 (en) * 2002-11-27 2004-03-30 Intel Corporation Adhesion between dielectric materials

Also Published As

Publication number Publication date
KR20060095959A (ko) 2006-09-05
KR100753276B1 (ko) 2007-08-29
WO2005033359A2 (en) 2005-04-14
TW200518225A (en) 2005-06-01
US20050061234A1 (en) 2005-03-24
EP1664374A2 (en) 2006-06-07
JP2007506863A (ja) 2007-03-22
WO2005033359A3 (en) 2005-06-23
KR100758758B1 (ko) 2007-09-14
ATE511555T1 (de) 2011-06-15
JP4348445B2 (ja) 2009-10-21
US7018469B2 (en) 2006-03-28
CN100577864C (zh) 2010-01-06
CN1856592A (zh) 2006-11-01
EP1664374B1 (en) 2011-06-01
KR20070040852A (ko) 2007-04-17

Similar Documents

Publication Publication Date Title
TWI253117B (en) Atomic layer deposition methods of forming silicon dioxide comprising layers
TWI763839B (zh) 電漿增強型原子層沉積製程和通過其來選擇性地於基板的介電表面上沉積氧化物的方法
JP7092709B2 (ja) ケイ素含有膜の高温原子層堆積
JP6355561B2 (ja) 化学蒸着による高品質の単層および多層グラフェンの大規模な製造
JP6042493B2 (ja) 酸素ラジカル生成を促進するためのcf4を用いたラジカル強化原子層蒸着
JP6876558B2 (ja) 金属を含む薄膜の選択堆積
TWI595109B (zh) 在基板上處理氮化物薄膜的方法
TWI361226B (en) Pretreatment processes within a batch ald reactor
TWI277151B (en) Methods of forming a phosphorus doped silicon dioxide comprising layer, and methods of forming trench isolation in the fabrication of integrated circuitry
JP5048476B2 (ja) 絶縁膜または金属膜を形成する方法
JP3476801B2 (ja) トリスジメチルアミノシランを用いた原子層蒸着によるシリコン含有固体薄膜の製造方法
TW201100582A (en) Atomic layer deposition processes
TW200831696A (en) Method of depositing catalyst assisted silicates of high-k materials
JP2010506408A (ja) 金属シリケート膜のald
JP2002343793A (ja) ヘキサクロロジシランおよびアンモニアを用いた原子層蒸着によるシリコン含有固体薄膜の製造方法
TW200923122A (en) Process for selective area deposition of inorganic materials
TW201250046A (en) Compositions and processes for depositing carbon-doped silicon-containing films
TW201217572A (en) Atomic layer deposition film with tunable refractive index and absorption coefficient and methods of making
TW200831699A (en) Low temperature ALD SiO2
JP2003347298A (ja) 半導体装置の製造方法及び基板処理装置
JP2007051327A (ja) 成膜方法
TW201135841A (en) Method of manufacturing semiconductor device, substrate processing apparatus and semiconductor device
TW200927981A (en) Preparation of metal-containing film via ALD or CVD processes
JP2012532993A (ja) 銅含有膜の堆積のためのビス−ケトイミナート銅前駆体
TW201816161A (zh) 透過自組裝單層形成而成的選擇性沉積