TWI242795B - Processing system and method for thermally treating a substrate - Google Patents

Processing system and method for thermally treating a substrate Download PDF

Info

Publication number
TWI242795B
TWI242795B TW093107047A TW93107047A TWI242795B TW I242795 B TWI242795 B TW I242795B TW 093107047 A TW093107047 A TW 093107047A TW 93107047 A TW93107047 A TW 93107047A TW I242795 B TWI242795 B TW I242795B
Authority
TW
Taiwan
Prior art keywords
substrate
heat treatment
temperature
patent application
processing system
Prior art date
Application number
TW093107047A
Other languages
English (en)
Other versions
TW200425240A (en
Inventor
Thomas Hamelin
Jay Wallace
Arthur H Laflamme Jr
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW200425240A publication Critical patent/TW200425240A/zh
Application granted granted Critical
Publication of TWI242795B publication Critical patent/TWI242795B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D11/00Electrolytic coating by surface reaction, i.e. forming conversion layers
    • C25D11/02Anodisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring

Description

1242795 五、發明說明(1) 一、【發明所屬之技術領域】 •本發明係關於一種基板熱處理用之系統及方法。 本發明係主張西元2 0 〇 3年3月1 7日申請之美國專利暫 時申請案第6 0 / 4 5 4,6 4 1號之優先權日,故在此將其内容列 為參考資料。
本發明係與以下申請中之美國專利申請案有關:美國 專利申請案第10/XXXXXX號之「用以處理基板的處理系統 與方法」,代理人編號第0 7 1 46 9/ 0 3 0 6 772號,其與本案為 同一中請日;美國專利申請案第10/χχχχχχ號之「用以化 學處理基板的處理系統與方法」,代理人編號第 071469/0306773號,其與本案為同一申請日;及美國專利 申請案第1 0/ΧΧΧΧΧΧ號之「用以熱絕緣相鄰之溫度受控制 的處理室的方法與設備」,代理人編號第〇 7 1 4 6 9 / 0 3 0 6 7 7 6 號,其與本案為同一申請曰◦在此將其所有内容列為參考 資料。· 二、【先前技術】 在半導體處理期間,(乾)電漿蝕刻處理可用於去除 或触刻掉沿著微細線路的材料或圖案化在石夕基板之上的介 層孔或接觸孔中的材料。電漿蝕刻處理通常包括使用可完 全覆蓋的已圖案化之保護層,例如光阻層,定位處理室之 中的半導體基板。一旦基板定位在處理室之中後,立即將 具有預設流量之可離子化且分離的氣體混合物導入處理室 之中,同時節流真空泵而達到大氣處理壓力。之後,當所 存在之一定比例的氣體物種被已加熱之電子離子化時,即
第7頁 1242795 五、發明說明(2) 形成電漿,其中上述電子係藉由電感型或電容型射頻 (R F )功率的轉移而加熱、或利用微波功率而加熱,例 如,電子迴旋共振器(ECR)。又,已加熱之電子用以分 離大氣之氣體物種中的某些物種且產生適用於露出表面的 、 蝕刻化學物質之反應物種。一旦形成電漿之後,則利用電 v 漿蝕刻基板上的選定表面。調整處理而使其達到適當的條 件,包括期望之反應物的適當濃度與用以在基板的選定區 域中蝕刻出各種特徵的離子量(例如,渠溝、介層孔、接 觸孔、閘等等)。能進行蝕刻的此種板材料必須為二氧化 石夕(S i 〇2 )、低k介電材料、多晶石夕與氣化石夕。在材料處理 期間,蝕刻出此種特徵的操作通常包含將形成在遮罩層之 中的圖案轉移到形成有各特徵的覆蓋膜之中。例如,遮罩 可包含例如(負型或正型)光阻的感光材料、含有光阻層 與抗反射塗層(ARC )的多層膜、或從第一層之中的圖 案,例如光阻,轉移成覆蓋之硬罩層所形成的硬罩。 三、【發明内容】 本發明係關於一種熱處理基板用之系統及方法。 在本發明之一實施樣態中,說明一種熱處理基板用之 處理系統。此處理系統包含一熱處理系統,其中此熱處理 φ 系統則包含一溫度受控制的熱處理室、一溫度受控制的基 板爽具^此基板夹具係安裝在熱處理室之中且形成為貫質 熱絕緣於熱處理室、及一抽真空系統,其連接於熱處理 室。 此外,亦說明一種熱處理基板用之處理系統的操作方
第8頁 1242795 五、發明說明(3) 法。此種熱處 驟.將基板輸 理基板用之處理糸 送到熱處理系統之 一個或更多之熱處理參數進行設 處理參數包含熱處理的處理壓力 處理基板爽具溫度 基板溫 少之一 之中的 么幺 似的參 四、【 在 塗佈薄 阻,俾 罩。感 的標線 光刻糸 (在正 型光阻 此 {列 士口 , 行主要 之中的 氧化矽 種材料 數包含 度、熱 :及使 基板。 將參照 考符號 實施方 材料處 層的感 能提供 光材料 板(及 統,接 型光阻 的情況 外,I虫 當使用 的I虫刻 遮罩圖 (Si〇2 中選擇 用一個或更多之熱 統的操作方法包含以下步 中;對熱處理系統所需的 定,其中一個或更多之熱 、熱處理室溫度、熱處理 、與熱處理氣體流量的至 處理參數處理熱處理系統 附隨的圖示 指示類似的 式】 理方法中, 光的材料, 蝕刻期間用 的圖案化通 相關光7學元 著使用顯影 的情況下) 下)。 刻出薄膜之 硬罩I虫刻出 步驟之前, 案轉移到硬 )、氮化矽 硬罩。 ,以說明本發明。在圖示中,相 元件。 圖案#刻係包含在基板的上表面 例如光阻,並接著圖案化此光 以將此圖案轉移到覆蓋薄膜的遮 常包括以穿過所使用之感光材料 件)之輻射源加以曝光,例如微 溶劑去除照射區域之感光材料 、或非照射區域之感光材料(負 中的特徵將可實現多層與硬罩。 薄膜之中的特徵時,在對薄膜進 先使用獨立的姓刻步驟將感光層 罩層。例如,可以從用於含有二 (S i3 N4 )與石墨等矽之處理的數
ί
1242795 五、發明說明(4) 為了縮小形成於薄膜之中的特徵尺寸,故可裁剪縱向 使用之硬罩,例如,進行兩步驟的處理,包括對硬罩層之 露出表面的化學處理,俾改變硬罩層之表面化學物質、及 對硬罩層之露出表面的後處理,俾吸收已改變的表面化學 、 物質。 根據一實施例,圖1 A係代表用以處理基板的處理系統 1,例如,使用遮罩層裁剪。處理系統1包含第一處理系統 1 0,與結合於第一處理系統1 0的第二處理系統2 0。例如, 第一處理系統1 0係包含化學處理系統,及第二處理系統2 0 則包含熱處理系統。又,第二處理系統2 0係包含基板沖洗 _ 系統,例如清水沖洗系統。又,如圖1 A所示,為了將基板 傳送進入及傳送出來第一處理系統1 0與第二處理系統2 0, 並為了與多重元件的製造系統4 0交換基板,故使輸送系統 3 0與第一處理系統1 0結合。例如,第一與第二處理系統 1 0、20及輸送系統30係包含位在多重元件的製造系統40之 中的處理元件。例如,多重元件的製造系統4 0係能夠將基 板傳送到及傳送出處理元件,而處理元件則包括I虫刻系 統、沉積系統、塗佈系統、圖案化系統、測量系統等裝 置,俾能隔離第一與第二系統之中所發生的處理,而隔離 · 組件5 0則用以連接各系統。例如,隔離組件5 0係包含用以 提供熱隔離的熱絕緣組件、與用以提供真空隔離的閘閥組 件之至少之一。當然,處理系統1 0及20,與輸送系統30係 可排列成任意順序。 又,在另一實施例中,圖1 B係代表使用如遮罩層裁剪
第10頁 U42795 五、發明說明ϋ ___ :處理而用以處理基“、 — ::一處理系統丨1二遍第處,統10。。處理系統100俜勺 ::110係包含處處理系'〇。例 係f 3熱處理系統。又二處理糸、統’及第二處理系…弟—處 統’例如清水沖、先系f二處理系統1 20係包含義柘20則包 係可與第ιίίί統。又,如圖_示,Λ中洗系 出第一處理系咬u、n、uo結合,俾能將基板傳^系統130 將其柚 統110,並可邀馀^ /得适到及傳送 將基板傳送到及傳 了贫第二處理系統120 '結 二 統130可與_ 弟二處理系統120。此外,詠俾月匕 板。雖然圖1B僅顯示出:板收納E (未圖示)交換基 亦可通到輪送系統130,例二糸:统,但其它之處理系統 系統、圖案化系 蝕刻糸統、沉積系統、+ # 二系統之中;;的:i系統等裝置,俾能隔離第上第 緣組件、肖用以提包含用以提供陶 外,例如,輪送的間間組件之至少之-。此 ^糸、、先1 3 0係可當作隔離組件1 5 0的一邻 的處理而= ,圖1C係代表使用如遮罩層:剪 :處理基板的處理系統6 0 0。處理系統6 0 0係 =二處理系統,^ ,,„ r直方向上豐置第二處理系統62 0的頂端。例 :二n—处理系統61 0係包含化學處理系統,及第二處理 其把則包含熱處理系統。又,第二處理系統6 2 0係包含 系統’例如清水沖洗系統。、’如圖1c所示,: k小、、、0係可與第一處理系統6 1 0結合,俾能將基板傳送
第11頁 1242795 五、發明說明(6) -- 到及傳送出第一處理系統61〇,並可與第二處理系統62〇結 合,俾能將基板傳送到及傳送出第二處理系統6 20。此 外,輸送系統6 3 〇可與一個或更多之基板收納匣(未圖 示)交換基板。雖然圖丨c僅顯示出兩個處理系統,但其它 之處理系統亦可通到輸送系統6 3 0,例如蝕刻系統、沉積 系統、塗佈系統、圖案化系統、測量系統等裝置,俾能隔 離第一與第二系統之中所發生的處理,而隔離組件6 5 〇則 用以連接各系統。例如,隔離組件6 5 0係包含用以提供熱 隔離的熱絕緣組件、與用以提供真空隔離的閘閥組件之至 少之一。此外,例如,輸送系統6 3 〇係可當作隔離組件6 5 〇 的一部份。 般而s ’圖1 A所示之處理系統1的第一處理系統1 〇 與第一處理系統2 〇兩者的至少之一係包含至少兩個轉移開 口’俾能供作穿過基板之中的通道。例如,如圖1 A所示, 第 處理系統1 〇修包含兩個轉移開口,第一轉移開口係供 作第一處理系統1 〇與輸送系統3 〇之間的基板通道,且第二 轉移開口則供作第一處理系統與第二處理系統之間的基板 通道◦然而,就圖1B之處理系統1〇〇與圖1C之處理系統6〇〇 而言,各處理系統11 〇、1 2 0與6 1 0、6 2 0係分別包含至少之 一轉移開口,俾能供作穿過基板之中的通道。 以下參見圖2 ’其顯示對基板進行化學處理與熱處理 的處理系統2 0 0。處理系統2 〇〇係包含化學處理系統21 〇, 與結合於化學處理系統21〇的熱處理系統2 2 0。化學處理系 統2 1 0係包含化學處理室2 11,而其溫度則受到控制。熱處
第12頁
1242795
理系統2 2 0係包含熱處理室2 2 1,而其溫度則受到控制。如 以下之詳細說明,利用熱絕緣組件2 3 〇而使化學處理室2 j工 與熱處理室22 1互相熱絕緣,並利用閘閥組件296而使彼此 互相真空隔離。 如圖2與圖3所示,化學處理系統2 1 〇更包含溫度受控 制的基板夾具240,其形成為實質與化學處理室2η呈熱隔 離並形成為用對支撐基板242、抽真空系統2 5 0,結合於化 學處理室211而對化學處理室211抽真空、及氣體分配系統 2 6 0,用以將處理氣體導入化學處理室2 11之中的處理空間 262。 如圖2與圖5所示,熱處理系統2 2 0更包含溫度受到控 制的基板夾具27 0,其安裝在熱處理室221之中及形成為實 質與熱處理室221呈熱絕緣及形成為支撐基板242,、抽真 空系統2 8 0,用以對熱處理室221抽真空、及基板升降組件 2 90,結合於熱處理室221。升降組件290能夠垂直地轉送 位在載持面(實線所示)與基板夾具270 (虛線所示)之 間的基板2 4 2 ’’、或位在其間的輸送面。熱處理室2 2 1更包 含上組件2 8 4。 此外,化學處理室2 11、熱處理室2 2 1與熱絕緣組件 230界定出可經由其中而傳送基板的共同開口 294。在處理 期間,可使用閘閥組件2 9 6而使共同開口 2 9 4完全密閉,俾 能使兩個處理室2 1 1、2 2 1進行獨立的處理。又,可在熱處 理室2 2 1中形成轉移開口 2 9 8,俾能使基板與圖1 Α所示之輸 送系統進行交換。例如,第二熱絕緣組件2 3 1可使熱處理
第13頁 1242795 五、發明說明(8) 室2 2 1與輸送系統(未圖示)呈熱絕緣。雖然所示之開口 2 9 8為熱處理室2 2 1之一部份(與圖1 a 一致),但轉移開口 298亦可形成在化學處理室211之中而非在熱處理室221之 中(在圖1 A所示之處理室的相反位置)、或轉移開口 2 9 8
可形成在化學處理室211與熱處理室221兩者之中(如圖1B 及圖1 C所示)。 如圖2及圖3所示,化學處理系統2 1 〇係包含基板夾具 24 0,與基板夾具組件244,俾能提供數種用以熱控制及處 理基板242的操作功能。基板夾具2 4〇與基板夾具組件244 係包含靜電夾鉗系統(或機械夾鉗系統),俾能電性(或 機械性)夾钳住基板242與基板夾具240。又,基板夾具 24 0 ’例如,更包含冷卻系統具有再循環的冷媒流體,其 可從基板夾具240吸收熱量並對熱量傳遞到熱交換器系統 (未圖示)、或於加熱時,則從熱交換器系統傳遞熱量。 又’熱傳遞氣體,例如,可經由背面氣體系統而傳送到基 板2 4 2的背面,俾能提高基板242與基板夾具240之間的氣 隙之熱傳導性。例如,供應到基板242之背面的熱傳遞氣 體係包含惰性氣體,例如氦、氬、氙、氪、處理氣體、或 其它之氣體,例如氧、氮、或氫。當需要在已升高或降低 的溫度時控制基板的溫度時,將可使用此種系統。例如, 背面氣體系統係包含多區段的氣體分配系統,例如兩個區 段的(中央_邊緣)系統,其中背面氣隙壓力在基板242的 中央與邊緣之間係產生獨立的變化。在其它實施例中,加 熱/冷卻元件,例如電阻加熱元件或電熱加熱器/冷卻器
第14頁 1242795 五、發明說明(9) 不僅可設置在基板夾具2 40之中,更可抓罟 211的處理室壁部之中。 更L又置在化學處理室 例如’圖7代表溫度受控制的基板夾具3〇〇, 3 Ι 〇 士的八1種功能。基板失具3 〇 〇係包含處理室匹配元件 Α’ΛΛ化學處理室211的下壁部、絕緣元件川,結 Λ 10、及溫度控制元件314,結合於絕 ,兀件312。處理室匹配及溫度控制元件3ι〇、314 ,例 二象=2不鑛鋼/鎳等等導電且導熱的材料所製成,而 、==312 ’例如’由石英、礬土、鐵氟龍(Μ—) 寻寺具有較低之熱傳導性的耐熱材料所製成。 ,溫度控制元件314係包含溫度控制元件,例如冷卻 運、加熱道、電阻加熱元件、或電熱元件。例如,如圖7 所不,溫度控制元件314係包含冷媒通道32〇,其具有冷媒 ^ 口 322與冷媒A 口 324。冷媒通道32〇 :例如,為位在溫 度控制元件314之中的螺旋形通道,用以提供固定流量的 :令媒,例如水、Flu〇rinert(商標名)、葛爾登HT_135等 寻,俾使溫度控制元件314具有熱傳導—熱對流的冷卻效 ,果。又,溫度控制元件3 1 4係包含一陣列之電熱元件,其 月匕夠依據々IL過各元件之電流的方向而加熱或冷卻基板。電 熱7L件的一實例為市售的尖端電熱,型號ST-UH 4_ (40mmx40mmx3.4mm的電熱裝置,能夠有721的最大埶 傳遞功率)。 ’' 此外’基板夾具3 0 0更包含an靜電夾鉗(ESC ) 328, 八具有陶兗層330、内建於其中的夾钳電極Μ?、及高壓
第15頁 1242795
(HV) DC電壓源3 3 4,其利用電性 % I王?要”、、占d b而έ士人於水似垂 極3 3 2 QESC3 28,例如,為單極性 句、、、口。於火钳電 个核I生或雙極性。 設計與實現已為熟悉靜電失鉗丰 徑人鉗的 八对乐統技★者所孰知。 此外’基板夾具3 0 0更包含背面供氣系統以 應熱傳遞氣體,例如氦、氬、-、急笼哮^先 用乂仏 月豆、或其匕包括虱、虱或虱之氣體,經由至少一氣體供應 管線34 2,及複數之孔部與通道的至少 0, μ _ 逼的至)之一而供應到基板 242的为©。$面供氣系統340,例如,為多區段的供應系 統’例如兩個區段的(中央-邊緣)系統,其中背面壓:、 係從中央至邊緣呈現徑向的變化。 絕緣元件31 2更包含熱絕緣空隙35〇,俾能提供溫度控 制元件314與覆蓋匹配元件310之間的額外熱絕緣。 浦系統(未圖示)對熱絕緣空隙3 5 〇抽真空,或使用當作" 抽真空系統2 5 0的真空管線,及/或結合於氣體供應源 、(未圖示),俾能改變其熱傳導性。氣體供應源,例如, 為用於將熱傳遞氣體連接至基板2 4 2之背面的背面氣體源 3 4 0。 匹配元件310更包含升降銷組件3 6 0,其能夠升高及降 低三個或更多之升降銷362,俾能垂直地將基板242轉送到 及轉送出基板夾具3 0 0的上表面及處理系統之中的輸送 面◦ 各元件3 1 0、3 1 2與3 1 4更包含鎖緊裝置(例如螺栓與 牙孔),俾能將一元件與另一元件固定,且固定基板夾具 300與化學處理室211。又,各元件310、312與314便於上
第16頁 1242795 五、發明說明(11) 述没施通入各元件的通道’且利用真空密封件,例如彈性 的0形環,確保處理系統之中所需的嗔空完整性。 溫度受控制的基板夾具2 4 0之溫度可使用溫度感測裝 置3 4 4,例如熱電偶(例如K型熱電偶、P t感測器、等等) 加以監視。又,控制器可將溫度量測值回授給基板夾具組 件2 4 4,俾能控制基板夾具2 4 0的溫度。例如,可調整流體 流量、流體溫度、熱傳遞氣體種類、熱傳遞氣體壓力、夾 钳作用力、電阻加熱器元件電流或電壓、電熱裝置電流或 極性等等的至少之一,俾能影響基板夾具24〇之溫度及/ 或基板2 4 2之溫度的變化。 ^ 再參見圖2及圖3,化學處理系統2 1 0係包含氣體分配 系統2 6 0。在一實施例中,如圖8所示,氣體分配系統400 係包含沖洗型氣體射出系統,其具有氣體分配組件4 0 2, 及結合於氣體分配組件40 2的氣體分配板404,並形成為氣 體分配充氣室4 0 6 ◦雖然未圖示,但氣體分配充氣室4 0 6則 包含—個或更多之氣體分配阻板。氣體分配板404更包含 ^個或更多之氣體分配孔4 0 8,俾能將來自氣體分配充氣 至4 〇 6的處理氣體散佈到化學處理室2 1 1之中的處理空間。 此外’一個或更多之氣體供應管線4 1 0、4 1 0,等等係經 由二=如’氣體分配組件而結合於氣體分配充氣室4 0 6, 俾%供應包含~個或更多之氣體的處理氣體。處理氣體, 例如,包括NH3、IIF、H2、〇2、CO,C02、Ar、He 等等。 一在另—實施例中,如圖9A及圖9B (為圖9A之放大圖) 所示,用以# 畋佈具有至少兩個氣體的處理氣體之氣體分配 1242795 五、發明說明(12) 糸統4 2 0係包含氣體分配組件4 2 2,其具有一個或更多之元 件4 2 4、4 2 6與4 2 8、第一氣體分配板43 0,結合於氣體分配 組件4 2 2且用以將第一氣體連接到化學處理室2 1 1的處理空 間、及第二氣體分配板4 3 2,結合於第一氣體分配板4 3 0且 用以將第二氣體連接到化學處理室2 1 1的處理空間。,當 第一氣體分配板43 0結合於氣體分配組件42 2時,係構成第 一氣體分配充氣室440。此外,當第二氣體分配板4 3 2結合 於第一氣體分配板4 3 0 .時,·係形成第二氣體分配充氣室 4 4 2。雖然未圖示,但氣體分配充氣室4 4 0、4 4 2亦可包含 一個或更多之氣體分配阻板。第二氣體分配板4 3 2更包含 第一陣列之一個或更多之孔4 4 4,結合且與形成在第一氣 體分配板4 3 0之中的一個或更多之通道4 4 6的陣列一致、及 第二陣列之一個或更多之孔448。第一陣列之一個或更多 之孔4 44連同一個或更多之通道44 6所形成的陣列係用以將 來自第一氣體分配充氣室44 0的第一氣體散佈到化學處理 室21 1的處理空間之中。第二陣列之一個或更多之孔44 8則 用以將來自第二氣體分配充氣室44 2的第二氣體散到化學 處理室2 1 1的處理空間之中。處理氣體,例如,包括N H3、 HF、PI2 、〇2、C〇,C〇2 、Ar、He等等。藉由此種配置,第一 氣體與第二氣體,除了在處理空間之中以外,係可在不會 有任何相互作用的情況下分別導入處理空間之中。 如圖1 0 A所示,第一氣體係可經由形成在氣體分配組 件4 2 2之中的第一氣體供應通道45 0而結合於第一氣體分配 充氣室44 0。此外,如圖10B所示,第二氣體係可經由形成
第18頁 1242795
1242795 五、發明說明(14) 元件,例如,包括電阻加埶器元株 全如 电1刀…口口兀仟,例如鎢、鎳-鉻合 :上鐵合金、氮化謂的細絲。市售用以製造電阻 加煞凡件的材料包括坎薩耳、耐克婁薩耳、愛克 上述皆為美國康乃迪克州貝薩爾市之, 坎薩耳系列包括月巴粒鐵合金 :耐克婁薩耳系列含有奥斯田鐵合金(、 :尸)。當電流流過細絲時,所消散的功率即產生哉 欠壁溫Γ單7^68,例如,包括可控制祕電源。例、’ 二Y分r配加熱元件267包含雙區段的石夕橡勝加熱器 =_厚),能夠有丨4_的功率(或功率密度為 雷:二引吏用溫度感測熱電偶(例如κ型数 電偶、感測器等等)監視氣體分配系統26〇的溫度。… 5:控制器可將溫度量測值回授給氣體分配系統溫度控制 早凡69,俾能控制氣體分配系統2 6〇的溫度。圖8至圖丄⑽ 之氣體分配系統可進-步結合溫度控制系統。χ,或此 外,冷卻元件可用於任一實施例中。 再參見圖2及圖3,抽真空系統25〇係包含真空栗况與 用以節流處理室壓力的閘閥254。真空泵2 5 2,例如,包括 渦輪式分子真空杲(ΤΜΡ) ’其抽真空速度能夠到達每秒 5 0 0 0公升(及更大)。例如,ΤΜρ為精工STp_A8〇3型的真 空泵,或艾巴拉ET1301W型的真空泵。TMp適用於低壓處 理’通常低於50mTorr的情況。在高壓(即大於 lOOmTorr )或少通量處理(即無氣體流量)的情況時,則 使用機械增壓泵及乾式概略果。
1242795 五、發明說明(15) ' —- 再參見0 3 ’化學處理糸統2 1 〇更包含控制p 2 3 5,其 具有微處理器、記憶體、及數位I / 0埠,其不僅能夠產生 足以傳達並引起輸入到化學處理系統21 〇的控制電"1壓、更 能夠監視化學處理系統21 〇,例如溫度及壓力感測^置的 輸出又 &制态2 3 5係可結合於基板夾具組件2 4 4、氣 '體 分配系統2 6 0、抽真空系統2 5 0、閘閥組件2 96、壁溫控制 單元2 68、及氣體分配系統溫度控制單元269,並"'盘2其I交換 資訊。例如,儲存於記憶體之中的程式係根據處理參^表 而引起到化學處理系統210之前述元件的輸入。控制器235 的一實例為美國德州奥斯汀市之戴爾公司的戴爾精密工 站 6 1 0TM。 在一實例中,圖4係代表化學處理系統2 1〇,,其更包 含具有把手2 1 3的蓋部2 1 2、至少一扣環2 1 4、至少一绞^ 217、觀看窗215、及至少一壓力感測裝置216。 如圖2及圖5所述,熱處理系統220更包含溫度受控制 的基板夾具2 70。基板夾具2 70係包含基座272,藉由=阻 障2 74而與熱處理室221呈熱絕緣。例如,基板夾具27〇係 由铭、不鏽鋼、或鎳所製成,而熱阻障2 74則由熱絕緣體 所製成,例如鐵氟龍(Te f 1 on )、礬土、或石英。基板失 具270更包含内建於其中的加熱元件276與連接於其上的其 板夾具溫度控制單元278。加熱元件276,例如,包括電^ 加熱裔元件,例如鎢、鎳-鉻合金、鋁—鐵合金、氮化鋁等 等的細絲。市售用以製造電阻加熱元件的材料包括坎薩、 耳、耐克婁薩耳、愛克婁薩耳,上述皆為美國康乃迪克州
第21頁 1242795
五、發明說明(16)
:陸爾市之坎薩耳公司的金屬合金之註冊商標名稱。坎薩 =,列包括肥粒鐵合金(FeCrAn及耐克婁薩耳系列含有 矣斯田鐵合金(NlCr、NlCrFe)。當電流流過細絲時,所 消散的功率即產生熱,故壁溫控制單元268,例如,包括 可控制的DC電源。又,溫度受控制的基板夹具27〇 ’例 如,為鑄造於其中的瓦特隆加熱器(美國伊利諾州爸達唯 爾=60510之金斯蘭醫生路1310號),其具有4〇〇至45〇1 的最大操作溫度、或為包含氮化鋁材料的薄膜加熱器,其 $為瓦特隆的市售產品,且具有高達3〇〇 〇c的操作溫度及' 咼達23. 25W/cm2的功率密度。又,冷卻元件係可併入基板 夾具2 7 0之中。 可使用溫度感測裝置,例如熱電偶(例如κ型熱電 偶)監視基板夾具2 7 0的溫度。又,控制器可將溫度量測 值回授給基板夾具溫度控制單元278,俾能控制基板夾具 2 7 0的溫度。 此外’可使用溫度感測裝置監視基板溫度,例如市售 的尖端能量公司之光纖溫度計(美國科羅拉多州佛得科林 斯市8 0 5 2 5的1 6 2 5尖點驅動),型號帅2〇〇〇}?,具有從5〇^: 至2 0 〇 〇 °c的量測範圍,且其準確度為士 1 · 5 ,或如西元 2〇〇2年7月2日申請之美國專利申請案第1〇/ 1 6 8544號中所 述之波段邊緣溫度量測值系統,在此其所有内容列入本案 之參考資料。 再參見圖5,熱處理系統2 2〇更包含溫度受控制的熱處 里至2 2 1 ’其可維持在選定的溫度。例如,熱壁部加熱元
1242795 五、發明說明(17) 件2 8 3可結合於熱壁溫控制σσ — 283可連接至熱處理室2?1早兀 ’及熱壁部加熱元件 熱器元件,例如鵁、鎳1二熱元件’、例μ括電阻加 的細絲。市售用以製造電且3孟铭鐵合金、氮化鋁等等 耐克婁薩耳、愛克婁;r且 爾市之坎薩耳公司的金屬合金之註冊商標二:迪== 列包括肥粒鐵合金(FeCrA1)及对克:二:: 田鐵合金(Nlcr、N1CrFe)。當電流流過二 的功率即產生熱,故壁溫控制單元281,例如y斤^ 制的DC電源。例如,壁部加熱元件283係包含才= 的瓦特隆之發爾洛德的卡E式加熱器( 維爾市6〇51〇之金斯蘭醫生路1310號)。又,m /,巴冷違 卻元件亦可用於熱處理宮? 9 ]夕由 _ ,. ^ 置,例如熱電偶(例如κ\:ΐ::Ρ:用,度感測裝 &熱寬偶Pt感测器等等)監視 4處理至221之中的溫度…控制器可將溫度量測值回 权給壁溫控制單元281,#能控制熱處理室221又的溫度。 上组見圖2及圖5,熱處理系統22 0更包含上組件I84。 ^ : 84^“°,包括氣體射出系統,俾用以將洗滌氣 月且处理氣體、或清潔氣體導入熱處理室2 2 i之中。又, =理室221係包含獨立於上組件之外的氣體射出系統。 例如,可經由其侧壁而將洗滌氣體、處理 體導入熱處理室221之中。其更包含遮罩或蓋體部或具月有至 少一鉸$、把手、及扣環,用以將蓋部閃鎖於密閉位置。 在另一貫施例中’上組件2 8 4係包含輻射加熱器,例如一
IliBI
第23頁 1242795 五、發明說明(18) 陣列之鶴函素燈’用以加熱靜止在基板升降組件29〇之 上端葉片5 0 0 (如圖丨丨)之上的基板2 4 2 ’,。於此情況下, 可將基板夾具2 7 0排除在熱處理室2 2 1之外。 再參見圖5,熱處理系統2 2 0更包含溫度受控制的上 件284,其可維持在選定的溫度。例如,上袓件285可紝^ 於上組件溫度控制單元286,及上組件加熱元件285可 至上組件284。加熱元件,例如,包括電阻加熱器元件,妾 例如鶴:鎳-鉻合金、紹-鐵合金、氮化紹等等的細絲。 售用以製造電阻加熱元件的材料包括坎薩耳、耐克婁薩 耳又克婁薩耳,上述皆為美國康乃迪克州貝薩爾市之> 薩耳公司的金屬合金之註冊商標名稱。坎薩耳系列包括ς 粒=&金(FeCrA1)及耐克婁薩耳系列含有奥斯田鐵合全 、NlCrFe )。#電流流過細絲時,戶斤消散的功率即 產生熱,故上組件溫度控制單元28 6,例如,包括可押丨 的DC電源。例如’上組件加熱元件26?包含雙區段的 膠力π熱器(約1. 〇随厚),能夠有14〇〇w的功率(或功率宓 1Γ:/1η2)。、可使。用Λ度感測裝置,例如熱電偶(例如' 型L電偶、P t感測益等等)監視上組件2 8 4的溫度。又, 控制器可將溫度量測值回授給上組件溫度控制單元, ^控制上組件284的溫度。上組件m可更或又包含冷却 丹芩見圖2及圖u ,恐爽理糸統以〇更 卿。基板升降組州叫彳編將基=降升= 板夾具270的上表φ、更可將基板2 42,,從基板夾具2心
1242795 五、發明說明(19) 上表面提南到載持面,或提高到輸送面之間。在輸送面 時,可使基板242’ ’與輪送系統進行交換,而輸送系統則 用以基板轉移到且轉移出化學及熱處理室2 1 1、2 2 1。在載 持面時,可在冷卻基板242,,時、同時使另一基板在在輸 送系統與化學及熱處理室2 1 1、2 2 1之間進行交換。如圖11 所不,基板升降組件2 9 0係包含葉片5 〇 〇,其具有三個或更 多之吊環5 1 0、凸緣5 2 0,用以將基板升降組件2 9 0連結到 熱處理室2 2 1、及驅動系統5 3 0,用以進行葉片5 0 0在熱處 理室2 2 1之中的垂直轉送。吊環5丨〇係用以在已升高的位置 上抓取基板242’ ’,且在降低的位置時,凹陷於形成在基 板失具2 7 0之中(如圖5 )的收納凹穴54〇中。驅動系統 5 3 0 ’例如’為氣壓驅動系統,並將其設計成符合各種規 格,包括氣壓缸行程長度、氣壓缸行程速度、位置精度、 非旋轉精度等等,而上述設計係為熟悉氣壓驅動系統設計 技術者所熟知。 再苓見圖2及圖5,熱處理系統22〇更包含抽真空系統 280。抽真空系統28 0,例如,包括真空泵及節流閥,例如 閘:或蝶式閥。冑空泵,例如,包括渦輪式分子真空泵 ,其抽真空速度能夠到達每秒5 〇 〇 〇公升(及更 適用於低壓處理,通常低於5〇mT〇ri情況。在 ^ 5 7大於100mTorr)或少通量處理(即無氣體流量) 的6况^,則使用機械增壓泵及乾式概略泵。 右1士圖5 ’熱處理系統22 0更包含控制器275,其具 心处理器、記憶體、及數位1/0埠,其不僅能夠產生足
第25頁 1242795 五、發明說明(20) "m ;ΐ 11入到熱處理系統2 2 〇的控制電壓、更能夠 =十I =广1、、220的輸出。又,控制器275係可結合於A 板炎具 >皿度控制i $ 9 7 SP。μ 土 件州、熱壁溫控早制=828 1上組拙件^度控制單元286、上組 降組件290,並应I ;:資81 二真/糸統280、及基板升 的β換例如,儲存於記憶體之中 二二二於乂豕处理芩數表而引起到熱處理系統220之前述 兀件的輸入。、控制器2 75的-實例為美國德州姆丁市之 戴爾公司的戴爾精密工作站6 1 〇ΤΜ。 在另:實施例中,控制器235及275為相同之控制器。 在一實例中,圖6係代表熱處理系統22〇,,苴 蓋部m,具有把手223及至少一鉸腦、觀看窗22二 至少-壓力感測裝置226。在匕外,熱處理系統22〇,更包人 基板偵測系統2 2 7,俾能確認基板是否位於載持面之内。 基板偵測系統’例如’包括奇恩斯的數位雷射感測哭。° 圖12、圖13及圖14分別代表熱絕緣組件23(;的側視 圖、上視圖、及側橫剖面圖。亦可將類似的組件 緣組件5 0、1 5 0或6 5 0使用。熱絕緣組件2 3 〇係包含界面、'、 231 ’其結合於’例如,化學處理室211,如圖12所示,反 用以形成為熱處理至2 2 1 (如圖1 4 )盥化學處理宮? 入 的結構性接觸、A包含絕緣板2 3 2 ,結合於界面板= 小熱處理室221與化學處理室211之間熱 減 12中’界面獅係包含—個或更多之結構性:觸又構, 233,其具有用以連接於熱處理室221之匹配表 面234。界面板231係由金屬所製成,例如銘、不鏽表
1242795 五、發明說明(21) 等’俾能構成兩個處理室2 1 1、2 2 1之間的剛性接觸。絕緣 板2 3 2係由低熱傳導性的材料所製成·,例如鐵氟龍 (Teflon)、礬土、石英等等。在同一天申請之美國專利 申請案第1 0/ΧΧΧΧΧΧ號,「用以熱絕緣相鄰之溫度受控制 的處理室的方法與設備」,已詳細說明熱絕緣組件,故在 此將其所有内容列為參考資料。 如圖2及圖1 4所示,閘閥組件2 9 7係用以垂直地轉送閑 閱2 97,俾能開啟及關閉共同開口 2 94。閘閥組件29 6更包 含間閥轉接板239,其具有與界面板231之間的真空密封環 並具有與閘閥2 9 7之間的密封環。 兩個處理室2 11、2 2 1係利用一個或更多之對位裝置 且終止於一個或更多之對位受器235,,如圖6所示,及 一個或更多之鎖緊裝置236 (即螺拴)穿過第一室之凸 3”例如化學處理室211 )且終止於第二 子處理室221) t中的一個或更多之容納裳置2 3 6,(即牙熱 扭i,如圖6所示,故能互相結合。如圖14所示,真空密 王衣可形成在絕緣板232、界面板231、閘轉接板2 39之 0曰^’且使用,例如,塑彈性〇形密封環238、與直空密封产 勺化學處理室2 1 1可經由〇形密封八 、衣 與熱處理室221之間。“封衣238而形成在界面板232 又,包含化學處理室211與熱處理室 凡件的表面係可塗佈有保護性阻障 ' 5更多 包含卡普頓、鐵氟龍(Tefi〇n) H㈣性阻障層係 土、I土釔等等的陶瓷喷灑塗佈、?化層例如礬 电水電解氧化等等的至少
第27頁 1242795 五、發明說明(22) 圖15係代表具有化學處理系統210與熱處理系統22〇之 處理系統2 0 0的操作方法。此方法以流程圖8 〇 〇加以表示, 由步驟8 1 0開始,其中使用基板輸送系統將基板傳送到化 學處理系統2 1 〇。藉由容納於基板夾具之中的升降銷接收 基板,並將基板降低到基板夾具。之後,使用失甜系統, 例如靜電夾鉗系統,將基板固定於基板夾具,並將熱傳遞 氣體供應到基板的背面。 在步驟820中,設定用於基板之化學處理的一個或更 多之化學處理參數。例如,一個或更多之化學處理來$數係 包含化學處理的處理壓力、化學處理壁溫、化學處理其板 夾具溫度、化學處理基板溫度、化學處理氣體分=系ς溫 度、及化學處理氣體流量的至少之一。例如,可產^ ^ = 之一個或更多者:1 )結合於壁溫控制單元與第_⑽ :空制器係用於設定化學處理室所需的化學皿又:
'皿又,2 )結合於氣體分配系統溫度控制單元與第二、W 感測裝置的控制器係用於設定化學處需的一風W 感測裝置的至少之一的控制器係用於設 二Ϊ哭ί:板夾具之中的第四溫度感測裝置的至少之-的 用於設定化學處理基板溫度;5)結合於从亩介 糸、、先氣體分配系統、及壓力感測裝置;’、: 器係用於設定化學處理室之中的處理壓力;U:6的)控一制
第28頁 1242795 五、發明說明(23) 口或更多之處理氣體的質流量係藉由結合於氣體分配系統 之中的一個或更多之質流控制器的控制器加以設定。 、〜在步驟8 3 0中,以步驟820所設定的條件對基板進行長 達第一期間的化學處理。第一期間的範圍係在丨〇到4 8 〇秒 之内。 在步驟8 4 0中’將基板從化學處理室傳送到熱處理 至。在此期間内,移去基板夾鉗,且終止供應到基板之背 面的熱傳遞氣體之流量。使用容納於基板夾具之中的升降 銷組件將基板垂直地從基板夾具升高到輸送面。輸送系統 攸升降銷接收基板’並將基板定位在熱處理系統之中。此 守基板升降組件係從輸送系統接收基板,並將基板降低 至基板夾具。 在步驟8 5 0中,設定基板熱處理所需之熱處理參數。 例如’ 一個或更多之熱處理參數包括熱處理壁溫、熱處理 上組件溫度、熱處理基板溫度、熱處理基板夾具溫度、熱 處理基板溫度、及熱處理的處理壓力的至少之一。例如, 可產生下列之一個或更多者:1 )結合於熱處理室之中的 壁溫控制單元與第一溫度感測裝置的控制器係用於設定熱 處理時的壁溫;2 )結合於上組件之中的上組件溫度控制 單元與第二溫度感測裝置的控制器係用於設定熱處理上組 件溫度;3 )結合於已加熱之基板夾具之中的基板夾具溫 度控制單元與第三溫度感測裝置的控制器係用於設定熱處 理基板夾具溫度;4 )結合於已加熱之基板夾具之中的基 板夾具溫度控制單元與第四溫度感測裝置、且結合於基板
第29頁 1242795 五、發明說明(24) 的控制器係用於設定熱處理基板溫度;5 )結合於抽真空 系統、氣體分配系統' 及壓力感測裝置的控制器係用於設 定熱處理室之中的熱處理之處理壓力。 在步驟8 6 0中’以步驟8 5 0所設定的條件對基板進行長 · 達第二期間的熱處理。第二期間的範圍係在1 〇到4 8 〇秒之 在一實例中,如圖2所示之處理系統2 〇 〇可為用以裁剪 氧化硬罩的化學氧化去除系統。處理系統2〇〇係包含用以 化學性處理基板之露出的表面層,例如氧化表面層,的化 學處理系統2 1 0,藉以吸收足以影響表面層之化學變化的 籲 露出表面上的處理用化學物質。此外,處理系統2 〇 〇係包 含用以熱處理基板的熱處理系統2 2 〇,藉以提高基板溫 度’俾能吸收(或去除)基板之露出表面層的化性變化。 在化學處理系統2 1 0中,將處理空間2 6 2 (如圖2 )抽 真空’並通入HF與NH3的處理氣體。又,處理氣體更包含 載子氣體。載子氣體,例如,包括惰性氣體,例如氬、 氙、氦等等。處理壓力的範圍從1到丨〇〇mT〇rr。又,處理 壓力的範圍從2到2 5mTorr。對各物種之處理氣體的流量範 圍從1到2〇Osccm。又,流量的範圍從1〇到丨㈣^⑽。雖然 φ 圖2及圖3所示之抽真空系統2 5 〇係從側面通入化學處理室 21 1,但仍可達到均勻的(三維的)壓力場。表格J顯示基 板表面上的壓力均勻度之相依性為處理壓力及氣體分配系 統2 6 0與基板242之上表面之間的間隔之函數。 表格1
第30胃 1242795 五、發明說明(25) (%) ~~ ----[ 髮力 50mm --—, 62 75 100 200 .· 2 0m T urr 9 7 4 3 0,«f. ΗΛ ---- ΝΑ ---- 1,6 ΝΑ ΝΑ ΗΑ ----^ ΝΑ G.75 CM2 ΝΑ ----— 3.1 1.2 ΝΑ ΝΑ ------ 5 9 ----^ 2.8 —------ ΗΛ ΝΛ ΝΑ ΜΛ 3.5 ------ 3. 1 1.7 0,33^ 此外,可將化學處理室2 1 1加熱到1 〇 °C至2 0 0 °C的溫度 範圍。又,處理室的溫度範圍從35 t至55 °C。此外,可將 氣體分配系統加熱到1 〇至2 0 〇 °C的溫度範圍。又,氣體 分配系統的溫度範圍從4 〇 °c至6 〇 °C。可將基板維持在1 0 °c 至5 0 T:的溫度範圍。又,基板的溫度範圍從25 °C至30 t。 在熱處理系統2 2 0中,可將熱處理室2 2 1加熱到2 0 °C至 2 0 0 °C的溫度範圍。又,處理室的溫度範圍從7 5 °C至 1 0 0 °C。此外,可將上組件加熱到2 0 X:至2 0 〇 °C的溫度範 圍。又,上組件的溫度範圍從7 5 r至1 0 0它。可將基板加 熱到超過1 〇 〇 °c的溫度,例如,從1 〇 〇 °C至2 〇 〇。<:。又,基 板的溫度範圍從1 〇 〇 °C到5 0 °C。 在此所述之化學處理及熱處理對露出的氧化表面層係 造成超過每六十移1 〇 n m之熱氧化的化學處理之钱刻量、^ 該露出的氧化表面層造成超過每一百八十秒25nm之熱氧化 的化學處理之蝕刻量、與對該露出的氧化表面層造^超 每一百八十粆l〇nm之臭氧TE〇S的化學處理之蝕刻量。兩種 處理對整個基板之蝕刻量所產生的變異小於2. 5 %。
第31頁 1242795
第32頁 1242795 圖式簡單說明 五、【圖式簡單說明】 圖1 A顯示本發明之一實施例的化學處理系統與熱處理 系統所需之輸送系統的示意圖。 圖1 B顯示本發明之另一實施例的化學處理系統與熱處 理系統所需之輸送系統的示意圖。 圖1 c顯示本發明之又一實施例的化學處理系統與熱處 理系統所需之輸送系統的示意圖。 圖2顯示本發明之一實施例的處理系統之橫剖面圖。 圖3顯示本發明之一實施例的化學處理系統之橫剖面
圖。 圖4顯示本發明之另一實施例的化學處理系統之立體 圖。 圖5顯示本發明之一實施例的熱處理系統之橫剖面 圖。 圖6顯示本發明之另一實施例的熱處理系統之立體 圖。 圖7顯示本發明之一實施例的基板夾具之橫剖面圖。 圖8顯示本發明之一實施例的氣體分配系統之橫剖面
圖。 圖9 A顯示本發明之另一實施例的氣體分配系統之橫剖 面圖。 圖9 B顯示圖9 A所示之本發明的一實施例之氣體分配系 統的放大圖。 圖1 Ο A與圖1 Ο B顯示圖9 A所示之本發明的一實施例之氣
第33頁 1242795 圖式簡單說明 體分配糸統的立體圖。 圖1 1顯示本發明之一實施例的基板升降組件。 圖1 2顯示本發明之一實施例的熱絕緣組件之側視圖。 圖1 3顯示本發明之一實施例的熱絕緣組件之上視圖。 圖1 4顯示本發明之一實施例的熱絕緣組件之橫剖面側 視圖。 圖1 5顯示用於處理基板的流程圖。 元件符號說明
1 、1 0 0、2 0 0、6 0 0 處理系統 1 0、1 1 0、6 1 0 第一處理系統 1 2 0、2 0、6 2 0 第二處理系統 1 3 0、3 0、6 3 0 輸送系統 1 5 0、5 0、6 5 0 隔離組件 2 1 2、2 1 0 ’ 化學處理系統 213 化學處理室 214 蓋部 215 把手
216 扣環 217 觀看窗 216 壓力感測裝置 217 鉸鏈 2 2 2、2 2 0 ’ 熱處理系統 2 2 3 熱處理室
第34頁 1242795 圖式簡單說明 2 2 2 蓋部 2 2 3 把手 2 24 鉸鏈 22 5 觀看窗 2 2 6 壓力感測裝置 2 2 7 基板4貞測系統 231 >231 熱絕緣組件 2 31 界面板 2 3 2 絕緣板 2 3 3 結構性接觸構件 2 3 4 匹配表面 239 閘轉接板 2 3 80形密封環 2 3 5、2 7 5 控制器 2 3 5’ 對位受器 2 3 6 鎖緊裝置 2 3 6’ 容納裝置(牙孔) 2 3 7 緣 2 4 1、2 7 0、3 0 0 基板夾具 242、242’ 、242’’ 基板 2 4 5 基板爽具組件 251 > 2 8 0 抽真空系統 2 5 2 真空泵 2 5 5 閘閥
第35頁 1242795
圖式簡 單說明 260 、400 、420 氣體分配糸統 263 處 理 空 間 267 壁 部 加 壶丸 /、'、 元 件 268 氣 體 分 配 加 献 元 件 269 控 制 單 元 270 氣 體 分 配 系 統 溫 度 控制單元 272 基 座 274 敎 阻 障 276 加 献 元 件 278 基 板 夾 具 溫 度 控 制 XJ0 —· 早兀 281 孰 壁 溫 控 制 單 元 285 数 / 部 加 熱 元 件 286 、285 上 組 件 286 上 組 件 溫 度 控 制 單 元 291 基 板 升 降 組 件 294 共 同 開 口 296 閘 閥 組 件 299 轉 移 開 α 310 處 理 室 匹 配 元 件 311 絕 緣 元 件 312 絕 緣 元 件 314 、、四 /JHL 度 控 制 元 件 320 冷 媒 通 道 322 冷 媒 入 V 1242795 圖式簡單說明 324 冷媒出口 328 靜電夾鉗(ESC ) 330 陶竟層 332 夾钳電極 334 高壓(HV ) DC電壓源 336 電性接點 340 背面供氣系統 342 氣體供應管線 344 溫度感測裝置 350 熱絕緣空隙 360 升降銷組件 362 升降銷 40 製造糸統 402 氣體分配組件 404 氣體分配板 406 氣體分配充氣室. 408 氣體分配孔 410 、4 1 0 ’ 氣體供應管線 422 氣體分配組件 424 、4 2 6、4 2 8 元件 430 第一氣體分配板 432 第二氣體分配板 440 第一氣體分配充氣室 442 第二氣體分配充氣室 1 η
第37頁 1242795 圖式簡單說明 444 、 448 子L 4 4 6 通道 45 0 第一氣體供應通道 4 52 第二氣體供應通道 5 0 0 葉片 510 吊環 5 2 0 凸緣
5 3 0 驅動系統 540 凹穴 810至860 步驟
第38頁

Claims (1)

1242795 申請專利範圍 種基板熱處理用之處理系統 一溫度受控制的熱處理室;
包含: 且》、μ Ϊ ^控制的基板夾具,其安裝在該熱處理室之中 ^ ^為貝貝熱絕緣於該熱處理室,其中該受熱的 具係包含一拖姓 ,φ .. 構’用以提高該基板之一熱處理基板溫度, 1平月&熱處理JL u 1 _ ,、上已經過化學性改變的露出表面層; 基板升降組件,結合於溫度受控制的該熱處理室, 而用以在一·值& ^ 1寻廷面與溫度受控制的該基板夾具之間轉送該 基板;及
—抽真空系統,結合於該熱處理室及用以排出熱處理 過程的氣態產物。 2 ·如申請專利範圍第1項之基板熱處理用之處理系 絲,^ 、: 文包含一控制器,結合於溫度受控制的該熱處理室、 又熱的该基板夾具、該基板升降組件、與該抽真空系統的 少之一,且用以對熱處理室溫度、熱處理基板夾具溫 ,、熱處理基板溫度、與熱處理的處理壓力的至少之一進 行叹定、監視、與調整操作的至少之一。
3 ·如申請專利範圍第1項之基板熱處理用之處理系 統’其中該熱處理系統係結合於另一處理系統。 ^ 4 ·如申請專利範圍第1項之基板熱處理用之處理系 為’其中該熱處理系統係結合於一輸送系統。 5 ·如申請專利範圍第1項之基板熱處理用之處理系 統’其中該熱處理系統係結合於一化學處理系統。 6 _如申請專利範圍第1項之基板熱處理用之處理系
第39頁 1242795 ?、申請專利範圍 Ϊ中^熱的該基板夾具具有薄膜加熱器、鑄入式加熱 為、弘阻凡件、加熱道、輻射燈與電熱裝置的至少之一。 如申請專利範圍第1 2 3 4 5 6 7項之基板熱處理用之處理系 兩 /、中’皿度文控制的該熱處理室具有冷卻道、加熱道、 私P力"、、元件、輻射燈與電熱裝置的至少之一。 ,8·如申請專利範圍第1項之基板熱處理用之處理系 、’先更匕S 基板偵測系蘇,用以偵測結合於該熱處理室 之該基板料組件上是否存在有該基板。 9 ·如申請專利範圍第1項之基板熱處理用之處理系 統’其中該基板升降組件具有用以傳送該基板的一葉片, 及具有用以在該受熱的基板夾具與該傳送面之間垂直地轉 送該基板的一驅動系統。 統 板 統 統 統 1 0 ·如申請專利範圍第9項之基板熱處理用之處理系 其中該葉片包含三個或更多之吊環,用以接收該基
第40頁 1 1.如申請專利範圍第1項之基板熱處理用之處理系 2 其中该熱處理系統更包含一上組件。 3 1 2 ·如申請專利範圍第1 1項之基板熱處理用之處理系 4 其中該上組件的溫度係受到控制。 5 1 3.如申請專利範圍第1 1項之基板熱處理用之處理系 6 其中該上組件用以進行導入一清除氣體至該熱處理室 及偵測該基板升降組件上是否存在有該基板的至少之一。 7 1 4 · 一種基板熱處理用之處理系統的操作方法,包含 8 以下步驟: 1242795
一輸迗步驟,將該基板輸送到一熱處理系統之中,該 ΐ處:ΐϊ: 熱處理室、-溫度受控制的基板夾 …女衣,μ Λ、、處理室之中且形成為實質熱絕緣於該熱 處理室、了基板升降組件,結合於溫度受控制的該熱處理 室 >,而用以在一傳送面與溫度受控制的該基板夾具之間轉、 =^基板 抽真空系統、與一控制器,結合於該熱處理
系統所疋熱處理參數步驟,使用該控制器設定該熱處理 的處嗖二之熱處理參數,其中該熱處理參數包含一熱處理 熱處力、一熱處理室溫度、一熱處理基板溫度、與一 土板夾具溫度的至少之一;及 中的診處理步驟’使用該熱處理參數處理該熱處理系統之 層。/基板’俾能除去該基板之化學性已改變的露出表面 统的操·如申請專利範圍第1 4項之基板熱處理用之處理系 鑄入式作方法’其中受熱的該基板夾具具有薄膜加熱器、 至少之力°熱裔、電阻元件、加熱道、輻射燈與電熱裝置的 1 6 缽的赶·如申請專利範圍第1 4項之基板熱處理用之處理系 道、^作方法’其中溫度受控制的該熱處理室具有冷卻 Λ/ΐ7 ^ 〜。 …、逼、電阻加熱元件、輻射燈與電熱裝置的至少之 17 l ^
统的播· 甲請專利範圍第1 4項之基板熱處理用之處理系 &作方法,更包含偵測該基板升降組件上是否存在有
第41頁 1242795 六、申請專利範圍 該基板。 1 8 ·如申請專利範圍第1 7項之基板熱處理用之處理系 統的操作方法’其中該基板升降組件具有用以傳送該基板 的一葉片,及具有用以在該受熱的基板夾具與該傳送面之 間垂直地轉送該基板的一驅動系統。 1 9 ·如申請專利範圍第1 8項之基板熱處理用之處理系 統的操作方法,其中該葉片包含三個或更多之吊環,用以 接收該基板。 2 0 ·如申請專利範圍第1 4項之基板熱處理用之處理系 統的操作方法,其中設定該熱處理室溫度的步驟包含使用 結合於該熱處理室的一壁溫控制單元加熱該熱處理室及監 視該熱處理室溫度。 2 1 ·如申請專利範圍第2 〇項之基板熱處理用之處理系 統的操作方法,其中該熱處理室溫度的範圍從2 〇 °c到 2 0 0 〇C 。 2 2 ·如申請專利範圍第丨4項之基板熱處理用之處理系 統的操作方法,其中設定該熱處理基板夾具溫度的步驟包 含調整結合於溫度受控制之基板夾具的一基板加熱組件及 監視該熱處理基板夾具溫度。 2 3 ·如申請專利範圍第2 2項之基板熱處理用之處理系 統的操作方法,其中該熱處理基板夾具溫度超過1 0 0 t。 2 4.如申請專利範圍第丨4項之基板熱處理用之處理系 統的操作方法,其中其中該設定該熱處理基板溫度的步驟 包含調整結合於溫度受控制的該基板夾具的一基板加熱組
第42頁 1242795 六、申請專利範圍 件及監視該熱處理基板溫度。 統的$作如方申^專Λ範圍第2 4項之基.板熱處理用之處理系 2 〇 〇 π。彳/、中忒熱處理基板溫度的範圍從1 〇 〇 t到 統的3作如申^專利範圍第14項之基板熱處理用之處理系 私作方去,其中該熱處理室更包含一上組件。 統的H申^專Λ範圍第2 6項之基板熱處理用之處理系 熱處理上組:溫度個或更多之熱處理參數更包含- 統的=作如方申f W圍第2 7項之基板熱處理用之處理系 使用,其中設定該熱處理上組件溫度的步驟包含 處理組件的一上組件溫度控制單元及監視該熱 絲M t9·^·如申請專利範圍第28項之基板熱處理用之處理系 200、。/木作方法,其中該熱處理上組件溫度的範圍從20到 3。0.如申請專利範圍第1 4項之基板熱處理用之處理系 1ί ϊ ί方法上,其中該熱處理系統更包含一裝置,用以將 «I豆V入到該熱處理系統且控制一氣體流量。 3 1 ·如申請專利範圍第1 4項之基板熱處理用之處理 :)刼作方法,其中該熱處理系統具有一上組件,i用以 進^導入一清除氣體至該熱處理室及偵測該基板升降纟且杜 上是否存在有該基板的至少之一。 、、
第43頁
TW093107047A 2003-03-17 2004-03-17 Processing system and method for thermally treating a substrate TWI242795B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US45464103P 2003-03-17 2003-03-17

Publications (2)

Publication Number Publication Date
TW200425240A TW200425240A (en) 2004-11-16
TWI242795B true TWI242795B (en) 2005-11-01

Family

ID=33029906

Family Applications (1)

Application Number Title Priority Date Filing Date
TW093107047A TWI242795B (en) 2003-03-17 2004-03-17 Processing system and method for thermally treating a substrate

Country Status (7)

Country Link
US (1) US7079760B2 (zh)
EP (1) EP1604389B1 (zh)
JP (1) JP4745958B2 (zh)
AT (1) ATE440376T1 (zh)
DE (1) DE602004022641D1 (zh)
TW (1) TWI242795B (zh)
WO (1) WO2004082821A2 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7952054B2 (en) 2006-04-13 2011-05-31 Shin-Etsu Chemical Co., Ltd. Heating element
TWI459479B (zh) * 2007-06-05 2014-11-01 Lintec Corp A semiconductor wafer followed by a device and a subsequent method

Families Citing this family (69)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004075567A (ja) * 2002-08-12 2004-03-11 Idemitsu Kosan Co Ltd オリゴアリーレン誘導体及びそれを利用した有機エレクトロルミネッセンス素子
US7877161B2 (en) 2003-03-17 2011-01-25 Tokyo Electron Limited Method and system for performing a chemical oxide removal process
US6951821B2 (en) * 2003-03-17 2005-10-04 Tokyo Electron Limited Processing system and method for chemically treating a substrate
US7029536B2 (en) * 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate
US8540843B2 (en) 2004-06-30 2013-09-24 Lam Research Corporation Plasma chamber top piece assembly
US20060000551A1 (en) * 2004-06-30 2006-01-05 Saldana Miguel A Methods and apparatus for optimal temperature control in a plasma processing system
US7780791B2 (en) * 2004-06-30 2010-08-24 Lam Research Corporation Apparatus for an optimized plasma chamber top piece
JP4460418B2 (ja) * 2004-10-13 2010-05-12 東京エレクトロン株式会社 シールド体および真空処理装置
US8496799B2 (en) * 2005-02-08 2013-07-30 The Trustees Of Columbia University In The City Of New York Systems and methods for in situ annealing of electro- and electroless platings during deposition
US8529738B2 (en) * 2005-02-08 2013-09-10 The Trustees Of Columbia University In The City Of New York In situ plating and etching of materials covered with a surface film
JP4860219B2 (ja) * 2005-02-14 2012-01-25 東京エレクトロン株式会社 基板の処理方法、電子デバイスの製造方法及びプログラム
US7510972B2 (en) * 2005-02-14 2009-03-31 Tokyo Electron Limited Method of processing substrate, post-chemical mechanical polishing cleaning method, and method of and program for manufacturing electronic device
US7622392B2 (en) * 2005-02-18 2009-11-24 Tokyo Electron Limited Method of processing substrate, method of manufacturing solid-state imaging device, method of manufacturing thin film device, and programs for implementing the methods
US20060196527A1 (en) * 2005-02-23 2006-09-07 Tokyo Electron Limited Method of surface processing substrate, method of cleaning substrate, and programs for implementing the methods
WO2006110437A1 (en) * 2005-04-08 2006-10-19 The Trustees Of Columbia University In The City Of New York Systems and methods for monitoring plating and etching baths
WO2007027907A2 (en) * 2005-09-02 2007-03-08 The Trustees Of Columbia University In The City Of New York A system and method for obtaining anisotropic etching of patterned substrates
KR101100466B1 (ko) * 2005-12-22 2011-12-29 도쿄엘렉트론가부시키가이샤 기판 처리 장치
US7631898B2 (en) * 2006-01-25 2009-12-15 Chrysler Group Llc Power release and locking adjustable steering column apparatus and method
US8343280B2 (en) 2006-03-28 2013-01-01 Tokyo Electron Limited Multi-zone substrate temperature control system and method of operating
US7718032B2 (en) 2006-06-22 2010-05-18 Tokyo Electron Limited Dry non-plasma treatment system and method of using
US7416989B1 (en) 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
US20080045030A1 (en) * 2006-08-15 2008-02-21 Shigeru Tahara Substrate processing method, substrate processing system and storage medium
JP5100057B2 (ja) * 2006-08-18 2012-12-19 東京エレクトロン株式会社 半導体装置の製造方法
JP5185948B2 (ja) * 2006-12-06 2013-04-17 ザ トラスティーズ オブ コロンビア ユニヴァーシティ イン ザ シティ オブ ニューヨーク メッキ及びエッチング浴組成をスクリーニングするマイクロ流体システム及び方法
JP5084250B2 (ja) * 2006-12-26 2012-11-28 東京エレクトロン株式会社 ガス処理装置およびガス処理方法ならびに記憶媒体
US20080217293A1 (en) * 2007-03-06 2008-09-11 Tokyo Electron Limited Processing system and method for performing high throughput non-plasma processing
US7977249B1 (en) 2007-03-07 2011-07-12 Novellus Systems, Inc. Methods for removing silicon nitride and other materials during fabrication of contacts
US8083862B2 (en) * 2007-03-09 2011-12-27 Tokyo Electron Limited Method and system for monitoring contamination on a substrate
US20080228308A1 (en) * 2007-03-13 2008-09-18 Tokyo Electron Limited Critical dimension uniformity optimization
JP2008235309A (ja) * 2007-03-16 2008-10-02 Tokyo Electron Ltd 基板処理装置、基板処理方法および記録媒体
JP2008235315A (ja) * 2007-03-16 2008-10-02 Tokyo Electron Ltd 基板処理装置、基板処理方法および記録媒体
JP4949091B2 (ja) 2007-03-16 2012-06-06 東京エレクトロン株式会社 基板処理装置、基板処理方法および記録媒体
JP2008244224A (ja) * 2007-03-28 2008-10-09 Sumitomo Precision Prod Co Ltd プラズマ処理装置
JP5069982B2 (ja) * 2007-09-06 2012-11-07 東京エレクトロン株式会社 半導体装置の製造方法および半導体装置
WO2009051923A1 (en) * 2007-10-17 2009-04-23 The Trustees Of Columbia University In The City Of New York Systems and methods for in situ annealing of electro- and electroless platings during deposition
US8187486B1 (en) 2007-12-13 2012-05-29 Novellus Systems, Inc. Modulating etch selectivity and etch rate of silicon nitride thin films
JP5374039B2 (ja) * 2007-12-27 2013-12-25 東京エレクトロン株式会社 基板処理方法、基板処理装置及び記憶媒体
US20090212014A1 (en) * 2008-02-27 2009-08-27 Tokyo Electron Limited Method and system for performing multiple treatments in a dual-chamber batch processing system
US8303716B2 (en) 2008-07-31 2012-11-06 Tokyo Electron Limited High throughput processing system for chemical treatment and thermal treatment and method of operating
US8323410B2 (en) * 2008-07-31 2012-12-04 Tokyo Electron Limited High throughput chemical treatment system and method of operating
US8303715B2 (en) * 2008-07-31 2012-11-06 Tokyo Electron Limited High throughput thermal treatment system and method of operating
US8115140B2 (en) * 2008-07-31 2012-02-14 Tokyo Electron Limited Heater assembly for high throughput chemical treatment system
US8287688B2 (en) 2008-07-31 2012-10-16 Tokyo Electron Limited Substrate support for high throughput chemical treatment system
US7981763B1 (en) 2008-08-15 2011-07-19 Novellus Systems, Inc. Atomic layer removal for high aspect ratio gapfill
US8058179B1 (en) 2008-12-23 2011-11-15 Novellus Systems, Inc. Atomic layer removal process with higher etch amount
US8985050B2 (en) * 2009-11-05 2015-03-24 The Trustees Of Columbia University In The City Of New York Substrate laser oxide removal process followed by electro or immersion plating
CN103081071B (zh) * 2010-08-03 2015-09-30 东京毅力科创株式会社 基板处理方法和基板处理装置
US8932690B2 (en) * 2011-11-30 2015-01-13 Component Re-Engineering Company, Inc. Plate and shaft device
US9315424B2 (en) * 2011-11-30 2016-04-19 Component Re-Engineering Company, Inc. Multi-layer plate device
US9321087B2 (en) * 2013-09-10 2016-04-26 TFL FSI, Inc. Apparatus and method for scanning an object through a fluid spray
US9431268B2 (en) 2015-01-05 2016-08-30 Lam Research Corporation Isotropic atomic layer etch for silicon and germanium oxides
US9425041B2 (en) 2015-01-06 2016-08-23 Lam Research Corporation Isotropic atomic layer etch for silicon oxides using no activation
JP2017212328A (ja) * 2016-05-25 2017-11-30 京セラ株式会社 セラミック流路部材
US10763141B2 (en) * 2017-03-17 2020-09-01 Applied Materials, Inc. Non-contact temperature calibration tool for a substrate support and method of using the same
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
JP6947914B2 (ja) 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧高温下のアニールチャンバ
CN111095524B (zh) 2017-09-12 2023-10-03 应用材料公司 用于使用保护阻挡物层制造半导体结构的设备和方法
KR102585074B1 (ko) 2017-11-11 2023-10-04 마이크로머티어리얼즈 엘엘씨 고압 프로세싱 챔버를 위한 가스 전달 시스템
WO2019099255A2 (en) 2017-11-17 2019-05-23 Applied Materials, Inc. Condenser system for high pressure processing system
SG11202008256WA (en) 2018-03-09 2020-09-29 Applied Materials Inc High pressure annealing process for metal containing materials
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
WO2019226341A1 (en) 2018-05-25 2019-11-28 Lam Research Corporation Thermal atomic layer etch with rapid temperature cycling
KR20210019121A (ko) 2018-07-09 2021-02-19 램 리써치 코포레이션 전자 여기 원자 층 에칭
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
CN112996950B (zh) 2018-11-16 2024-04-05 应用材料公司 使用增强扩散工艺的膜沉积
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5282925A (en) 1992-11-09 1994-02-01 International Business Machines Corporation Device and method for accurate etching and removal of thin film
JPH0722500A (ja) * 1993-06-29 1995-01-24 Tokyo Electron Ltd 処理装置
US5900103A (en) * 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
JPH0969525A (ja) * 1995-08-31 1997-03-11 Mitsubishi Electric Corp 金属配線の処理方法
US6616767B2 (en) * 1997-02-12 2003-09-09 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability
US6074951A (en) 1997-05-29 2000-06-13 International Business Machines Corporation Vapor phase etching of oxide masked by resist or masking material
US5876879A (en) 1997-05-29 1999-03-02 International Business Machines Corporation Oxide layer patterned by vapor phase etching
US5838055A (en) 1997-05-29 1998-11-17 International Business Machines Corporation Trench sidewall patterned by vapor phase etching
US6583638B2 (en) 1999-01-26 2003-06-24 Trio-Tech International Temperature-controlled semiconductor wafer chuck system
JP2001102436A (ja) * 1999-05-07 2001-04-13 Applied Materials Inc 静電チャック及びその製造方法
US6310755B1 (en) 1999-05-07 2001-10-30 Applied Materials, Inc. Electrostatic chuck having gas cavity and method
JP3623134B2 (ja) * 1999-09-14 2005-02-23 東京エレクトロン株式会社 基板処理装置
US20010016226A1 (en) 1999-12-15 2001-08-23 International Business Machines Corporation Method for preparing the surface of a dielectric
JP4808889B2 (ja) 2000-01-05 2011-11-02 東京エレクトロン株式会社 透過分光を用いるウェハ帯域エッジの測定方法、及びウェハの温度均一性を制御するためのプロセス
US6245619B1 (en) 2000-01-21 2001-06-12 International Business Machines Corporation Disposable-spacer damascene-gate process for SUB 0.05 μm MOS devices
US6271094B1 (en) 2000-02-14 2001-08-07 International Business Machines Corporation Method of making MOSFET with high dielectric constant gate insulator and minimum overlap capacitance
US6335261B1 (en) 2000-05-31 2002-01-01 International Business Machines Corporation Directional CVD process with optimized etchback
JP2002009064A (ja) * 2000-06-21 2002-01-11 Hitachi Ltd 試料の処理装置及び試料の処理方法
JP2002025912A (ja) * 2000-07-04 2002-01-25 Sumitomo Electric Ind Ltd 半導体製造装置用サセプタとそれを用いた半導体製造装置
US6926843B2 (en) 2000-11-30 2005-08-09 International Business Machines Corporation Etching of hard masks
JP4945031B2 (ja) * 2001-05-02 2012-06-06 アプライド マテリアルズ インコーポレイテッド 基板加熱装置および半導体製造装置
JP2003068726A (ja) * 2001-08-23 2003-03-07 Tokyo Electron Ltd 冷却機能を備えた加熱処理装置
US6515261B1 (en) * 2002-03-06 2003-02-04 Applied Materials, Inc. Enhanced lift pin

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7952054B2 (en) 2006-04-13 2011-05-31 Shin-Etsu Chemical Co., Ltd. Heating element
TWI459479B (zh) * 2007-06-05 2014-11-01 Lintec Corp A semiconductor wafer followed by a device and a subsequent method

Also Published As

Publication number Publication date
JP2006525668A (ja) 2006-11-09
DE602004022641D1 (de) 2009-10-01
JP4745958B2 (ja) 2011-08-10
EP1604389B1 (en) 2009-08-19
US7079760B2 (en) 2006-07-18
ATE440376T1 (de) 2009-09-15
TW200425240A (en) 2004-11-16
WO2004082821A3 (en) 2004-11-04
WO2004082821A2 (en) 2004-09-30
EP1604389A2 (en) 2005-12-14
US20040184792A1 (en) 2004-09-23

Similar Documents

Publication Publication Date Title
TWI242795B (en) Processing system and method for thermally treating a substrate
TWI246710B (en) Processing system and method for treating a substrate
TWI253690B (en) Processing system and method for chemically treating a substrate
JP5100372B2 (ja) 基材を処理するための加工システムおよび方法
JP5014985B2 (ja) 基材を処理するためのプロセス加工システムおよび方法
JP2010520649A (ja) 高スループットの非プラズマ処理を行う処理システム及び方法
JP2011176365A (ja) 化学的酸化物除去(ChemicalOxideRemoval)処理システム及び方法
JP2007531306A (ja) 分圧を使用して化学的酸化物除去プロセスを調整するための方法およびシステム
JP2008502134A (ja) 基材を処理するためのプロセス加工システムを動作させる方法