TW579302B - Process chamber assembly, spray member and method for using the same - Google Patents

Process chamber assembly, spray member and method for using the same Download PDF

Info

Publication number
TW579302B
TW579302B TW091111053A TW91111053A TW579302B TW 579302 B TW579302 B TW 579302B TW 091111053 A TW091111053 A TW 091111053A TW 91111053 A TW91111053 A TW 91111053A TW 579302 B TW579302 B TW 579302B
Authority
TW
Taiwan
Prior art keywords
fluid
pressure
substrate
nozzle
chamber
Prior art date
Application number
TW091111053A
Other languages
English (en)
Inventor
Steven Lee Worm
Michael E Cole
Original Assignee
Micell Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micell Technologies Inc filed Critical Micell Technologies Inc
Application granted granted Critical
Publication of TW579302B publication Critical patent/TW579302B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Nozzles (AREA)

Description

579302 五、發明説明(1 發明範嘻 更特定言之則係關 本發明係關於流體分布元件及方法 於用以噴灑一流體之構件及方法。 發明背景 積體電路(1C)、光電子裝置、微機械裝置、及其他精密製 品之形成方式通常係在基材上設置薄膜,且製程中往往: 須去除或清除基材上之部分或所有薄膜。舉例而言,在製 造包含1C之半導體晶圓肖,吾人可在半導體基材上設置二 薄抗光蝕層,隨後再將其去除。 。人彳U甩子基材之表面構造上所去除之污染物會因先 前所執行之不同製造步驟(例如離子植入作業後之「後端製 程」(BEOL)清潔作f、「前端製程」(FE〇L)之清潔作業、 及化學機械研磨(CMP)後之步驟)而在本質及組成上展現極 大之差異。因此,清潔及處理步驟必須針對該等污染物, 以適當之化學物質及溶劑與其反應、使其離子化、溶解、 恥脹、分散、乳化、或汽化,方可將該等污染物從基材上 去除。目前已發展出多種具有上述功能之乾式清潔法、及 以水與溶劑為基底之系統,以因應種類繁多之廢料。 發明概要 根據本發明方法之具體實例,一種用以清潔一微電子基 材之方法包括將該基材置於一壓力室中,並令一包括密相 C〇2之加工流體以循環方式通過該室,致使該加工流體接觸 4基材。在令加工流體循環流動之步驟之至少部分過程中 ,吾人可以循環方式調變該c〇2之態相。 -4- 本紙張尺度適财_家標準(CNS) A4規-格(21GX297公董) 579302 A7 ______— _ B7 五、發明説明(2 7~" 根據本發明方法之其他具體實例,一種用以清潔一微電 子基材之方法包括將該基材置於一壓力室中,並在一室内 將-包括密相co2之加卫流體噴濃於該基材上。在噴濃加工 流體之步驟之至少部分過程中,吾人可以循環方式調變該 C〇2之態相。 根據本發明方法之其他具體實例,一種用以清潔一微電 子基材之方法包括將該基材置於一壓力室中,該壓力室内 含一包括密相c〇2之加工流體,致使該基材曝露於該c…中 。吾人可以循環方式調變該c〇2之態相,作法係令c〇2質量 流父替出現於一 C〇2供應源與該室之間、及該室與一低壓源 之間。該C〇2供應源之壓力大於該室,該低壓源之壓力則小 於該室。 根據本發明方法之其他具體實例,一種用以清潔一微電 子基材之方法包括將該基材置於一壓力室中,並將一包括 岔相C〇2之加工流體導入該室,致使該加工流體接觸該基材 ,藉以清潔該基材。吾人可將部分加工流體從該室中移出 ’並將該部分加工流體重新導入該室。 根據本發明方法之其他具體實例,一種用以清潔一微電 子基材之方法包括將该基材置於一壓力室中,並將一包括 饴相C〇2之加工流體導入該室,致使該加工流體接觸該基材 ’藉以清潔該基材。吾人可將部分加工流體從該室中移出 ’並蒸餾該部分從該室移出之加工流體,使C〇2與該加工流 體之其他組份分離。分離所得之c〇2則將重新導入該室。 根據本發明方法之其他具體實例,一種用以清潔一微電 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 579302 A7 B7 五、發明説明(3 ) 子基材之方法包括在一加工室内利用一包含C〇2之加工流體 清潔一基材,並將使用過之加工流體從該加工室中移出。 吾人可從使用過之加工流體中分離出c〇2。分離所得之c〇2 可在該加工室或另一加工室内重覆使用。 根據本發明之具體實例,一種用以清潔一微電子基材之 裝置包括一壓力室及一構件,該構件可使一包括密相0〇2之 加工流體以循環方式通過該室,致使該加工流體接觸該基 材。該裝置尚包括一構件,其可在該加工流體之循環過程 中調變該co2之態相。 根據本發明之其他具體實例,一種可利用一包括密相c〇2 之加工流體清潔一微電子基材之裝置包括一壓力室。一喷 灑元件可在該室内將該加工流體喷灑於該基材上。該裝置 尚包括一構件,其可以循環方式調變該(:02之態相。 根據本發明之具體實例,一種用以清潔一微電子基材之 裝置包括一壓力室,其内裝有一包括密相co2之加工流體。 一co2供應源可與該室形成流體連通,且該co2供應源之壓 力大於該室。一低壓源可與該室形成流體連通,且該低壓 源之壓力小於該室。流體控制元件可以循環方式調變該室 内c〇2之態相,作法係令co2質量流交替出現於該c〇2供應 源與該室之間、及該室與該低壓源之間。 根據本發明之具體實例,一種用以清潔一微電子基材之 裝置包括一壓力室及一加工流體供應源,其中該加工流體 包括密相c〇2,該供應源可與該室形成流體連通。一蒸餾系 統包括一蒸餾器,其與該室形成流體連通,且可分離出該 -6- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐)
裝 %
加工概體中之co2。該蒸H统可將分離所得之重新導 入該室或另一室中。 一 根據本發明之呈妒命γ丨 壯' ,、κ例,一種用以清潔一微電子基材之 置匕括.加工至,其内裝有一包括密相c〇2之加工流體 ;及-構件’其可將使用過之加工流體從該加工室中移出 :該裝置尚包括:-構件,$可從使用過之加工流體中分 难出C〇2 ’及—構件’其可將分離所得之(:02送回該加工室 或另一加工室供後續使用。 根據本發明之具體實例,—種可與-基材搭配使用之加 ,室總成包括一容器及一基材固持器。該容器構成一室。 该基材固持器具有—轉動轴,且包括位置相對之前、後表 IΜ表面可支#該基材。至少—片動輪葉係由該後表 面向使伸出’亚沿該轉動軸之徑向延伸。若令該基材固持 器繞該轉動軸旋轉,該動輪葉可產生一壓差,其有助於將 Ζ基材固疋於該基材固持器i。該加工室總成最好包括複 數片動輪葉,且該等動輪葉係由該後表面向後伸出,並沿 ύ亥轉動轴之徑向延伸。 根據本發明之其他具體實例,一種可與一基材搭配使用 之基材固持為具有一轉動軸,且尚包括位置相對之前、後 表面。該前表面可支撐該基材。至少一片動輪葉係由該後 表面向後伸出’並沿該轉動軸之徑向延伸。若令該基材固 持器繞該轉動軸旋轉,該動輪葉可產生一壓差,其有助於 趴该基材固定於該基材固持器上。該基材固持器最好包括 4數片動輪葉,且该等動輪葉係由該後表面向後伸出,並 A7
/yJOZ 五、發明説明(6 :據本發明方法之具體實例,-種用以在一壓力室内將 一基材固定於一基材固持哭$古、^^ 7至内知 才口持。。之方法包括在該壓力室内提供 弟-堡力。另需設置—基材固持器總成, 材固持器,其位於該壓力室 基 支按该基材之河表外殼,其構成一第二室。至少 通道可供流體在該基材固持器之前表面與該第二 動。若將該基材安裝於該基材㈣器上,該基材可 :盖该連接通這。3需利用—被動低壓源,在該第二室内 美供一低於該第一壓力之第二壓力。 根據本發明方法之其他具體實例,一種用以在一壓力室 :將-基材固定於一基材固持器之方法包括在該壓力室内
提供一第一壓力。另雲訊罢 ^ L 另而5又置一基材固持器總成,其包括: -基材固持器’其位於該壓力室内,且該基材固持器包括 二可支稽該基材之前表面;及—外殼,其構成—第二室。 ’、有限制性之通迢可供流體在該壓力室與該第二室間流 動=少一條連接通道可供流體在該基材固持器之前表面 與該第二室間流動。若將該基材安裝於該基材固持器上, 遠基材可覆蓋該連接通道。另需在該第二室内提供一低於 该第一壓力之第二壓力。 根據本發明之具體實例,一種用以保留一流體之壓力室 、〜成包括可相互分離之第一及第二外殼,其構成一封閉室 "丨L Λ漏路徑,該路徑係由該室延伸至一外部區域。 内側山封用元件係沿該洩漏路徑而設置,可限制流體從 λ至"“主垓外部區域之流量。一外側密封用元件係沿該洩 X 297公釐) 訂 本纸張尺度適财_家標gs)域备^ 9- 579302 A7
漏路徑而設置,且位於該内側密封用元件與該外 該内側密 流體之壓 構成_封 一外部區 可限制流 元件係沿 該外部區 量。該内 該外部區 至流往該 域之壓力 流往該室 間’可限制流體從該室流往該外部區域之流量。 封用元件係一杯形封。 :據本發明之其他具體實例,一種用以保留一 力至總成包括可相互分離之第一及第二外殼,其 閉至及-流體洩漏路徑,該路徑係由該室延伸至 域。-内側密封用元件係沿該冷漏路徑而設置, 體從該室流往該外部區域之流量。_外側密封用 該洩漏路徑而設置,且位於該内侧密封用元件與 域之間,彳限制流體從該室流往該外部區域之流 侧密封用元件係一杯形封。當該室内之壓力超過 域之壓力日夺,該内側密封用元件可限制流體從該 外部區域之流量。當該室内之壓力小於該外部區 時,該外側密封用元件可限制流體從該外部區域 流量 〇 根據本發明之具體實例,一種可為一基材加工之壓力室 總成包括一壓力容器,其構成一封閉壓力室。該壓力室内 &有一基材固持器’其可固持該基材。一驅動總成可移動 該基材固持器。該驅動總成包括:一第一驅動元件,其連 接於該基材固持器,俾隨該基材固持器、相對於該壓力容 為而移動;及一第二驅動元件,其與該第一驅動元件間無 法以流體相通,其與該壓力室間亦無法以流體相通。一驅 動單元可移動該第二驅動元件。該驅動單元與該苐一驅動 元件間無法以流體相通,該驅動單元與該壓力室間亦無法 -10. 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 579302 五、發明説明(8 以流體相通。該第二驅動元件係以非機械方式連接於該第 -驅動兀件’致使該驅動單元可透過該第一及第二驅動元 件移動該基材固持器。 根據本發明之其他具體實例,一種可為一基材加工之壓 力室總成包括一壓力容器,其構成一封閉壓力室。該壓力 室内設有一基材固持器,其可固持該基材。一磁力驅動總 成可使該基材固持器相對於該壓力容器而移動。 根據本發明之其他具體實例…種可為—基材加工之壓 力室總成包括-壓力容器,其構成一封閉壓力室及一外部 開口,該外部開口可與該壓力室形成流體連通。該壓力室 内設有一基材固持器,其可固持該基材。一驅動總成可使 邊基材固持器相對於該墨力容器而移動,該驅動總成包括 —外殼’其可覆蓋該壓力室之外部開σ,因而密封該外部 開口。 根據本發明之具體實例,一壓力室總成包括一壓力容器 及-防護加熱器總成。該壓力容器構成一封閉室。該防護 % 加熱總成包括一防護加熱器,其位於該室内,且介於該 壓力谷态之一包圍部分與一容納空間之間。該防護加熱器 可‘制4谷納空間之溫度。該防護加熱器與該壓力容器之 包圍部分無法相互傳熱。 根據本發明之某些具體實例,在該防護加熱器與該壓力 U包圍部分間形成一絕熱間隙。最好該絕熱間隙之寬 度至少為〇丨公厘。 根據本發明之某些具體實例,該防護加熱器總成包括一 -11 - 579302 A7 B7 五、發明説明(9 ) 層絕熱材料,其位於該防護加熱器與該壓力容器之包圍部 分間。最好該層絕熱材料之厚度至少為0.1公厘。 該防護加熱器總成尚可包括一第二防護加熱器,其位於 該室内,且介於該壓力容器之一第二包圍部份與該容納空 間之間。該第二防護加熱器可控制該容納空間之溫度。該 第二防護加熱器與該壓力容器之第二包圍部分無法相互傳 熱。 該防護加熱器内可裝設一流體喷灑桿。該容納空間内可 設置一基材固持器。 根據本發明之具體實例,一種可與一基材及一加工流體 流搭配使用之加工室總成包括一容器及一噴灑元件。該容 器構成一室。該喷灑元件包括至少一個形成於該喷灑元件 中之噴口,其可在該室内將該加工流體流分布於該基材上 。該加工流體可從該噴灑元件中、經由該至少一個喷口流 出,而該喷灑元件亦將依此作出反應,繞一轉動轴、相對 於該容器而旋轉。 該噴灑元件可包括一分布部分,其内包含一分布渠道。 該至少一個喷口即由該分布渠道延伸至該噴灑元件外。 該至少一個喷口之延伸方向可與該轉動軸形成一角度。 該至少一個喷口之延伸方向與該轉動軸所形成之角度最好 約在5與85度之間。 該加工室總成可包括複數個形成於該喷灑元件中之喷口。 在該噴灑元件與該容器間可設置一軸承,使該噴灑元件 與該容器可相對轉動。 -12- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) A7
根據本發明之其他具體實例,— 布於—基材上之噴灑元件包括種可將—加工流體流分 個形成於該噴灑元件中之噴口,賀灑元件,其包括至少一 工流體流分布於該基材上。节力忒°貝口可在該室内將該加 、經由該至少一個噴口流出^加二流體可從該噴灑元件中 反應,繞一轉動軸旋轉。IL ,而該噴灑元件亦將依此作該噴讓元件内可包含—分布渠道 該分布渠道延伸至該喷灑元件外。〆一個嘴口即 該至少一個噴口之延伸方向 該至少-個喷口之延伸方向與該轉=動轴形成-角, 約在5與85度之間。 ” ά所形成之角度最 件可包括«個形成於該”元件中之喷口。=元:可包括—桿狀分布部分,該至少一個 形成於該分布部分中。或去 —者5亥賀漉兀件可包括一碟狀分布 少-個噴口即形成於該分布部分中。 根據本發明方法之且體膏 '、紅只例,一種用以將一加工流體施 予-基材之方法包括:將該基材置於—容器之一室中· 供一喷:麗元件,其包括至少-個形成於該喷濃元件中之 口 ’ 過ά玄至少 ' 一個嘻口,4夕4 Α τ、士 知'该加工流體分布於該基材 ;及令該加工流體從該噴灑元件中經由該至少一個喷口 出,俾使該喷灌元件繞一轉動抽、相對於該容器而旋轉。 瞭解此項技蟄之人士在參閱以下有關較佳具體實例之 式及詳細說明後即可明瞭本發明之目的,但該等說明僅 本發明之範例。 出 由 好 提 喷 上 流 圖 為 本纸張尺度適用中國國豕標準(CNS) A4規格(210X297公董) -13- 579302 A7
圖1係一方塊圖,显g — 々不一根據本發明罝俨命 裝置; 圖2係一化學物質仳广μ ”紅戶、例之' 物貝供應/調節系統之方塊圖,該系統形成圖 1 m不裝置之一部分; 圖A方塊圖,顯示化學物質供應/調统之,替代設 計,該系統形成圖I所示裝置之一部分;… 圖4係方塊圖’顯示化學物質供應/調節系統之另一替代 設計,該系統形成圖1所示裝置之一部分;,、、 圖5係-方塊圖,顯示再循環系統之一替代設計,該系統 形成圖1所示裝置之一部分; 圖6係一方塊圖,顯示再循環系統之另一替代設計,該系 統形成圖丨所示裝置之一部分· 圖7係方塊圖,顯示一根據本發明具體實例之供應/回收 乐統; 圖8 ί丁、口 J ®目,顯不一根據本發明具體實例之壓力室總 成,該總成處於關閉狀態; 圖9係圖8所示壓力室總成之剖面圖,該總成處於開啟狀 態; 圖10係一上防護加熱器之剖面圖,該上防護加熱器形成 圖8所示壓力室總成之一部分; / 圖1 1係圆1 0所示上防護加熱器之俯視平面圖; 圖1 2係圖1 0所示防護加熱器之底視平面圖; 圖1 3係一下防護加熱器之剖面圖,該下防護加熱器形成 圖8所示壓力室總成之一部分; -14- 本紙張尺度適用中國國家標準(CNS) Α4規格(210 X 297公釐) 五、發明説明(12 圖14係圖13% - τ 不下防護加熱器之底視平面圖; 圖1)係圖8所+厭上〜 g 16. ^ '、力至總成之局部放大剖面圖; 圓1 6係一权报私a 宮洎ά 、立體圖式,該杯形封形成圖8所示壓力 至總成之一部分; ♦ · 圖Π係圖16所示虹 /、杯形封之局部立體圖式; 圖1 8係一 A|1 T- 曰 力室總成:。圖,顯示一根據本發明其他具體實例之壓 圖1 9係一剖而同 曰5 一 力室總成;圖'頒不-根據本發明其他具體實例之壓 圓-係-夾盤之俯視平面圖,該夾盤形成圓1 室總成之一部分; 土刀 圖21係圖20所示夾盤之底視平面圖; 圖22係圖20所示夾盤沿圖2丨中22-22剖面線之剖面圖; 圖23係一剖面示意圖,顯示一根據本發明其他具體實例 之壓力室總成; 圖24係一夾盤之俯視平面圖,該夾盤形成圖”所示壓力 室總成之一部分; 土 圖25係圖24所示夾盤沿圖24中25_25剖面線之剖面圖; 圖-6 ί7'剖面圖’顯不一根據本發明其他具體實㈤例之壓 力室總成; 圖27係一喷灑元件之底視圖,該元件形成圖%所示壓力 室總成之一部分; 圖28係圖27所示喷灑元件沿圖27中28-28到面線之剖面圖. 圖29係一底視平面圖,顯示一根據本發明其他具體實例 579302 五、發明説明(13 之噴灑元件。 趋J圭具體實例之謀細說明 以下舲+ 附圖以便為本發明提供更完整之說明。附圖 所示係本發明之較佳具體實例,但本發明亦可具有多種不 同瓜式之具體貫例,並不限於本文所提出之具體實例。之 斤 '提出。亥等具體貫例係為揭示更詳盡而完整之内容,並 使2白此$技藝之人士可澈底瞭解本發明之範圍。 僅就本叙明其中一項而言,本發明大致係關於微電子基 材(彳j 士半導基材)之清潔或處理,其操作時機可在積體電 路、微電子裝置、微型電子機械裝置(MEM)、微型電子光 學機械裝置(ME0M)、及光電子裝置之製造過程中或製造完 成後。在積體電路製程中’去除表面污染物及微粒係一重 要/心π私中之清潔步驟(一般稱為「清潔作業」)甚多。 不同類型之清潔作業包括:擴散前之清潔作業;前段製程 中、灰化傻之清潔作業;後段製程中、蚀刻後之清潔作業 ’至屬'儿知則之清潔作業;前端製程之電漿剝離作業;後 & π心之,“/㈣離作業;離子植人後之清潔作業;及化與 機械研磨(CMP)後之清潔作業。製程中可出現多種類型之: 拉:3染物’ *來源亦有多種可能。該等微粒及污染物之 本質可為分子 '離子、原子、或氣態,其來源則可呈 車身(例如抗光㈣之再沉積)或來自製程外(例如晶圓之^ 本發明之方法及裝置可有效解決互連系統由Al/Sl0,改為 Μ似(電介質常數)材料後所產生、原本未曾出現之問題為 本纸浪尺度適财賴家料(CNS) Μ規格“7公楚厂 16 579302 五、發明説明(η 例如,改用Cu後之一主迅n 純化之性質,因此,若=在於’Cu並不具有A1可自行 。鳴雙道鑲嵌結構境中便有可能被腐敍 之電阻值升高,並使電介;= 乍業中被腐钱,將導致接點 而降低電路產出。另_ =層產生讓切及舉離之現象,因 # ^ M ^ 眾所關注之焦點則為傳統清潔作 業興低k材枓之化學相 msG)及苴# >祕p ° 例如,由有機矽酸鹽玻璃 ( ’、媒機旋塗式電介質镇膜辦本a 體經證實會對通道造成損害所產f之胺化學物質氣 ^ s ^. 、本&明之知'點可解決該等新 ι互連/丁、統目珂尚待克服之清潔問題。 參見圖I,圖中顯子_ , ..肩不根據本發明較佳具體實例之裝置丨〇 。如圖所示,裝置丨〇可清办—曰 i之衣置10 此項技藝之人士在基材5之—表面。但熟習 在,閱本文之說明後便可瞭解 明之裝置及方法之多種構造及特點亦可用於清潔、Ll 他方式處理晶圓或他種基材或工件。此外,熟習此項技:: 之人士在务閱本文之說明後即可瞭解,以下所說明之多種
構件及步驟或可省略、或可改兔f 1 A 如傳統之構件或步驟)。 〜他h之構件或步驟(例 舉例而言,晶圓5可為一由半導體材料(例如石夕、氧 神化鎵...等)製成之晶圓。晶圓5具有—大體為平面狀 之工作表面5A、及—位置與其相對且大體為平面狀之背面 …作細上具有一連續或不連續之廢料層。該麼料 層可為-%抗先蝕劑、反應性離子蝕刻殘餘物、 研磨殘餘物、或離子植入後之殘餘物。上述廢料層:之二 料可包括:無機或有機污染物’例如以笨乙稀卒樹心 -17- 五、發明説明(15 ) 樹脂、環稀樹脂、或順丁稀二酸酐 二二二之聚合物;以氟離子、氣離子、漠離子、或換 ,基底之钱刻殘餘物;及内含氧化石夕或氧化铭研磨劑 之研磨漿殘餘物,其中亦 ,,L ^ 其他吊見之研磨漿添加物 ,例如氧化劑、緩衝劑、安定劑、表面活性劑、鈍化劑、 =合=、腐射⑽劑、或其他作用劑。吾人亦可利用該裝 〇、或以其他方式處理他種工件,例如MEMS、 MEOMS、光電子裝置、及立體之微米/奈米結構。 裝置1 〇大致包括一流量/壓力控制系統.i 〇 〇、一再循環系統 2〇〇、/一供應/回收系統300、一壓力室總成4〇〇、及一基材 刼作糸統500(圖8)。壓力室總成4〇〇包括一壓力室。晶圓 5在接受加工時需固定在壓力室41〇中,以下將有更詳二之 說明。流量/壓力控制系統1〇〇可調節一或多種化學物質(又 稱添加劑或改良劑)、C〇2(可為液態、氣態、及/或超臨界流 體(ScC〇2))、及/或化學物質與c〇2之混合物,並將其施於晶 圓)之工作表面5A。基材操作系統500可固持晶圓5,若有需 要亦可用於移動晶圓5,俾產生均勻之清潔效果。再循環系 統2 00可用於過濾加工流體,並將其送回壓力室4 1 〇。供應/ 回收系統300可供應加工流體,亦可用於清除加工後之流出 物,若有需要亦可送回部分流出物(基本上係回收之c〇2)以 便在裝置1 0中作進一步使用。 以下將詳細說明流量/壓力控制系統1 〇〇。系統丨〇〇包括一 槽丁1,其内裝有處於高壓狀態之CO:。槽τ丨内(:〇2之壓力最 好約介於400 psi與4000 psi之間,需視裝置1〇所執行之加工 -18- A7 B7 五、發明説明(16 ) 作業而疋。槽T1之容積最好至少為壓力室410容積之5停。 =度控制元件之運作係與和相連。舉例而m度 哭件可為’皿度感測器及-加熱線圈或探針或熱交換 。。㈢”内⑺2之溫度最好約介於〇°C與9CTC之間,需視裝 置丨〇所執行之加工作章而& 臨„。 作業而疋。該叫可為液態、氣態、或超 设數條出流管線L3、L4、H5可與槽丁㈣成流體連通。 ^需從槽T1中輸出液態叫,管線L3、L4、及⑽好係接 “曰T1之一較低部分(例如經由一位置較低之出口或一汲取 管)。槽T丨可經由出流管線L3、L4、及L5而與一化學物質供 應/調節系統12G(圖1係以示意方式表示,下文將有更詳細之 ㈣)' —進給管線U '及一進給管線u形成流體連通。間 Vl: V2、及V3可分別控制管線L3、L4、及L5内之流量。 •设數個化學物質供應源S1、S2、以可與系統丨2〇形成流體 連、各t、應源S 1、S2、S3均可包括單—化學物質、或包 才夕種相合之化學物質(可分別在各供應源s 1、u内、 或在其上游加以混合)。該等供應源所包含之各化學物質可 置於適當容器卜若可行的話,該等容器之壓力最好為大 氣壓力,以方便再填充。 舉例而a,由供應源S丨、S2、S3所提供之化學物質可包 括:水;氧化劑,例如過氧化物或過錳酸鹽;酸類,例如 氫氟酸、酸、及硝酸;鹼類,例如第二及第三胺;氫氧 化銨;溶劑’例如有機碳酸鹽、内酯、酮、醚、醇、亞颯 、硫醇、及烷烴;表面活性劑’例如包含氟化區段及親水 -19-
579302 A7 __ ___B7 五、發明説明~) — -----—— 或親脂性區段之區間共聚合物或無規共聚合物;具有以矽 氧少完為基底之組份及親水或親脂性組份之表面活性以石夕 碳氫化合物為基底之傳統離子性及非離子性表面活^以 及鹽類,例如氣化銨及膽素。不相容之化學物質“^ 合或彼此接觸後,有可能相互產生反應,目而妨礙加工作 業亚/或使裝置10或晶圓5受到損害或不當污染之化學物質 。不相容化學物質之實例包括酸與鹼。 ' 各供應源si、S2、S3内均可設置_液面感測器,藉以指 示需要再填充之時機,並/或為作業中所使用之化學物質提 ί、度i為控制供應源之溫度,亦可設置諸如加熱線圈 或加熱套等構件。各供應源S 1、S2、S3内均可設置一混合 用元件。 乐統120可提供一或多份體積受控之化學物質(可包含或不 含C〇2) ’且系統丨2〇可調節該等體積,下文將有更詳細之說 明。進給管線L1及L2均與系統120形成流體連通,俾接收該 一或多份體積之化學物質。進給管線L 1可與一喷嘴1 9 1形成 流體連通’該噴嘴則與壓力室410形成流體連通。進給管線 L2可與壓力室4 1 〇内之一喷灑元件i 9〇形成流體連通。進給 官線L丨及L2中分別設有過濾器F 1及F2。如圖所示,過濾器 FI、F2最好位於所有注入進給管線L1、L2之管線之下游端。 一真空管線L16可與壓力室410形成流體連通。一真空單 元P1可透過管線L16將壓力室410抽成完全或不完全真空。 真空單元P1可為一泵、或一或多個利用一連續運作之真空 泵而隨時保持在真空狀態或接近真空狀態之槽。真空槽之 -20- 本紙張尺度適用中國國家標準(CNS) A4規格(21〇x 297公釐) 579302
發明説明(19 )〇循環/秒之間。脈衝產生器102最好可使壓力室410内之壓 。力至少以100 psi之幅度下降及/或上升,若該幅度約介於 J〇〇 psi與1500 psi之間則更佳。 。亥脈衝機構可為任一適當之機構,例如一連接至線性引 之’舌基,一轉動軸及一連桿;一可藉由外部電線圈而 私動之磁性活塞;及/或一以電力、氣力、或液力驅動之活 塞或膜片。在一液力或氣力系統中,該脈衝機構可搭配一 閥系統,其可讓壓力迅速進、出膜片之非加工作業側,藉 =私動活塞或膜片。在一具體實例中,高壓槽丁 1可與一低 I合為(例如T2)形成流體連通,俾為脈衝機構(活塞或膜片) 提供原動力。 σ人可增设適當之閥系統(未圖示),使流體經由某一路徑 注入脈衝室102Β中,若關閉該路徑中之一閥則可迫使該流 體通過一包括一過濾器之第二路徑並返回壓力室410中。該 第二路徑可利用噴灑元件190將返回之流體送至壓力室410 。該複數條路徑可防止剛從晶圓上去除之污染物或脈衝室 内所產生之微粒(若使用活塞)再次被導入。 圖不之脈衝產生器1 02係接於壓力室4丨〇之一底部,但脈 衝產生為102實可接於壓力室41〇之任一高度。特定言之, 若脈衝產生器1 02係用於促進一需在壓力室4 1 〇内使用雙態 相(液體/氣體)加工流體之加工作業、抑或脈衝產生器丨〇2之 作用係在晶圓附近產生流體流及微粒流,脈衝產生器丨〇2最 好惊接於一較高部位。最好能使流體快速離開基材表面(沿 垂直方向),而非橫越晶圓表面(平行於該表面);若將噴嘴 •22- 本紙張尺度適用中國國家標準(CNS) Α4規格(210Χ 297公釐)
579302 A7 B7 五 、發明説明(2〇 ) 接於底部往往會產生後者之狀況。吾人或可利用一較大之 脈衝室使微粒自晶圓表面脫落,並使微粒充分遠離晶圓, 以免再度沉積。一較大之脈衝室亦可使態相產生兩種態相 變化,例如從超臨界態變為液態再變為氣態。 一出流管線L10及一閥V6可依照吾人之選擇,將壓力室 41〇之内容物排放至一壓力較低之區域,例如一低壓槽丁2 (容後述)、一流體輸送元件.(例如一泵)、或大氣中。吾人可 和C力至4 1 0之廢流出物抽出並排放至該低壓區域。 管線L10及閥V6除可供壓力室41〇排放廢料外,亦可與高 壓槽丁1串連,俾在壓力室4_產生壓力脈衝。欲達此目的 ,吾人可利用槽T1提高壓力室410内之壓力(亦即控制 ^V2、V3中之一或多個閥、及/或其他閥門,使槽T1與壓力 至4 1 0間形成一通路)、關閉閥V6、然後開啟閥,使壓力 室410内之壓力驟降。廢流出物可流至_低壓槽,例如槽丁) 。此一程序可視需要而重覆。 9 一 供應/調節糸絲 ί已予物貝供應/調節 . * 0二 叫供鹿 源之數量可多或少於此數)内之化學添加劑依選定之流量或 份量供應至壓力室41〇。此外,系統丨2〇亦可:照吾::: 擇’控制化學物質或化學物質/C〇2之壓力、溫度、及流; 。根據本發明,系統丨2G亦可採用特定之替代構造’容後: 。在參閱本文之說明後即可瞭解,本文所揭示二里二
之多種特點及構造或可省略、或可結合或代以該等具= 例之其他特點及構造。 M -23- 579302
,圖—其為一化學物質供應/調節系統120A之示意圖 。二:”、員不I置1 〇之某些相關部分。-流體輸送元件P3 照吾人之選擇,將供應源S1中之流體化學物質(「第一 —」)抽取至(或谷許其藉由重力而流入)一貝宁器R1中,該 旦、^ L力大體上與周圍壓力相等。一液面量測元件122可 里^ :射态内之流體體積,藉以測出待輸往壓力室4 10之化 :物質之體積。流體輸送元件”若可量測通過元件”之流 ^亦可求出射态R 1内之流體體積。而後,該貯器内之化 學添加,便可藉由重力排出,途經_調節單W(容後述) 、過濾器F1、及管線u,最後進入壓力室“ο。 或者亦可刼作一閥V 1 A,使槽丁〖内之匸〇2(例如超臨界態 c〇2(Scc〇2)、液態c〇2、或經壓縮之液態c〇2或氣態c〇2)經 由一管線L3 A輸往貯器R1。如此一來便可將添加劑與〇〇2之 加C此口物經由單元c丨、過濾器F1、及管線^ 1送至壓力室 410。 進步芩照圖2,系統1 20A可將一第二流體(一包含化學 物K之加工流體)輸往壓力室4 1 〇,該第二流體包括來自供 應源S2之化學物質,且供應源S2與供應源“不相容。系統 1 20 A為該第二流體所提供之流路係與該第一流體所使用之 流路相互獨立。該第二流路包括元件p4、、1 22、及C2 ,其大致對應於元件P3、R1、122、及C1。 4第二流體可為一僅含化學物質之流體(亦即不含c〇:), 並以與前述相同之方式,經由P4輸往貯器R2,然後途經調 節單元C2、過濾器F2、及管線L2,最後到達壓力室4 1 0 :或 •24- 本紙張尺度適用中闕家標準(CNS) Μ規格(_ χ挪公楚) 579302 A7 一 —___ B7 •STT發明説明(22~~) ~" - 者亦可操作一閥V1B,俾將槽T1内之c〇2以一管線導入 貯器R2中,使添加劑/C〇2以加壓狀態輸往壓力室4 ι〇。 圖2亦顯示如何透過循環管線L6、並利用以或一壓差將壓 力室410内之加工流體送回貯器&2。經送回之流體可與該第 二流體重新混合以便在作業過程中重覆使用。管線L6中可 增設一過濾器(未圖示)^ 參見圖3,圖中顯示一根據本發明其他具體實例之化學物 Μ供應/调節糸統120B。系統120B特別適合輸送氣態化學物 貝。系統120Β可對應於系統120Α,唯前者省略貯器义丨及R2 ’高壓C〇2可經由管線L3A、L3B及閥V1A、νΐβ直達調節 單元C1及C2。系統120Β可透過流體輸送元件ρ3(4ρ4)之操 作’使添加劑S 1 (或S 2)經由調節單元c 1 (或c 2)及過;慮器F 1 (或F2)而注入壓力室410。或者亦可將高壓c〇2加入並混合 於各調節單元C 1、C2内之化學物質S丨或S2中。在此情況下 ,若欲量測輸往壓力室4 1 0之化學物質之體積,可量測通過 流體輸送元件P3(或P4)之化學物質之流量,或量測供應容 5 s 1或s 2内之體積變化。吾人亦可控制輸往調節單元c丨及 C2之化學物質及/或c〇2之流量,使輸往室4丨〇之流體具有吾 人所需之C02對化學物質之比值。 參見圖4 ’圖中顯示一根據本發明其他具體實例之化學物 質供應/調節系統1 20C。系統1 20C包括一流體輸送元件P5, 其可依照吾人之選擇,交替抽取供應源s丨或S2,及抽取槽 T丨中之高壓C02(經由管線L3A及閥VI A)。元件P5可迫使經 選定之化學物質通過一調節單元C3、及過濾器F丨與F2中之 -25- 本紙張尺度適用中國國家標準(CNS) a4規格(2l〇x 297公釐) 579302 A7 B7 五 發明説明(24 連逍。一流體輸送元件P6可迫使壓力室4丨〇内之流體通過〆 過濾、為F3 ’並經由喷嘴1 93及/或喷灑元件1 9〇返回壓力室 4 1〇 °吾人可利用閥V7及乂8將流體交替輸送至該喷灑元件 或再循環噴嘴,並防止經由噴嘴1 93而回流。 圖6顯示本發明之另一替代再循環系統2〇〇b。系統200B包 括一出流管線L30,其可使壓力室4 1〇經由一輸送系統242而 與於鶴為243(其具有一加熱元件245)形成流體連通。輸送 乐統242可轉化壓力室41〇所排放之廢流,使其由起始狀態 (例如液體、壓縮液體、或超臨界流體)轉化為液體。最好輸 达乐統242亦可防止流體從蒸餾器243回流至壓力室41〇。為 此’輸送系統242可包括一或多個關斷閥及/或單向/止回閥。 右壓力室4 1 0所排放之廢流為液體,輸送系統242可不改 餐或流體’或僅改變該流體之溫度(例如使用一加熱器或急 冷為)。若壓力室4 1 0所排放之廢流係一壓縮液體,該輸送 糸統可提供減壓之功能(例如透過一曲折路徑、一孔口、或 控制閥)。輸送系統242亦可包括一溫度改變元件。若壓力 室4 1 0所排放之廢流係一超臨界流體,吾人最好能提供上述 之減壓功能及一改變溫度之步驟。在此狀況下,或有必要 (或最好能)冷卻該流體,使其跨入態相圖中之雙態相液體/ 氣體區。 只要該流體為液態,吾人便可在蒸餾器243中煮沸/蒸餾該 徵體,俾將其分離成兩種組份:一較輕組份(主要為c〇,氣 肢)及較重組份(主要為化學添加劑及夾帶之污染物)。 較重組份可傳送(例如藉由重力傳送)至一再循環/處置系統 -27- 本紙張尺度適用中國國豕標準(CNS) A4規格(21〇 X 297公董) 579302 、發明説明(25 244 〇 ,-管:泉L31可將C〇2氣體流(重量較輕)導入—熱交換器w C〇2氣體流將在此轉化(透過溫度及壓* ^ 〜休L )為加工流 -之狀悲(亦即液體、墨縮液體、或超臨界流體)。若該流體 :起始狀態為液體,該交換器可包括—連接至前述加埶元 :之傳熱線圈247’俾將凝結液之熱能傳遞至蒸餘器24’’3。 吾人可另以過濾、吸附、吸收、膜片分離、物理分峨如 雜心力)、或靜電分離等方式清潔C02。經過調節之C02將被 达回,俾為基材進行額外加工,或為下一塊基材加工。吾 人亦可在此人流流體中添加額外之化學物質(例如在—混人 用貯器248中)。 ° 此蒸餾再循環系統200Β可提供一以連續或間歇方式通過 壓力室4丨0之加工流體流。該質量流可將微粒帶離晶圓_ 如可防止録再沉積於晶圓上)、並/或對㈣表面施以機械 作=(震動),故有助於清潔作業。吾人可過渡或以其他方式 凋即该質量流。該質量流可完全由蒸餾器243内所增加之熱 能驅動’不需使用泵或其他有可產生微粒之機械元件。吾' 人可利用多個輸送系統242、蒸餾器243、熱交換器%提供 較大之連續流。 、 各個再循環系統200、200Α、2_均可提供一通過室4 | 〇 之質量流’且加工流體之質量不會在作業循環中有所減損 (雖然吾人可從加工流體流中過濾或蒸餾出少量之添加剖及 微粒)。此外’各個再循環系統2〇〇、2〇〇八均可提供一通過 罜4丨0之質量流且不致改變加工流體之化學組成。 -28- 579302 A7 B7 五、發明説明(26 ) 如圖1至圖5所示’過濾器F 1、F2、及F3最好至少可過遽 1 〇奈米至50微米之微粒。適當之過濾器可包括燒結過遽器 、袋型過濾器、磁過濾器、靜電過濾器、及/或上列各項之 組合。每/條進入壓力至4 1 0之流體流路控最好均如圖示之 具體實例具有一過濾器,並以該過濾器作為該路徑進入壓 力室410前之最終元件。特定言之,所有用於將流體送往壓 力室4 1 0之閥及流體輸送元件均位於至少一個過淚哭之上 游。 調節單元C 1、C 2、C 3可包括一用以混合添加劑中各化學 物質、或用以混合添加劑與C〇2(若有的話)之構件,以促進 添加劑之均質性及溶合。該等調節單元亦可包括一構件, 其可控制添加劑或添加劑/C〇2之溫度。適當之混合用元件 或混合方法包括機械式混合器及流體混合法。若欲達到# 制溫度之目的’舉例而言,可利用探針、内線圈、元件、 及/或一外套。例如可使用一電熱器或一流體熱交換器。 流體輸送元件P3、IM、P5最好可以一貫之方式,準確量 測流體之流量。舉例而言,適當之元件可包括膜片泵、唧 筒泵、或活塞泵。 本文雖圖示並說明特定之設計,但熟習此項技藝之人士 即知,本發明可以多種方式修改。例如在系統丨2〇八(圖中 ,可胼循環官線L6連接至流體輸送元件P3,俾將管線L6内 之流體導入管線L1。或許亦可設置一閥系統(未圖示),使 吾人得以為各流路選擇進給管線(亦即^或匕〕),如此一來 便可依吾人所需,將來自供應源S1(舉例而言)之化學物質 -29- A7 B7 五、發明説明(3〇 ) :可由喷潘元件190施予晶圓5。壓力室410内之流體及微 粒物質可由再循環系統200或200A自壓力室410中移除並局 邛再循環,並/或由管線L6及系統120加以再循環。 壓力室4 1 〇内之加工流體(密相c〇2、添加劑、及廢料)可 由官線L10排出。至於壓力室41〇内之c〇2則可回收至一回收 曰下文和有所說明。加工路徑(包括壓力室4 1 〇)可以槽丁 1 内之純液態或超臨界態c〇2沖洗一或多次。 上述「若有需要,可將化學物質S 1、S2、S3中之一或多 種(可包含或不含ScC〇2)施於晶圓上」、「執行循環式態相 凋交」、及「移除加工流體」等步驟可視需要而重覆。在 凡成循%式恶相調變之最後一次循環後便可將加工流體移 出,若有需要,供應源SI、S2、S3亦可將一淋洗液(例如一 共溶劑或表面活性劑)配施於晶圓5上(最好可由噴灑元件19〇 配施一處於加壓狀態之淋洗液)。 八、、:後便可利用來自槽T 1之scC〇2沖洗壓力室4 | 〇及加工路 徑(包括再循環路徑)以去除添加劑及殘餘物。若不使用淋洗 液,亦可利用純C〇2流體(液態或超臨界態)去除基材上之添 加劑及殘餘污染物。沖洗用之密相c〇2可再循環,但最終則 將由管線L10排出。最好能利用純液態或超臨界態〔ο]為晶 圓5及壓力室4 10進行最後一道淋洗。 然後便可為壓力室410減壓,並將晶圓5移出。 裝置丨〇最好可透過喷灑元件190以至少40〇 psi之壓力將加 工流體施於晶圓表面,若該壓力約在8〇〇卩以與“⑻psi之間 則更佳。該方法可包括:令噴灑元件19〇相對於晶圓而旋轉 -33- 579302 A7 __ B7 五、發明説明(Μ ) 並以A ’麗元件1 9 0將加工流體施於晶圓上。吾人可以轉動 方式驅動噴灑元件(例如噴灑元件丨9〇或噴灑元件6〇2)及/或 夾盤(例如夾盤5 10、522、或552)。 此外’吾人亦可利用一進給噴嘴(例如噴嘴19丨)將加工流 體輸入室4 1 0内,同時利用一或多條出流管線(例如管線L7 、官線L10、管線L11、及/或管線L6)將加工流體排出,因 而產生一越過晶圓5之加工流體流。裝置1〇最好可以至少2 gpm之流量提供該穿過室4 1 〇之流體流。 一如前述,該方法可包括:令包含c〇2之加工流體之密度 產生脈動,同時將該加工流體喷灑於晶圓5上。同樣,若以 脈衝產生為1 02進行態相調變,吾人亦可在加工流體通過室 410之同時進行密度調變。晶圓5及/或噴灑元件丨9〇可同時 轉動。 在上述各個需施用化學物質之步驟中所使用之化學物質 可為任-種適當之化學物質。肖定言之,f亥等化學物質可 包括共溶劑、表面活性劑、反應劑'螯合劑、及上列各項 之組合。值得注意的是,化學物質供應系統丨2〇之獨立流路 及/或沖洗用構件可將不相容之化學物質以安全、有效之方 式加入室4 1 0中。 該裝置可將不同狀態(例如液態、氣態、超臨界態)之加工 組份送往室410,且容許不同狀態之組份在室41〇内共存。 吾人若在清潔步驟中使用液態c〇2,該裝置可提供已加熱之 CA氣體(例如來自槽τυ,俾將加工組份自清潔作業室中排 出或沖出;吾人若以液態或超臨界態c〇2作為清潔步驟中之 -34- 579302 五、發明説明(32 ) 主流體,該裝置則可輸送一來自第二氣體槽丁3之第 一氣姐(例如義、备 斗、Ar、 .,. ^ I、或鼠^俾在一清潔步驟中及一淋哚牛 驟前置換該加工产娜·五人4 .、主* 淋洗步 … …吾人右在清潔步驟中使用ScC〇2,該 :-亦可U共已加熱之Scc02(例如超臨界態叫,其溫度 南於主要加工流體’但其密度則低於主要加工流體,俾在 一^潔步驟後、一淋洗步驟前置換該加工流體。 供應/回彳 供應/回收系統300可供應及/或回收並再供應c〇2及/或化 學物質至清潔作業中。部分C〇2將在㈣過程中消失。該作 業可包括批次循環’其中壓力室41〇將在基材(例如晶圓)進 出一以c〇2為基底之加工設備時,連續加壓及減壓若干次。 舉例而言’當吾人開啟壓力室以便取出並更換晶圓時,部 分co2便將消失在大氣中。部分c〇2則將隨系統所排出之廢 料流而從系統中消失。大部分之co2均將受到污染、或因受 其他影響而不適合或有可能不適合在作業循環中一再循環 。因此’吾人必須提供額外co2之來源以補充作業中所損耗 之c〇2。此外,c〇2及化學物質最好均可再循環,以便在裝 置10中或在他處重覆使用。 CO,存料供應源 參見圖7,供應/回收糸統3 〇〇包括一 c〇2存料供應源3丨2。 舉例而言,供應源3 12可為以下列形式供應之c〇2 ·· 一或多 個液體鋼瓶、一或多個内裝低溫液體且外加護框之小口大 玻璃瓶、或一或多個大型低溫液體供應系統。其儲存方法 最好兼可供應液態或氣態C02。 -35- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 579302 A7 B7 五、發明説明(33 ) 供應源3 12可經由一管線L17而與加工室410形成流體連通 ’官線L 1 7具有一閥VI 1,其可控制進入壓力室4 1〇之流量。 系統300最好能讓該供應源之c〇2以吾人所需之壓力(最好約 在15與50 pS1g之間)直接送入(亦即不需借助任何流體輸送元 件、加壓槽、或其類似物)壓力室41〇。供應源312可來自一 氣艎或液體來源。 一股供工業及商業使用(例如食品加工(如冷凍乾燥及飲料 之充乳)、pH控制、或乾冰)之〇〇2其潔淨度均未達微電子基 =加工作業之標準。該種C〇2供應源通常均包含諸如有機物 負、其他氣體、水、及微粒物質等污染物。因此,系統 可包括一淨化單元D1,其位於供應源312與壓力室之間 。淨化單元D1可淨化C〇2供應源,使其達到必要之超高潔 淨度:純度。如此一來’淨化單元D丨將可促進食品等級或 工業等級C02之有效運用,並使吾人得以使用現有之撕供 應鏈及配銷鏈。 ~ ⑺爭化單元D丨可採用下列-或多種方法以過渡氣態或液態 、或供應源之 並在移入一收 1蒸餾:c〇2可抽取自一氣態供應源 一氣態部分。液態c〇2可經抽取、煮沸、 集空間後重新凝結: 2.過遽; J .膜片分離(最好搭配蒸餾);及 4.吸收/吸附(例如根據吸引力《分子大小而加以捕 集)。 >x 297公釐) -36 579302
吾人亦可將額外之c〇,導入 4 、卜… _ - ♦入一煞飞郎用單元320(容後述) ’错以將C Ο9送入作案φ〆沿 ‘ 一(更锊疋言之則係送往壓力室4 10) 0此額外之C〇2最好弁由_处丄产 對應於淨化單元D 1之淨化單元 加以淨化。 廢料流之處理 在前文與作業相關之說明中便曾指出,吾人可在不同時 間點(包括(特別是)每—輪執行完畢後),利用管線li〇排出 C力至4 10内之加工流體。該流體可包括液態、氣態、或超 L界L之C02、化學物質、及多種污染物(例如自晶圓脫落 之微粒)。 系統300包括-低壓槽T2,其可接收抽取自壓力室41〇或 目壓力室410移除之廢料流。槽Τ2之壓力最好約維持在周圍 壓力)、J000 psi之間。槽丁2之容積最好至少為壓力室4丨〇容 積之5倍。 被排入槽T2内之混合物種類或有不同,在此情況下,槽 T2可為一分隔槽或多個槽。槽丁2内之壓力小於一位於壓力 室4 10上游、且與壓力室41〇形成流體連通之壓頭,此壓差 和·迫使廢料流由壓力室4 10進入槽Τ 2。最好該壓頭係由高壓 槽Τ 1提供,如此一來便不需使用泵或其他機械元件。 當C〇2從壓力室410移往槽丁2時,C〇2之壓力將隨之降低 ,吾人可利用此現象使其分離。超臨界態c〇2加工流體在通 過一減壓元件(例如一控制閥或孔口)時將因膨脹而減壓。在 此較低壓力下,加工流體之組份(例如化學添加劑或夾帶之 污染物)將變為不可溶,促使該膨脹流有效分離為一輕流體 -37- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 579302 A7 ___________B7 五、發明説明(35~)~ ~" --- C〇2流及另一重流體(不可溶)流。 超臨界態叫加工流體亦可經由減壓膨脹而進入態相圖中 之雙態相液體/氣體區,使不同之加工流體得以在一分隔槽 之不同分區或多個槽内產生離析。此離析作用將有助於^ 少混合廢料流之產生;混合廢料流之處理成本大於單—組 份之流體流。離析作用亦使吾人得以蒸撥方式分離加工流 體之組份(例如從化學添加劑中分離出可再循環之C02、及 需加以處置之夾帶污染物)。 口人可使一液恶加工流體流因膨脹、受熱而成為氣態。 如此一來便可以類似蒸餾之方式連續分離組份(亦即閃急蒸 發之蒸發),例如可參見以下有關蒸餾系統340之說明。 .再循環及減詈 槽T2所接收之廢料流將由一管線L29(其具有一閥V 1 2)送 往一再循環/減量站31〇。吾人可利用一泵或其類似物輸送 忒廢料流,但最好係透過一非機械方式,例如壓差及/或重 力。只要廢料流已在槽T2内分離,吾人便可設置兩條以上 用以輸送各分流之獨立管線,使單元3 1 〇可分別處理各分流 。系統300可以下列方式處理及導引該等分流: 1. 可將C〇2去除,作法係利用一管線L27,並依吾人 所控制之方式排放或排洩C〇2,俾將其安全排入大氣中 ,並/或加以收集,另作他用; 2. 可利用一管線L22將C02直接輸往壓力室4 1 0。該 C〇2最好可由一淨化單元D3加以淨化。經由管線匕22輸 往壓力室4 1 0之C〇2其壓力可能大於大氣壓力,在此情 -38 - 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 579302
况下,吾人可利用該(:〇2在各循環之起始階段執行或加 強主加工室之加壓作業; 3. 叫可由管線L23導引至淨化單元⑴,,然後進入壓 力室410 ; 4. 可令氣悲C〇2通過一淨化單元D2、一液化單元 314(其可調整壓力並冷卻該c〇2氣體)、然後進入c〇2存 料供應源312,並依前述方式作進一步使用; 5. 可令C〇2通過一淨化單元〇4,並由一加壓元件(例 如一泵)P8重新加壓,使其經由一管線L25進入高壓槽 T1 ; 9 6. 可利用一官線L26導引c〇2,使其通過一淨化單元 D5並進入一蒸汽節用槽32〇(容後述);及 7. 可令化學添加劑及污染物通過一管線U8,並依 良好之化學物質管理規範加以處理及/或去除/再循環。 蒸汽回收 在將壓力室410内之加工流體排出後,壓力室4丨〇内仍留 有一高壓C〇2蒸汽。在吾人開啟壓力室41〇以取出基材(例如 晶圓)前,最好且通常均需移除此蒸汽。 一種為該室減壓之方法係利用一受控之放洩元件排放該 室之内容物。或者亦可利用一壓縮機或泵降低壓力室4丨〇内 之壓力。 吾人亦可利用後述之一蒸汽回收系統322及方法降低c… 之壓力。該等方法及裝置可利用美國專利申請案序號第 09/404,957號(1999年9月24日提出申請)、及美國專利申請 -39- 本紙ί長尺度適用中國國家標準(CNS) A4規格(210X297公楚) 579302 A7 ____ B7 五、發明説明(37 ) 案序號第09/669,154號(2000年9月25曰提出申請)所揭示之 方法及裝置之構造及特點。 一蒸汽回收槽或壓力容器322可在一作業循環之最終階段 透過一管線L18快速捕集C〇2(通常為氣體或超臨界流體)。 被捕集之C〇2通$為一氣體或超臨界流體,但亦可為液體 (在此情況下,最好係由室410之底部排放以免形成固態/乾 冰)。如此一來,壓力室4 1〇便可快速降壓。該捕集方法最 好並不受制於一機械元件(例如一壓縮機)之體積輸出量。蒸 汽回收槽3 22之容積最好約為壓力室4丨〇容積之1至5〇〇倍。 被捕集之C〇2可依吾人所需之任一方式處理,包括: a) 使其通過一具有一閥V10之管線L21,最好亦通過 一平壓槽324,並將其去除; b) 利用管線L2 1及平壓槽324將其回收,並再循環另 作他用(例如一使用C02之火災抑制系統、或一可再循 環另作他用之儲存容器); c) 可將其回收並再循環作相同之應用(可將其壓縮及 /或液化、及/或轉化為超臨界流體),重新供應至該加 工系統或該C02供應系統; d) 可將其用於下一道加工步驟中,俾為壓力室4 1 0 加壓(若欲將壓力室410之壓力提高至一定水準,使吾人 得以有效增加以C02為基底之加工流體量,或可將此視 為一必要之作法)。 該蒸汽回收系統可包括一壓縮機p7,其有助於將壓力室 4丨0内之物質輸往蒸汽回收槽。舉例而言,當一加工循環結 -40- 本紙張尺度適用中國國家標準(CNS) A4規格(21〇 X 297公釐) 579302 A7 一__ B7 五、發明説明(39 ) 壓力室總忐 參見圖8及圖9,壓力室總成400包括一上外殼420及一下 外殼430。當外殼420、430處於圖8所示之關閉位置時,兩 者間構成一壓力室410,一密封用系統450(下文將有較詳細 之說明)可將室4 10密封。在圖8所示之關閉狀態下,一對位 置相對之夾具440可包圍外殼420、430之末端部分,藉以限 制外殼420與430之分離幅度。吾人可將夾具440移開,使外 殼420、43 0得以分離並進入圖9所示之開啟位置。 防護加熱器 室4 1 0内設有一防護加熱器總成460,其包括一上防護加 熱器462及一下防護加熱器472。防護加熱器總成460在加熱 器462與472之間形成一容納空間41 1。在防護加熱器462與 4 7 2間之谷納空間4 1 1内設有一平台或夾盤5 1 〇,其可支樓晶 圓5,使其得以在防護加熱器462與472之間繞一垂直軸旋轉 。一噴灑元件190係安裝於上防護加熱器462之一槽464F中 ,且可導引流體,使其經由噴嘴1 92而到達晶圓之工作表面 5A上。 外叙4 2 0、4 3 0乘好均係由不錄鋼或其他適當金屬一體成 形。通道422A、422B、422C穿過外殼420,通道432A、 43 2B、43 2C則穿過外殼430。詳見圖9,外殼420具有一環形 凸緣424,其具有一位於外側之環形凹口 425,一垂直壁 425A則構成該凹口之一部分。外殼430具有一環形凸緣434 ,其具有一環形槽435。凸緣434具有一垂直壁434八。外殼 420及430分別具有彼此相對之環形鄰接面426及436 σ -42- 本紙張尺度適用中國國家標準(CNS) Α4規格(21〇 X 297公酱) 579302 A7 B7 五、發明説明(4〇 ) 參見圖10至圖12,上防護加熱器462包括一内部元件464 ,其具有一頂壁464A及一環形側壁464B。頂壁464A内形成 一螺旋形流體渠道466A,一外板467則覆蓋頂壁464A。一 環形包圍元件468可包圍側壁464B,因而在兩者間形成一環 形環繞渠道466B。一渠道466C可使渠道466八與4668形成流 體連通。頂板467内之一入口 466D可使通道422A與渠道 400B形成流體連通,一出口 400Ξ貝|J使通道422B與渠道400A 形成流體連通。外板467及壁468係由熔接點8(舉例而言)固 定於内部元件464。噴灑元件190係穿過外板467上之一開口 467Α,並固定在頂壁464Α之一槽464C中(例如藉由一位於 上游之噴嘴、或螺絲)。喷灑元件1 90之喷嘴1 92可與通道 422C形成流體連通。内部元件464、外板467、及包圍壁468 最好係由不銹鋼製成。防護加熱器462可以螺絲固定於外殼 420,並以小型間隔件防止螺絲接觸壁面。 參見圖13及圖14,下防護加熱器472包括一内部元件478 及一外板474,該外板係以熔接點8(舉例而言)固定於該内部 元件。一開口 479穿過外板474,一開口 476D則穿過内部元 件478。内部元件478内形成一螺旋形流體渠道476Α。外板 474中之一入流通道476Β可使通道432Α與渠道476Α形成流 體連通,一出流通道476C可使通道432Β與流體渠道476Α形 成流體連通。内部元件478及外板474最好係由不銹鋼或其 他適當金屬製成。防護加熱器472可以螺絲固定於外殼430 ,並以小型間隔件防止螺絲接觸壁面。 最好防護加熱器462及472均具有一表面.積(亦即朝向内部 -43- 本紙張尺度適用中國國家標準(CNS) Α4規格(210 X 297公釐) 579302 A7 B7 五、發明説明(41 ) 之「内」表面)對容積之比值,且其值至少為0.2平方公分/ 立方公分。若防護加熱器462及472均具有一表面積對容積 之比值,且其值約介於0.2與5.0平方公分/立方公分之間則 更佳,若約為0.6平方公分/立方公分則最佳。 一如前述,在執行清潔及其他作業步驟時(及在各步驟間) ,最好能控制晶圓環境(亦即室410及其中之流體)之溫度。 室4 10内之溫度可由防護加熱器總成460加以控制。更特定 言之,一溫度控制流體由通道422 A導入後,將通過入流開 口 466D、渠道466B、通道466C、通道466A、出流開口 466E 、最後由通道422B流出。該溫度控制流體即以此一方式, 將熱能傳送至防護加熱器462,俾為防護加熱器462加熱(若 該流體之溫度高於防護加熱器462);或者,該流體亦可吸 收並移除防護加熱器462之熱能,藉以冷卻防護加熱器462 (若該流體之溫度低於防護加熱器462)。一溫度控制流體可 以相同之方式加熱或冷卻下防護加熱器472,該流體將流經 通道432A、入流開口 476B、渠道476A、出流開口 476C、及 通道432B。 該溫度控制流體可為任一種適當之流體,最好為液體。 適當之流體包括水、乙二醇、丙二醇、水與乙二醇或丙二 醇之混合物、Dowtherm A(聯笨醚及聯笨)、Dowtherm E、 (鄰-二氣笨)、礦物油、Mobiltherm(芳香族礦物油)、 Therminol FR(氣化聯笨)。該溫度控制流體最好為水與乙二 醇之50%/50%混合物。該流體可以任一適當方式加熱,例 如利用一電熱器、燃氣式加熱器、或蒸汽加熱器。該流體 -44- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 579302 A7 B7 五、發明説明(42 ) 可以任一適當方式冷卻,例如利用一氣壓冷凍式或蒸發式 流體急冷器。 防護加熱器總成460係與外殼420、430相互分離,因而在 兩者間形成一絕熱間隙470,其大體包圍防護加熱器462及 472。更特定言之,一絕熱間隙470A係形成於外板467與相 鄰且屬於外殼420之包圍壁部分間,且最好具有一寬度A。 一絕熱間隙470B係形成於包圍壁468與相鄰且屬於外殼42〇 之壁面間,且具有一寬度B。一絕熱間隙47〇c係形成於外板 474與相鄰且屬於外殼430之包圍壁部分間,且具有一寬度(: 。最好各寬度A、B、C均至少為0.1公厘。各寬度A、B、c若 均介於約0·1與10公厘之間則更佳,若約為1〇公厘則最佳。 絕熱間隙470可實質增加系統10之效率、可控制性、及製 造輸出量。絕熱間隙470可使熱能大體上無法在防護加熱器 462、472與外殼420、430間傳遞,因而減少外殼42〇、43〇 之溫度對晶圓5周圍氣體環境所造成之影響,甚至可將此影 響降至最低。換言之,絕熱間隙47。可將溫度控制流體所須 加熱或冷卻之熱質大體倚限於防護加熱器462、472之熱質 。如此-來吾人便可控制加工流體之溫度,使其大體上不 同於外殼420、430之溫度。 以上所說明及圖示之加熱/冷卻設計雖為流體流動式,但 除卻以流體加熱外’亦可併用或改用其他可加熱/冷卻防護 加熱器462、472方法。例如可在防護加^⑹、❿中設 置電阻線圈(例如其設計可將熱能直接輪射至晶圓)。。
參見圖丨8,圖中顯示一柄丄A ”’、 根抵本發明替代具體實例之壓力 -45-
579302 A7 ___ B7___ 五、發明説明(43 ) 室總成400A。總成400A與總成400唯一不同處在於前者之 防護加熱器總成4 6 0 A包括絕熱層4 7 1及4 7 3,並以此取代絕 熱間隙4 7 0。防護加熱器4 6 2、4 7 2可分別固定於絕熱層4 7 1 、473,絕熱層471、473則分別固定於外殼420、430。 絕熱層4 7 1、4 7 3可以結晶形氣聚合物製成,例如p c τ F E (聚氣三氟乙烯)、PTFE(聚四氟乙烯)、或PVF2(聚偏二氟乙 烯)。絕熱層471、473最好係由塊狀PTFE、新PTFE、或以 玻璃充填之PTFE製成。絕熱層471、473可為蜂巢狀、開孔 氣泡狀、或具有其他構造或型態以增進其絕熱效能。 防護加熱器總成460及460A最好可使壓力室410内之溫度 約在〇C至90 C之範圍内。防護加熱器總成460及460A最好 可以每秒至少500焦耳之最大速率為壓力室4 ι〇内之氣體環 境供應熱能。 座·Α室之密封用糸统 用以構成壓力室410之外殼420及430亦構成一流體洩漏路 k ^(圖1 5)’其位於壓力室4 1 〇直接或間接通往一外部區域7 (例如周圍大氣)之介面。密封用系統45〇可完全或局部防止 流體沿流體洩漏路徑3流動。 詳見圖15,密封用系統450包括一〇形環452、一環狀之杯 形(或倒V字形)封454、一環形彈簧456、及一環形扣環458 。密封用元件452與454之組合可改良壓力室密封之有效性 及耐用性,容後述。 扣環458係固定於凸緣424,且在凹口 425下方沿徑向(朝凸 緣434)向外伸出。扣環458可以不銹鋼或其他適當材料製成 -46- 本纸張尺度適用巾國國家標準(CNS) A4規格(21〇χ撕公羞)~~' --------- 579302 五、發明説明(44 。扣環458可以任一種適當之方法(例如以 於凸緣424。 丁口仟)固疋 杯形封454如圖16及圖17所示。「杯形封」在本文中奸 任一種具有一凹面部分之自添力密 ’、 、土 $ # — + 了用凡件’且根據其構 …达、封用元件之凹面部分所受墨力增加時(例如由位 於該密封μ件凹面側之_室之墨力增加所造成),該 用元件之内部壓力將因而升μ向外施力(例如施力於餘 住-用以構成該壓力室之壓力容器其與該密封用元件相鄰 之表面)’因而形成一密封用元件。杯形封454包括一環步 内壁侧,該内壁係沿_環形折線45化接合—環形外壁 4MA,並在其中形成一環形渠道454[)。 杯形封454最好係由一具有可撓性之彈性材料一體成形。 用以形成杯形封454之材料最好可曝露在密相叫中而不致 膨脹及受損'。適當之材料包括氟化聚合物及彈性體,例如 • PTFE(DuP0nt之 Teflon):經充填之 pTFE ; ρτρΈ 共聚合 物及其類似物,例如FEP(氟化乙烯/丙烯共聚合物);;』二 AF ;氣三氟乙烯(CTFE);其他高穩定塑膠,例如聚(乙稀) 、UHMWPE(超高分子量聚(乙烯))、聚丙烯(pp)、聚氣乙烯 (PVC)、丙烯酸糸聚合物、醯胺聚合物;及多種彈性體,例 如氣丁橡膠、Biina-N、及以表氣醇為基底之彈性體。適當 之治封用材料可購自 PSI pressure seals Inc.,310 Nutmeii Road South,South Windsor,CT 06074。 若欲將杯形封454固定於凸緣424,可將内壁454B與折線 454C至少其中之一(最好將其兩者)附著於凸緣424及/或扣環 -47- 本纸張尺度適用中國國家標準(CNS) A4規格(210X 297公釐) 579302 五、發明説明(45 ) 458與其相鄰之部分。舉例而言,内壁45仙與折線⑽可以 黏著劑固定於凸緣424。杯形封454之位置最好係由扣環㈣ 加以固定,且不使用黏著劑或其類似物。 彈簧456可為任—種可反覆且確實偏置外壁454A、使並请 離内壁454β(亦即沿徑向外移)之適當彈簧。當外殼42〇~? 430分離時’彈簧456最好可沿徑向外偏置杯形封4Μ,使其 超出^緣424(參見圖9)。彈簧456最好係—繞線彈簧或一懸 臂式弹黃’其形狀類似但小於杯形封…,且係養套於杯形 封454之内部。彈簣456最好係、由彈簧等級之不錄鋼製成。 彈簧456可與杯形封454一體成形。吾人除設置彈菁…外, 一併行或替代之作法係令杯形封454本身即具有一可將壁 4)4Α 4)4B標開之偏置力。此外亦可省略彈簧456,而杯 形封454本身亦可不具有偏置力。 〇形環4:)2係設於槽435内。〇形環452最好係以緊度接合之 方式口疋於_日4〕5中。该〇形環係由一可變形之彈性材料製 成。〇形環452最好係由一彈性體材料製《。若〇形環…係 由bunna-n或氣丁橡膠製成則更佳,若以乙烯一丙烯—二烯 橡膠(EDPM)製成則最佳。〇形環452之尺寸需加以設計,使 〇形環452在未載重之狀態下(亦即外殼42〇、43〇分離時,參 見圖9)係局部突出於鄰接面436上方。 當外殼420、430關閉時,杯形封454係夹於凸緣424與434 之間,如圖8與圖15所示。彈簧456將偏置壁454八及45化, 使其分別抵住壁434八及425八。若提高室41〇之壓力,使其 大於周圍壓力,渠道454D所受之壓力將迫使壁 -48- 579302 A7 B7 五、發明説明(46 ) 分離,並分別與壁434A及425A形成更緊密、更密封之接合。 如此一來,杯形封454便成為一牢固之主要密封用元件, 可防止至4 10内之流體沿流體洩漏路徑3流至〇形環c 2或大 幅減少此一現象,使〇形環452不需曝曬在有可能造成損害 之加工流體中。此種對0形環452之保護可大幅延長〇形環 452之使用壽命,若加工流體包括高壓c〇2則更是如此。因 此’密封用系統450將有助於形成—高產出之晶圓製造系統 ’且其中密封用元件之使用壽命較長。
值得注意的是,當吾人提高室41〇之壓力時,此内部壓力 將使外殼420、430略為分離,導致〇形環452未達密封所需 之載重狀態。但由於杯形封454可發揮主要密封用元件之功 能,故仍不失為一牢固之密封設計。但若杯形封454局部或 完全故障,〇形環452則將發揮功能,防止加工流體洩漏至 環境中或減少其洩漏量。根據某些具體實例,總成4〇〇可作 適當調整,使Ο形環452可在室41〇到達或超過一選定壓力時 ,容許流體沿流體洩漏路徑3流出,以免增加該〇形環所Z 之壓力,並防止具損害性之加工流體(例如Cl)長期接觸該 〇形環。 Λ 當室4 1 0内之流體處於大氣壓力或真空狀態時,杯卅封 454之密封有效性往往因而降低(但彈簧456之偏置力仍可發 揮些許密封之功能)。在此狀況下,〇形環452便成為主要穷 封元件,可防止大氣中之流體經由流體洩漏路徑3進入室 410中。值得注意的是,大氣中之流體(基本上為空氣)通常 不含向濃度之C〇2、或其他會對〇形環材料造成不當損宝之 -49-
579302 A7 _____B7 五、發明説明"— 組份。 如圖所示,0形環452之密封設計最好採對頭式設計,如 此一來便無可滑動之構件。杯形封454之壓力添力機構則容 。午口人使用偏置力杈小之彈簧456。本發明之該等特點有助 方、I1牛低所有對曰曰圓5有告之微粒之產生率。杯形封在廢 力至總成内亦可改採其他方向、或設於其他位置。吾人可 在流體洩漏路徑中連續設置兩個以上之杯形封454。 吾人可由本文之說明得知,一杯形封與一彈性體〇形環密 封用元件之組合可克服某些與C〇2容器高壓密封設計有關之 問題,但若單獨使用一彈性體〇形環密封用元件或一杯形封 則蛛法解決該等問題。特定言之,若令彈性體〇形環曝露在 高壓CO:中然後快速減壓,該彈性體〇形環之使用壽命多不 長久。作為壓力密封用元件之杯形封基本上需使預 力彈簧方可使該容器以真空狀態供人使用。該種強預力可 能產生較大之摩擦及磨耗,進而產生具損害性/污染性之微 粒。根據本發明,若需利用彈性體〇形環在室内形成真空, 或彈性體〇形環可由外部添力(從外部壓縮)。 m固持總成 茶見圖19至圖22,圖中顯示-根據本發明其他具體實例 之晶圓固持總成520。總成520可在一壓力室總成4〇〇β(圖 19)中取代失盤5丨〇,該壓力室總成除此之外均可對應於壓 力室總成400。晶圓固持總成520包括一基材固持器或平台 或,盤522,且可利用夾盤522旋轉所產生之將㈣ 固定於夾盤522上,下文將有更詳細之說明。 -50- 本紙張尺度適用中國國家標準(CNS) Λ4規格(210X 297公釐) 579302
夾盤522具有一前表面524及-位置相對之後表面528。複 數片(圖中共八片)動輪葉529係由後表面528向後伸出,並沿 一中央轉動軸E-E之徑向延伸(圖19)。複數條(圖中共四條) 通道526A係由後表面528貫穿夾盤522並通往前表面上之 =環形渠道526B ^複數條(圖中共十六條)渠道526(:係由渠 道526B沿徑向外延伸,並與渠道526β形成流體連通。亦可 增設與渠道526C形成流體連通之環形渠道(未圖示)。 如圖19所示,夾盤522係安裝於一從動軸53〇上,俾隨該 軸繞轉動軸E-E旋轉。當夾盤522旋轉時,動輪葉529將推動 或迫使「後表面528」與「壓力室410中與該後表面相鄰且 相對之表面4 1 2」間之流體沿徑向(沿方向F)向外(朝夾盤μ〕 之外周邊)移動。如此一來便在夾盤522下方、夾盤522之内 部區域(亦即最靠近軸E-E之區域)與該夾盤之外部區域間產 生一壓1。更特定言之,該中央區域之壓力(包括通道526八 下開口之壓力)將小於夾盤522外緣之壓力,亦小於晶圓5於 失盤522相反面所受室410内之壓力。因此,在晶圓5頂面所 受之流體壓力與渠道526B、526C内之流體壓力間將形成— 壓差。 當灸盤522及晶圓5旋轉時,晶圓5即以上述方式固定於夹 盤522。吾人可設置補助性之固持構件,俾在開始旋轉前、 或在不需ί疋轉之加工步驟中’將晶圓5固定於灸盤5 ”上 亚/或提供額外之固定效果。舉例而言,該等補助性構件可 包括黏著劑、失具、及/或一外生壓差總成(如後述之晶圓固 持總成550)。 -51 · 本紙張尺度適用中國國家標準(CNS) Α4規格(210X 297公釐)
579302 A7 B7
參見圖23至圖25,圖中顯示一根據本發明其他具體實例 之晶圓固持系統55 1。系統55 1包括一晶圓固持總成55〇,且 可在一壓力室總成440C(圖23)中取代失盤51〇,該壓力室總 成除此之外均可對應於壓力室總成4〇〇(為求圖面清晰,總 成400C之某些元件在圖中並未顯示p總成44〇c尚具有一磁 力驅動總成580。 晶圓固持總成550包括一基材固持器或平台或夾盤552, 且可利用「壓力室410内之壓力」與「一出口 564之壓力」 間之一壓差將晶圓5固定於夾盤552上,以下將有更詳細之 說明。磁力驅動總成58〇可驅動夾盤552,使其相對於壓力 至' 4 1 0而私動,但吾人所岔封之位置並不需正好在相對移動 之元件(亦即一軸560與外殼430)間。應瞭解,晶圓固持系統 55 1可搭配其他驅動設計,磁力驅動總成58〇亦可搭配其他 晶圓固持器機構。 以下將詳細說明磁力驅動總成580。總成58〇包括一上外 殼)8:>及一下外殼584。上外殼585之上端係容納於外殼々π 中’且兩者間形成氣密密封(例如可使用一適當之密封用構 件’例如氣密墊圈)。軸56〇穿過外殼585,且上、下轴承 586及588係以可轉動之方式將該軸安裝於該外殼上。在軸 560與外殼元件585間設有一密封用元件56ι。密封用元件 56 1最好係一非接觸式密封用元件。密封用元件5叫若為一 間隙式密封用元件(若能形成一間隙G,其寬度約在〇 〇〇 1與 0.002英忖之間則更佳)或一曲徑軸封則更佳。密封用元件 56 1亦可為一唇形密封用元件或一機械式密封用元件。 -52- 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 579302
内4磁石固持器590係安裝於軸56〇之下端,俾隨之轉 動;且具有一内磁石Ml,其安裝於該内部磁石固持器之I 二部。内部磁石載具590係位於下外殼元件584中。一壓力 盍)96可包圍内部磁石載具59〇,並與下外殼元件…之下端 形成氣密密封(例如可使用一適當之密封用構件,例如氣: ^圈)° #此一來,壓力蓋596與上外殼元件585便共同形成 一氣密貯器’可容納從壓力室41()進入上外殼元件如之流 體。 μ 一驅動單元582係安裝於外殼元件584上。驅動單元μ〕可 為任一種適當之驅動元件,例如以液力驅動之單元,若為 以€力驅動之單元則更佳。驅動單元582可轉動一伸入外殼 兀件)84内之軸594 Q _外部磁石固持器別係安裝於轴別 上,俾隨之轉動。外部磁石固持器592係位於外殼元件 内,但壓力蓋596可使該外部磁石固持器在機械及流體方面 均與内部磁石固持器590及壓力室川隔離。_外磁石關系 安裝於外部磁石固持器592上,俾隨之轉動。 癌7、石 1 與 N 丨 9 之;(:盖 -χ/τ. ησ X_ L' ^ —構^ 0又置方式、及型態均形成特定之關 係’致使彼此以磁力相連接。因此,磁石M1、⑽將可以間 接之機械方式連接外部磁石固持器592與内部磁石固持器 590,並藉以連接軸594與軸56〇,使吾人操作驅動單元π] 即可轉動失盤522。 磁力驅動總成MO可為任一種適當之驅動總成,並依本文 斤〜之方式作適③之修改。適當之磁力驅動總成包括_ d ι)〇可購自瑞士烏斯特市(Uster)之Biichi aG。此外亦可使 -53 -
五、發明説明(51 ) 用他種以非機射式進行連接之驅動單元。 洋見圖24及圖25,夹盤552具有一前表面554。一埋頭通 道556B則貫穿夾盤552。複數條渠道556A係由通道556B沿 徑向向外延伸’並與該通道形成流體連通。可另設與渠道 556A形成流體連通之環形渠道(未圖示)。 如圖所不,夾盤552係以一螺帽安裝於從動軸兄〇上 ’俾隨軸560繞-轉動軸阳走#。軸則具有—沿軸向延伸 且穿過該軸之連接通道562。螺帽558具有一中央開口,里 可容許通道562與通道556β形成流體連通。—通道⑹係沿 徑向穿過軸560 ’並使通道562與第二室犯形成流體連通, 該第二室係形成於外殼585與軸56〇之間。密封用元件561最 好係一非接觸式密封用元件(例如一間隙式密封用元件或一 曲徑㈣可形成一受限之流體通道Μ共流體在壓力室 410與第二室565間流動。 外殼元件585上之一出口 564可使第二室565與一管線以〇 形成流體連通。一管線L4 1具有一閥V3〇,且可使一流量限 制器566及一儲存槽568與管線L4〇形成流體連通。流量限制 器566可為一節流孔口、或一適當之局部封閉閥(例如一針 閥),其可在吾人之控制下限制通過該閥之流量。一管線 L42具有一閥V31,且可使一流體輸送元件p2〇與管線L4〇形 成流體連通。 吾人可以下列方式使用系統551,俾將晶圓5固定於失盤 W2。吾人可在儲存槽568内提供一壓力,並使其低於壓力 室4 10在一般加工條件下、其室内氣體環境之壓力。吾人可 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公釐) -54- 579302 A7 ______ B7 五、發明説明(52 ) 在加工過程中開啟閥V30,使第二室565與儲存槽568形成流 體連通,此時該槽之作用係一被動低壓源(亦即不以泵、壓 縮機、或其類似物產生壓力或真空)。如此一來將使室565 内之壓力(連帶使得與該室形成流體連通之渠道556A内之壓 力)小於壓力室410内之壓力,因而在晶圓5之上表面與晶圓 )之背面間形成一壓差,導致晶圓5被下拉(沿方向D)至夾盤 552 上。 流量限制器566可限制流體從第二室565流入儲存槽568之 流量’使流體以吾人所控制之方式洩漏。此種控制洩漏之 作法可確保晶圓5兩面間之壓差足以將晶圓固定於定位,但 不致過度消耗壓力室4 1 0内之流體。 儲存槽568之壓力最好大於大氣壓力,但小於壓力室4 1 〇 在預定作業中之壓力。儲存槽568可容許吾人清潔、再循環 、或以其他方式處置壓力室4 1 0内所抽出之氣體。 或者亦可省略或繞過儲存槽5 6 8 ’使管線L4 1可在閥V3 0開 啟之狀態下直通大氣。 當壓力室4丨0内氣體環境之壓力等於或小於被動低壓源(亦 即储存槽568或周圍大氣)之壓力時,吾人便可操作流體輸 运元件P20以降低室565内之壓力,使其小於壓力室4丨〇内之 壓力,以便在晶圓5之兩面間產生大小符合吾人所需之壓差 、在此情況下需關閉閥V30並開啟閥V3 1。 糸統55 1最好能在吾人之操作下,使渠道556A内產生一至 少比壓力室4 1 0内之壓力小1 p s i之壓力,若比壓力室4 1 〇内之 壓力小約5至20 psi則更佳。 -55- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 579302 A7 B7 五、發明説明(53 I想式噴灑元t 則述之唷灑兀件190及後述之噴灑元件6〇2、652均可提供 位置分散之入口,俾將加工流體直接送至晶圓表面。此外 ,該等噴灑元件亦可提供該等流體之分布流,其中更包含 來自流體/表面撞擊之機械作用。此機械作用大致上係來自 ㊄灑元件所送出之流體流之動量。 人可k過矣灑元件之設計(例如包括噴口之數量、間距 、及大小),對能量傳遞/機械作用之運用方式作選擇性之控 制此外,右令晶圓同時旋轉則可在流體與晶圓表面間產 生剪力(動量),促進表面物質之移除。 ^芩見圖26,圖中顯示一根據本發明其他具體實例之壓力 至〜成400D。總、成4〇〇〇(為求圖面清晰,其某些特點在圖% 中並未顯示)可與總成4_目同,唯前者設有―旋轉式喷潔 -件總成600(舉例而言)。總成侧可包括一以轉動方式驅 動之晶圓固持器别,另-作法係令晶圓5保持固定不動。 ” 可搭配前❹—種壓力室總成。值得注意 的是’即使不使用旋轉式曰圓 + νΓ 曰曰□口持為,賀礙元件總成6〇〇亦 可使一賀灑元件與一晶圓相對轉動。 噴灑元件總成_包括一噴麗元件602(亦可見於圖η及圖 28卜喷i麗元件602包括—轴部分6 i 〇及桿狀分布部“I 一袖向通道川係延伸自—上開〇614、?過部分㈣、並盘 部分㈣中之—橫向通道622形成流體連通。-“噴口 6,4 係由通道⑵延伸至分布料㈣之底料緣。Μ元件㈤ 可由一具有高氧化穩定性之材料製成,例如3丨6不錄鋼。 -56-
579302 A7 ___B7 五、發明説明(54 ) 一軸承63 0係固定於外殼420之一通道427内,致使軸承 6 3 0之一凸緣6 3 2係容納於通道4 2 7之一加大部分4 2 7 A中。軸 承6 3 0最好係圖示之套筒式軸承。軸承6 3 〇可以P T F E、P E、 或PEEK製成。軸承630最好係由PTFE製成。 軸部分6 1 2穿過軸承630且具有一凸緣6 1 6,其覆於凸緣 632上。一末端蓋640係以螺紋(舉例而言)穩固安裝於外殼 420之部分427A内,且位於凸緣616之上方。末端蓋640最好 可與外殼420形成氣壓緊密密封。 末端蓋640可接收一加工流體供應源(例如來自一供應管線 9),使加工流體流經一通道642,然後進入通道6 12。該流 體可繼續流入通道6 2 2,然後由喷口 6 2 4送出。 參見圖27及圖28,喷口 624係與喷灑元件602之預定轉動 軸N-N(參見圖28)形成某一角度。噴口 624之位置最好傾斜 一角度Μ (參見圖2 8 ),且該角度約在〇。與8 5。之間,若約在 與60之間則更佳。噴口 624之傾斜方向係與預定轉動之 方向R(圖27)相反。 使用時’流體離開噴口 624所產生之反作用力(亦即液力推 進力)將使喷〉麗元件602在轴承63 0内繞軸N -N旋轉。值得注 思的疋,由於轴承6 3 0係安裝於壓力室4丨〇之内部(亦即在高 壓區域内),並由末端蓋640將其與周圍壓力隔離,因此, έ玄轴承並不需承受其兩端間一實質壓力降所產生之載重。 除以液力驅使噴灑元件602旋轉外,一替代或併行之作法 你將噴;義元件602連接至一驅動單元。該噴灑元件可以直接 或間接之機械方式連接至該驅動單元(例如採用一軸承/密封 • 57- ^紙張尺度適用中國國家標準(CNS) Α4規格(2ι〇χ297公釐) --- /件/驅動單元之構造),或以非機械方式連接(例如使用 y轉合或磁性麵合(其中磁性可為永久磁性、電力驅動之 磁性、或感應驅動之磁性)之連接力)。或可令部分或所有噴 口 624之方向平行於轉動軸N_N。 貝 。人亦可利用一根據本發明其他具體實例之噴灑元件652 =代喷元件6G2,並搭配上述任—種修改方^特點。喷 :凡件652具有—轴部分66Q,且可對應於錢元件6们,唯 桿狀分布部分62G係由—盤狀或碟狀分布部分67。所取代, 该分布部分670具有一由喷口 674所形成之圖型。吾人可修 改該由喷口 674所形成之圖型。 / C瞭角十’在以上所說明、及如後附申請專利範圍所表示 之發:中’有多項發明亦可用於其他作業,且該等作業並 非先4以|又佳具體實例為參照對象而詳加說明之作業。舉 例而言’用以將一晶圓固定於一夾盤之構件及方法亦可用 於其他類型之作業(例如與C02或晶圓製造無關之作業)以固 定他種基材。供應/回收系統300及其次系統亦可用於其他 需使用内含叫之加工流體之系統及作業,例如使用C02之 化學機械研磨(CMP)系統。 以上係本發明之示範說明,不應將其視為對本發明之限 制。本文雖已說明本發明之若干示範用具體實例,但熟習 此員技^之人士即可瞭解,該等示範用具體實例可以多種 方式修改’但在實質上仍不脫離本發明之新穎原理及優點 。因此,所有該等修改均屬本發明之範圍。應瞭解,以上 係本發明之示範說明,不應將其視為僅限於本文所揭示之 -58- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐)

Claims (1)

  1. 579302 J〇91111〇53號專利申請案 喆 丨修i f 中文申請專利範圍替換本(92年9月) C8 说見 一7 D8 1 — 六、申請專利範圍 — • 一種可與一基材及一加工流體流搭配使用之加工室總成 ,該加工室總成包括·· a) —容器,其構成一室;及 b) 一喷灑元件,其包括至少一個形成於該喷灑元件中 之噴口 ’該噴口可在該室内將該加工流體流分布於該基 材上; c) 其中該加工流體可從該喷灑元件中、經由該至少一 個噴口流出,而該喷灑元件亦將依此作出反應,繞一轉 動軸、相對於該容器而旋轉。 2.如申請專利範圍第1項之加工室總成,其中該噴灑元件包 括一分布部分,其内包含一分布渠道;且該至少一個喷 口係由該分布渠道延伸至該喷灑元件外。 3·如申請專利範圍第1項之加工室總成,其中該至少一個喷 口之延伸方向與該轉動軸形成一角度。 4·如申請專利範圍第3項之加工室總成,其中該至少一個噴 口之延伸方向與該轉動軸所形成之角度約在5與85度之 間。 5.如申請專利範圍第1項之加工室總成,包括複數個形成於 該贺灑元件中之喷口。 6·如申請專利範圍第1項之加工室總成,包括一設於該喷灑 元件與該容器間之軸承,俾使該喷灑元件與該容器得以 相對轉動。 7·如申請專利範圍第6項之加工室總成,其中: a)該谷裔包括一延伸自該室之容器通道; O:\78\78329-920905.DOC 5 a 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 579302 A8 B8
    b)該喷灑元件包括: 一分布部分,該至少 分中;及 一個喷口即形 成於該分布部 一軸部分 通道;且 其連接於該分布部分 且穿過該容器 俾使該軸部 可覆蓋該容 c)该軸承係位於該軸部分與該容器之間, 刀與該谷裔得以相對轉動。 8. 如申請專利範圍第6項之加工室總成,包括一 器通道之末端蓋。 9. ^請專利範圍第旧之加工室總成,其中該室内之—加 壓氣體環境經加壓後,其壓力超過周圍之大氣壓力。 10. 如申請專利範圍第⑶之加工室總成’其中該噴灑元件包 括一桿狀分布部分,且該至少—個喷口即形成於該分布 11.如申請專利範圍第旧之加工室總成,其中該噴灑元件包 括一碟狀分布部分,且該至少一個喷口即形成於該分 部分中。 12· —種可將一加工流體流分布於一基材上之噴灑元件,該 喷灑元件包括: / 一噴灑元件,其包括至少一個形成於該喷灑元件中之 喷口’該噴口可在該室内將該加工流體流分布於該基材 上; 其中该加工流體可從該噴灑元件中、經由該至少一個 喷口流出,而該噴灑元件亦將依此作出反應,繞一轉動 -2 - O:\78\78329-920905.DOC 5 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 579302 A BCD 穴、申清專利範圍 轴旋轉。 13.如申請專利範圍第12項之喷灑元件,其中該喷灑元件包 括一分布部分,其内包含一分布渠道;且該至少一個噴 口係由該分布渠道延伸至該喷灑元件外。 14·如申請專利範圍第12項之喷灑元件,其中該至少一個喷 口之延伸方向與該轉動軸形成一角度。 15·如申請專利範圍第14項之喷灑元件,其中該至少一個喷 口之延伸方向與該轉動軸所形成之角度約在5與85度之 間。 16·如申請專利範圍第12項之喷灑元件,包括複數個形成於 該喷灑元件中之喷口。 17·如申請專利範圍第12項之喷灑元件,包括一桿狀分布部 分,且該至少一個喷口即形成於該分布部分中。 18·如申請專利範圍第12項之喷灑元件,包括一碟狀分布部 分,且該至少一個喷口即形成於該分布部分中。 19. 一種用以將一加工流體施予一基材之方法,該方包括下 列步驟: a) 將該基材置於一容器之一室中; b) 提供一噴灑兀件,其包括至少一個形成於該喷灑元 件中之噴口; C)透過該至卜個喷π ’將m體分布於該基材 上;及 d)令该加工流體從該噴灑元件中經由該至少一個喷口 流出,俾使該喷麗元件繞一轉動車由、相對於該容器而旋 j O:\78\78329-920905.DOC 5 · 3 _ 張尺度適用巾 B S _i^(CNS) A视格(21G X 297公董了~ ~-- 579302 A B c D 六、申請專利範圍 轉。 20·如申請專利範圍第19項之方法,包括:提高該容器之屢 力,使其超過大氣壓力。 21.如申請專利範圍第19項之方法,其中該基材係一微電子 裝置基材。 O:\78\78329-920905.DOC 5 - 4 - ___________ 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 579302 k灸i 年 曰 丨補无泰第〇9im〇53號專利申請案 1- 土文圖式替換貪〔92年9月)
    579302 1修t£ 補見% 年、η
    579302
TW091111053A 2001-09-13 2002-05-24 Process chamber assembly, spray member and method for using the same TW579302B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/951,352 US6706641B2 (en) 2001-09-13 2001-09-13 Spray member and method for using the same

Publications (1)

Publication Number Publication Date
TW579302B true TW579302B (en) 2004-03-11

Family

ID=25491590

Family Applications (1)

Application Number Title Priority Date Filing Date
TW091111053A TW579302B (en) 2001-09-13 2002-05-24 Process chamber assembly, spray member and method for using the same

Country Status (4)

Country Link
US (2) US6706641B2 (zh)
AU (1) AU2002362248A1 (zh)
TW (1) TW579302B (zh)
WO (1) WO2003023830A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109545708A (zh) * 2017-09-22 2019-03-29 Asm Ip控股有限公司 用于将气相反应物分配至反应腔室的设备和相关方法

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4210045B2 (ja) * 2001-06-25 2009-01-14 横河電機株式会社 洗浄装置
US20030139057A1 (en) * 2002-01-18 2003-07-24 Richard Novak Process and apparatus for removal of photoresist from semiconductor wafers
US6848458B1 (en) 2002-02-05 2005-02-01 Novellus Systems, Inc. Apparatus and methods for processing semiconductor substrates using supercritical fluids
US6913651B2 (en) * 2002-03-22 2005-07-05 Blue29, Llc Apparatus and method for electroless deposition of materials on semiconductor substrates
US6764552B1 (en) * 2002-04-18 2004-07-20 Novellus Systems, Inc. Supercritical solutions for cleaning photoresist and post-etch residue from low-k materials
JP4133209B2 (ja) * 2002-10-22 2008-08-13 株式会社神戸製鋼所 高圧処理装置
US7041172B2 (en) * 2003-02-20 2006-05-09 Asml Holding N.V. Methods and apparatus for dispensing semiconductor processing solutions with multi-syringe fluid delivery systems
JP2005033135A (ja) * 2003-07-11 2005-02-03 Kobe Steel Ltd 微細構造体の洗浄装置
US20060074529A1 (en) * 2004-09-30 2006-04-06 Garcia James P Apparatus for dispensing precise volumes of fluid
JP4876215B2 (ja) * 2005-01-21 2012-02-15 独立行政法人産業技術総合研究所 Cmp研磨方法、cmp研磨装置、及び半導体デバイスの製造方法
US9241497B2 (en) * 2005-06-30 2016-01-26 The United States Of America, As Represented By The Secretary Of Agriculture Method and apparatus for treatment of food products
KR100757417B1 (ko) * 2006-08-04 2007-09-11 삼성전자주식회사 웨이퍼 세정 장치
US7819362B2 (en) * 2007-03-01 2010-10-26 Evergreen International Aviation, Inc. Enhanced aerial delivery system
JP2012524534A (ja) * 2009-04-23 2012-10-18 バクターフィールド オーユー 真空注入製造プラント
US8225968B2 (en) 2009-05-12 2012-07-24 Illinois Tool Works Inc. Seal system for gear pumps
US9166139B2 (en) * 2009-05-14 2015-10-20 The Neothermal Energy Company Method for thermally cycling an object including a polarizable material
US20130136850A1 (en) * 2011-11-29 2013-05-30 Illinois Tool Works Inc. Method for depositing materials on a substrate
JP5926086B2 (ja) * 2012-03-28 2016-05-25 株式会社Screenホールディングス 基板処理装置および基板処理方法
JP5889691B2 (ja) 2012-03-28 2016-03-22 株式会社Screenホールディングス 基板処理装置および基板処理方法
US9885002B2 (en) * 2016-04-29 2018-02-06 Emerson Climate Technologies, Inc. Carbon dioxide co-fluid
US20180323063A1 (en) * 2017-05-03 2018-11-08 Applied Materials, Inc. Method and apparatus for using supercritical fluids in semiconductor applications
US11772134B2 (en) * 2017-09-29 2023-10-03 Taiwan Semiconductor Manufacturing Company, Ltd Sonic cleaning of brush
CN111515179B (zh) * 2020-05-07 2021-10-26 福建智罗科技有限公司 一种冻干机用内部杂质清洗收集结构

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3987963A (en) * 1975-06-27 1976-10-26 Partek Corporation Of Houston Fluid delivery system
US5201466A (en) * 1990-04-17 1993-04-13 Hynds James E Spray gun having a rotatable spray head
DE69334213T2 (de) 1992-03-27 2009-06-18 University Of North Carolina At Chapel Hill Verfahren zur Herstellung von Fluoropolymeren
US5514220A (en) 1992-12-09 1996-05-07 Wetmore; Paula M. Pressure pulse cleaning
KR100248565B1 (ko) * 1993-03-30 2000-05-01 다카시마 히로시 레지스트 처리방법 및 레지스트 처리장치
EP0681317B1 (en) 1994-04-08 2001-10-17 Texas Instruments Incorporated Method for cleaning semiconductor wafers using liquefied gases
US5482564A (en) 1994-06-21 1996-01-09 Texas Instruments Incorporated Method of unsticking components of micro-mechanical devices
US5522938A (en) 1994-08-08 1996-06-04 Texas Instruments Incorporated Particle removal in supercritical liquids using single frequency acoustic waves
JP3452676B2 (ja) 1995-02-15 2003-09-29 宮崎沖電気株式会社 半導体ウエハ面のパーティクルの除去装置及びそれを用いた半導体ウエハ面のパーティクルの除去方法
DE19506404C1 (de) 1995-02-23 1996-03-14 Siemens Ag Verfahren zum Freiätzen (Separieren) und Trocknen mikromechanischer Komponenten
US5636795A (en) * 1995-05-11 1997-06-10 First Pioneer Industries Inc. Cyclonic spray nozzle
JPH08330266A (ja) 1995-05-31 1996-12-13 Texas Instr Inc <Ti> 半導体装置等の表面を浄化し、処理する方法
US5783082A (en) 1995-11-03 1998-07-21 University Of North Carolina Cleaning process using carbon dioxide as a solvent and employing molecularly engineered surfactants
US5868856A (en) 1996-07-25 1999-02-09 Texas Instruments Incorporated Method for removing inorganic contamination by chemical derivitization and extraction
KR19980018262A (ko) 1996-08-01 1998-06-05 윌리엄 비.켐플러 입출력포트 및 램 메모리 어드레스 지정기술
US5908510A (en) 1996-10-16 1999-06-01 International Business Machines Corporation Residue removal by supercritical fluids
JP3286539B2 (ja) * 1996-10-30 2002-05-27 信越半導体株式会社 洗浄装置および洗浄方法
US6149828A (en) 1997-05-05 2000-11-21 Micron Technology, Inc. Supercritical etching compositions and method of using same
US6500605B1 (en) 1997-05-27 2002-12-31 Tokyo Electron Limited Removal of photoresist and residue from substrate using supercritical carbon dioxide process
ATE420239T1 (de) 1997-05-30 2009-01-15 Micell Integrated Systems Inc Oberflächebehandlung
US6103096A (en) 1997-11-12 2000-08-15 International Business Machines Corporation Apparatus and method for the electrochemical etching of a wafer
US6001418A (en) 1997-12-16 1999-12-14 The University Of North Carolina At Chapel Hill Spin coating method and apparatus for liquid carbon dioxide systems
US5865901A (en) * 1997-12-29 1999-02-02 Siemens Aktiengesellschaft Wafer surface cleaning apparatus and method
US6067728A (en) 1998-02-13 2000-05-30 G.T. Equipment Technologies, Inc. Supercritical phase wafer drying/cleaning system
US6092739A (en) * 1998-07-14 2000-07-25 Moen Incorporated Spray head with moving nozzle
US6242165B1 (en) 1998-08-28 2001-06-05 Micron Technology, Inc. Supercritical compositions for removal of organic material and methods of using same
US6277753B1 (en) 1998-09-28 2001-08-21 Supercritical Systems Inc. Removal of CMP residue from semiconductors using supercritical carbon dioxide process
EP1234322A2 (en) 1999-11-02 2002-08-28 Tokyo Electron Limited Method and apparatus for supercritical processing of multiple workpieces

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109545708A (zh) * 2017-09-22 2019-03-29 Asm Ip控股有限公司 用于将气相反应物分配至反应腔室的设备和相关方法
CN109545708B (zh) * 2017-09-22 2024-04-05 Asmip控股有限公司 用于将气相反应物分配至反应腔室的设备和相关方法

Also Published As

Publication number Publication date
WO2003023830A2 (en) 2003-03-20
US6706641B2 (en) 2004-03-16
US6730612B2 (en) 2004-05-04
US20030049939A1 (en) 2003-03-13
WO2003023830A3 (en) 2003-08-28
AU2002362248A1 (en) 2003-03-24
US20030201000A1 (en) 2003-10-30

Similar Documents

Publication Publication Date Title
TW579302B (en) Process chamber assembly, spray member and method for using the same
TW582070B (en) Methods and apparatus for holding a substrate in a pressure chamber
TWI222127B (en) Pressure chamber assembly including drive means
TW564497B (en) Methods and apparatus for cleaning and/or treating a substrate using CO2
TW554390B (en) Sealing system and pressure chamber assembly including the same
KR100853354B1 (ko) 초임계수산화법에 의한 오염된 물품의 세척 방법
CN104919574A (zh) 用于高深宽比半导体器件结构的具有污染物去除的无黏附干燥工艺
JP5644219B2 (ja) 基板処理装置、基板処理方法及び記憶媒体
TW546801B (en) Guard heater and pressure chamber assembly including the same
CN101740344A (zh) 半导体制造设备的清洁方法及装置
JP2008066495A (ja) 高圧処理装置および高圧処理方法
US20070107748A1 (en) Vacuum cavitational streaming
WO2013161644A1 (ja) 真空洗浄装置
JP2004192850A (ja) メタルマスクの洗浄方法及び装置
JP2013251549A (ja) 基板処理装置及び基板処理方法
JP2002367943A (ja) 高圧処理方法および高圧処理装置
Xia Production of High Purity Functional Water at Point-of-Use for Advanced Mask Cleaning Processes
US20120118324A1 (en) Cleaning apparatus and method utilizing sublimation of nanofabricated particles

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees