TW575820B - Generic interface builder - Google Patents

Generic interface builder Download PDF

Info

Publication number
TW575820B
TW575820B TW90119715A TW90119715A TW575820B TW 575820 B TW575820 B TW 575820B TW 90119715 A TW90119715 A TW 90119715A TW 90119715 A TW90119715 A TW 90119715A TW 575820 B TW575820 B TW 575820B
Authority
TW
Taiwan
Prior art keywords
mcs
item
patent application
scope
interface
Prior art date
Application number
TW90119715A
Other languages
English (en)
Inventor
Yuehshian T Chi
Parris C M Hawkins
Qiaolin Jin
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Application granted granted Critical
Publication of TW575820B publication Critical patent/TW575820B/zh

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/46Multiprogramming arrangements
    • G06F9/54Interprogram communication
    • G06F9/541Interprogram communication via adapters, e.g. between incompatible applications
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/18Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form
    • G05B19/408Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form characterised by data handling or data format, e.g. reading, buffering or conversion of data
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/44Arrangements for executing specific programs
    • G06F9/451Execution arrangements for user interfaces
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/31From computer integrated manufacturing till monitoring
    • G05B2219/31369Translation, conversion of protocol between two layers, networks
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/31From computer integrated manufacturing till monitoring
    • G05B2219/31372Mes manufacturing execution system
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32128Gui graphical user interface
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/34Director, elements to supervisory
    • G05B2219/34262DDE direct data exchange, DLL dynamic library linking
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/35Nc in input of data, input till input file format
    • G05B2219/35488Graphical user interface, labview
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Engineering & Computer Science (AREA)
  • Software Systems (AREA)
  • Theoretical Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Human Computer Interaction (AREA)
  • General Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Automation & Control Theory (AREA)
  • Stored Programmes (AREA)
  • Programmable Controllers (AREA)
  • Management, Administration, Business Operations System, And Electronic Commerce (AREA)

Description

575820
A7 PI-2745-US
五、發明說明() 經濟部智慧財產局員工消費合作社印製 本發明概關於製程自動化,並特別是關於一種用於半 導體製程自動化的軟體。 發明背 半導體製程係屬日益複雜、多重步驟而又須承受嚴苛 製程要求(即如嚴謹的製程容忍度)和法則之程序方法。這 種製程通常會被執作於巨型的製造廠房内,建構成本一般 將耗資數十億美元。這些廠房常併合有數十款牽涉到眾多 的製程處理階段之機具(包括軟體、裝置和各式系統)。 由前述廠房設施所製作之半導體晶片係透過一系列 的微影程序方法所構成,而該微影程序或將要求上百項步 驟以構成出一最終晶片。在此,必須要密切監視該微影程 序裡的每一步驟,謹慎管制各項材料,並慎重控制時間計 算。由於製造廠房的高建構成本之故,將這些設施的效益 最大化確屬重要。步驟的循序方式、材質排程和其它處理 參數會因刻正製造之特定晶片而變化。為有效地執管半導 體製造廠房設施,自動化確實極為關鍵,並且製程自動化 軟體’如Consilium公司的FAB3 00工廠營運管制系統(依 諸版本),可供允整個製程的整合性管理(加州Mountain View市的Consilium係加州聖塔克拉拉市的應用材料企 業)。提供該效率性的一項重要特點是整合製程設施裡的 各種機具,以建立平順的製程組裝過程。而鑒於前述諸項 因素,整合作業遂成為一項重要挑戰。 第5頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ---------‘------------訂 --------線" (請先閱讀背面之注意事項再填寫本頁) 575820 經濟部智慧財產局員工消費合作社印製
PI-2745-US 五、發明說明( 瑕好是工廠營運管制g 制系、·无(MES)可讓管理者控制整個 製程程序,包括按集中+ a、r >々π , 万式進仃各種功能特性,像是機具 管理、材料管理、資料管理、排程等等。在許多情沉下, 最好MES可讓整個製程作業從單一軟體使用者介面執 行;不過,現有系統通常是併合各種介面。這些應用在製 程設施中的機具或來自於諸多製造戚商,同時併合有各種 介面、通訊協定等等。 由於缺少跨泛眾機具的標準化,欲將機具併入咖 俾供軟體遞交處理指令且接收製造資料或將要求按各個 機具進行繁複而個別的程式設計。通常,欲將各項機具鏈 結於MES内,半導體製造廢商必須要創製出各項機具與 該MES之間的自訂式軟體介面。為此,系統整合者必須 熟悉MES的原始程式碼。並且,即使是採用標準協定, 亦必須大致以手動方式個別地將各項機具接附於該系統 内。例如、,一廠房或將從可向廠商洽購而得的諸多材料控 制系統中選擇其一作為其製造組裝元件。各個材料控制系 統或具有其本身的指令集和通訊協定。製造廠房處的系統 整合者會撰寫特用軟體以便讓所選定的材料控制系統得 以運作於薇製造廠房的製程環境内(即如將其接附於Mes 内)。對於軟體的要求係依據特定既選之材料控制系統而 定。此外,由於缺乏標準化之故,各種新的元件在佈釋於 系統内之前皆應經審慎地測試。特別是在給定一數量的涉 用機具’按這種方式來接附機具會是一種成本昂貴、耗時 甚多的程序。而其最終系統極為笨拙且無一致性,同時會 第6頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 x 297公釐) I i I n I ϋ ·ϋ in H ϋ ϋ n I m n i n I I n ϋ 一 I t ·ϋ ϋ I n n n V ftt先閱讀背面之注意事項再填寫本頁) 575820 經濟部智慧財產局員工消費合作社印製 A7 ㈣,___B7____ 五、發明說明() 削弱該MES提供單一、可控制之製程處理定義以及可將 該設施之作用生產時間最大化的功能。 從而,對於MES而言即需要一種半導體製程機具的,, 即插即用”運作性,最好是對所有元件皆可採取共用的圖 形使用者介面為佳。因此,即需要一種可大致甚或全然自 動化’並可將整合各種機具於ME S内之程序按均勻方式 予以標準化的系統。 登明目的及概沭: 本發明係藉由提供一種可產生一介面調接器以協助 諸機具與集中式工廠營運管制系統(MES)間通訊之系統、 方法和媒體而解決前述事項。即如本發明具體實施例所立 意者’該介面調接器可接著供允半導體製程組裝中之一機 具(該者可為執行某項功能之系統)得根據標準協定而與該 集中式ME S裡其它的硬體和軟體相互通訊,並可從共用 的觀視圖形使用者介面(或是共用的介面集合)加以操作。 在本發明(及其相附的採用環境)之一特性中,該介面調接 器可處理對於該材料控制系統之非同步呼叫或是長時-執作性的服務請求,而同時傳通同步呼叫。在一示範性具 體貫施例中,該介面調接器可作為一 MTS伺服器 (Microsoft㊣ Transaction Server)上的 MTS 元件。 僅藉例述,在此將按照一整合入該製程組裝内之材料 控制系統作為示範性機具以解釋本發明具體實施例。該材 料控制系統在各種具體實施例裡會採用COM -基礎式介 第7貫 本紙張尺度適用中國國家標準(cns)a4規格(210 X 297公餐) ----------^---------------------^ (請先閱讀背面之注意事項再填寫本頁) 575820
PI-2745-US A7 B7 五、發明說明( 經 濟 部 智 慧 財 產 局 消 費 合 作 社 印 製 面方法(可視需要納入一 c〇M —基礎式協定轉換器),透過 型怨庫而曝出其介面方法。在產生該介面調接器的過程 中,本發明會識別該型態庫以及該材料控制系統的所欲參 數,將該材料控制系統的C〇M _基礎式介面方法映對到該 MES的介面,並且即如處理長時執作之服務請求支援。 圖式簡單說明: 為更%整了解本發明,請閱覽後纂之詳細說明並參酌 連帶未按比料製之隨附圖 <,在此相同編號表指相同或 類似部分,其中·· 第1圖為示範性製程諸元之區塊圖,即如本發明具體實施 例所立意者; 弟2圖為產生通用介面主 凋疾盗泥程圖,即如本發明具體 實施例所立意者; 第3圖為併入有一通用介也用J面凋接詻疋MES區塊圖,其中 材料控制系統進一步包本— 7巴含一製程控制系統協定轉 換器; 第4圖為根據本發明具體實 • 地妁< 7F範性使用者介面外觀 圖; 圖為透過一根據本發明且 to實把例 < 介面調接器,各 項同步請求之客戶總4主 戶场吨求處理作業區塊圖; 圖為透過一根據本發明 4兔月具姐實施例之介面調接器,各 項非同步或長時-執作 祝作服務凊求之服務處理作業 區塊圖; 第8頁 第 第 本紙張尺賴財0 _鮮 — fill I n l· n n I * ϋ n ϋ I I- n I < n n n ! n n 1 V (請先閱讀背面之;i意事頊再填寫本\®o 575820
PI-2745-US A7 B7 五、發明說明() 第7圖為透過一根據本發明具體實施例之介面調接器,登 註知會處理作業之區塊圖; 第8圖為透過一根據本發明具體實施例之介面調接器,事 件知會處理作業之區塊圖; 第9圖為透過一根據本發明具體實施例之介面調接器,各 項非同步或長時-執作服務請求處理之區塊圖;及 第10圖應用作為一部份及/或本發明環境内之電腦處理系 統區塊圖。 圖號對照說明: 100製程諸元 112通用介面調接器 316協定轉換器(MPC) 4 3 6提不符號 440輸入和輸出參數 1002處理器 1006顯示裝置
1 1 〇材料控制系統客戶端 1 14材料控制系統(Mcs) 430圖形使用者介面 438 MCS COM 方法 618 COM方法庫包封 1〇〇4記憶體/儲存裝置 1008 通訊 I/O ——0 I— flu n n n n n 一 e n n n I n —Mi 1_1 e (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 發明詳細說明: 在第1圖中顯示一示範性製程諸元之區塊圖(包含 MES [或其局部]和一機具)1〇〇,即如立意應用在本發明 境内(及/或為其局部)者。現參酌於第丨圖,該等製程諸 100包括一材料控制系統客戶端110,和一用以介接於 材料控制系統(MCS) 11 4的通用介面調接器i丨2。本例 第9頁 本紙張尺度適用中國國家標準(CNS)A4規烙(210 x 297公釐) 575820 Α7 Β7
PI-2745-US 五、發明說明() (請先閱讀背面之注意事項再填寫本頁) 該MCS客戶端110和一通用介面調接器112係經立意作 為該MES的一部份,而該MCS則是作為一示範性機具。 雖然在此所示之機具為MCS 114,不過應可瞭解該機具可 為任何接附於一 MES之機具,包含一系統、軟體或裝置(或 等似裝置)。本發明各種具體實施例係經立意該機具可為 任何事件-基礎式的”分佈式共用物件模型”物件。 經濟部智慧財產局員工消費合竹社叶袁 現繼續說明該等示範性諸元1〇〇。該MCS 1 14可追縱 並傳送移動各項材料泛通於該製造設施。一般說來,該 Μ E S會管理機具配發俾以完成該設施工作流内的諸項作 業,並且會視需要利用即如該調接器11 2的調接器來與各 機具進行通訊。例如,為配發機具俾於一品批中進行硼質 植入,該MES或將履經一系列的步驟。起初,例如一植 入機具(未以圖示)將知會該MES現屬可用並將加入該配 發列表内而等待作業。依獨立方式,一批材料將知會該 MES該者現要求進行硼質植入,並將加入該配發列表内而 等待進行硼質植入。接著該MES的MCS客戶端1 10部分 會決定該等待中的品批是否可於一特定植入器上執行,並 一旦確認該可用性之後隨即發出一項工作。該MCS客戶 端110會呼叫一些必要的服務項目來完成該工作,其中包 含經該通用介面調接器11 2而叫用該MCS 1 1 4 (以傳送該 品批到該植入器内)。 如第2圖所示之流程圖中,該通用介面建構者230可 產生用以於該MCS客戶端11〇與該MCS 114之間相互通 訊的通用介面調接器1 12。在步驟222裡,該通用介面建 第10頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 x 297公釐) 575820 A7 B7
PI-2745-US 五、發明說明() (請先閱讀背面之注意事項再填寫本頁) 構者230讀取一定義出介接於該MCS 1 14之介面的檔案, 並可讓使用者選取各種參數且對於諸方法加以分類。根據 所牽涉到的方法和環境,會從該方法裡選出一特定的處理 協定(該調接器最終會用以供承於該MCS客戶端11〇與該 MCS 1 1 4間進行通訊)。一種示範性協定即為"長時執作服 務協定(LRSP)",該者既經選定而其中該方法係被辨識為 需耗佔一相當顯著時間長度俾以完成者(即如將材料從一 廠房位置傳送到另一處)。如此,這會被從該等要求長時 執作服務協定支援之方法中所選出。在本發明所立意之數 款具體實施例裡’即按内定方式,如未另加識別則可假定 諸方法係按”同步”協定所處置。在任何事件下,一旦選妥 該等方法的協定,本發明將隨即產生並編譯(步驟224和 226)該通用介面調接器112的適當程式碼。 本發明各種具體實施例係經立意該MCS 1 1 4可為一” 分佈式共用物件模型(DCOM或COM)"-基礎式系統。而 介接於該MCS 114的介面則是意欲被定義為COM型態 庫。該COM型態庫包括該MCS 114可採行並且MCS客戶 端1 10可叫用的各種COM方法。 經濟部智慧財產局員工消費合作社印製 即如第3圖所示,在此該MCS介面並非内含地被定 義為一 COM型態庫,而是該MCS 114併有能夠提供該 MCS之COM型態庫的協定轉換器(MPC) 316。該協定轉換 器316可於像是MCS 114之原生TCP/IP介面的協定和所 立意之COM型態庫介面間轉換,在一些具體實施例裡俾 以能夠與該通用介面調接器112進行通訊之用。最好,該 第11頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 經濟部智慧財產局員工消費合作社印制农 575820 A7
PI-2745-US 五、發明說明() MCS的COM型態庫係按”介面定義語言"所定義為佳,該 語言係定義於”微軟發展人員文件化程式庫”之内。此外, 該MCS 1 1 4係為屬於一種事件-基礎式系統,可依非同步 方式來處理諸交易項目,而當確已完成一特定工作或發生 一事件時可知會該MCS客戶端1 10。 本發明具體實施例所立意者係該通用介面建構者23 〇 亦可為一 COM元件,並透過該MES而被叫用。目的為該 通用介面建構者230包括一通用介面建構者視像介面 234,即如第4圖所示之示範性圖形使用者介面430。現參 酌於第4圖,圖中所繪之示範性圖形使用者介面43〇係被 示以對使用者呈現一提示符號436以標定該MCS型態庫 的路徑與檔案名稱。該通用介面建構者230讀取該型態 庫,並將具輸入和輸出參數440之MCS的COM方法43 8 顯示給使用者。例如,對於與將一品批從來源處移動到目 的地處相關之方法,該等相關參數可包括一來源機器與一 來源機埠、一目的區域、一目的機器和一目的機埠。接著, 使用者會像是藉由標定哪些方法將會要求長時—執作服 務支援之方式’來選取該等方法的處理協定。對於這些方 法’使用者定義某些參數,例如像是會被用來相關到各個 有關於同項長時-執作服務請求之訊息的交易識別號 碼。使用者亦可將一些參數識別作為鍵值,而當該MCS 11 4 完成服務某項方法服務請求時,該者或會將其含納於知會 項目内。這些鍵值亦可在缺少或另加識別號碼的情況下, 用來相關到同項長時-執作服務請求之諸訊息。對於先前 第12頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱) IB9 11 11 n n 11 «IV ϋ ϋ n · ϋ— n m n n n ϋ· ^ ^ fl fl·— ·ϋ II an n n I (請先閱讀背面之注意事項再填寫本頁) 575820 A7 B7
PI-2745-US 五、發明說明() 範例’這些鍵值亦可包括目的區域、目的機器和目的機蜂 (長時-執作服務支援的概念亦將於後文中詳述)。接著, 使用者收存這項有關於所選定之處理協定、諸參數以及鍵 值的組態資訊。或另者,識別出不同型態的方法以及定義 參數和鍵值之步驟可為自動化方式。例如,可由一專家系 統執行之,或是將資訊含納於該型態庫内。 該通用介面建構者2 3 0利用所收存的組態資訊,而為 該MCS 114的通用介面調接器112產生適當的軟體程式碼 (即如按Visual Basic專案形式者)。在本例中,該通用介 面建構者230會產生該調接器112以與該MCS客戶端110 和該M C S 1 1 4兩者相互通訊。該調接器1 1 2係經供置以處 置該系統内各種通訊型態的例行程序。本發明具體實施例 所三意者’該MCS客戶端110的通訊方法係屬事先已知, 並經編碼存入該通用介面建構者2 3 0内,俾以最後會被編 碼載入該調接器1 1 2中。而在此會利用該組態資訊和該 MCS客戶端11〇的通訊方法,將來自於該c〇M型態庫的 MCS 1 14之COM方法予以修飾或包捲,俾以產生該MCS 1 1 4之COM方法的既經修飾型態庫,該等包括用以和該 MCS客戶端1 1〇進行互動所需之資訊。這項資訊一般會與 長時-執作服務請求支援相關。例如,對於非同步請求來 說,交易識別編號或相關於所對應之COM方法。經修飾 之型態庫會被併入該調接器1 1 2中。該調接器1 1 2也具有 一定義其本身介面的型態庫,該者併合有該MCS 114的經 修飾型態庫。該調接器1 1 2的型態庫定義出該M C S客戶 第13頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱) <請先閱讀背面之沒意事項再填寫本頁) 訂---------線 經濟部智慧財產局員工消費合作社印製 575820 A7
PI-2745-US B7 五、發明說明() (請先閱讀背面之注意事項再填寫本頁) 端11 0可用之諸服務項目以執作該調接器丨丨2,並且執行 該MCS 1 14的各種動作。該調接器丨12的型態庫會透過該 MCS客戶端1 1 〇的作業系統進行登註(例如微軟公司的視 窗NT®)’俾知會該客戶端}丨〇哪些方法係屬可用。之後(即 如前文所述),孩通用介面建構者23〇編譯所獲的visual Basic專案232以產生通用介面調接器112。雖然在此係按
Visual Basic說明,然應明瞭亦可應用任何其它適當的語 〇 該介面調接器1 12以一,,微軟交易伺服器(Micr〇s〇ft⑧ Transaction Server)’’(MTS)元件為佳。因其強固性與擴充 性,故最好是以實作於一 Micros〇fm TransactiQn Sei>va 為佳,然自可應用任何適當的實作方式。在一些具體實施 例中,所思目的為可從該MCS客戶端u〇處叫用之Mcs 11 4介面方法係屬如下型態:同步、非同步或長時-執作 性的服務協定請求(LRSP),並可登註諸項知會請求。 經濟部智慧財產局員工消費合作社印製 該介面調接器Π2亦支援三種類型從該MCS 114到該 MCS客戶端1 10的介面方法··知會/回覆、事件和警示。 這些方法可與像是LRSP的服務處置協定相關。該介面調 接器1 1 2本身採取三種範疇的C0M伺服器介面方法:對 應於MCS型態庫者(即如第2圖所示,彼等可選擇性地傳 通過該MPC)、用以叫用該MTS伺服器上其lrSP支援者, 和用以提供知會項目給該MCS客戶端11〇者(根據長時一 執作服務請求或以知會請求之登註項目的LRSP)。當然, 應瞭解本發明立意係亦得採用任何數量、適當地相關於任 第u頁 本紙張&度適用中國國家標準(CNS)A4規格(210 x 297公f ) — --------- 經濟部智慧財產局員工消費合作社印製 575820 A7
PI-2745-US 五、發明說明() 意數量之另款服務處理協定的其它方法。 同步請求為該MCS 114可立即對其動作,或是不會另 行從該M C S 1 1 4處要求任何稍後回應或確認者。因此,該 M C S客戶端1 1 0會接收一項即時確認,如果存在,並且無 須等待稍後的回覆。現參考第5圖中的請求處理圖例 5 50,根據一同步協定,該調接器丨12可藉由叫用一 Mcs 方法,直接地(或是經由MCS協定轉換器316)將同步請求 傳通到該M C S 1 1 4處。當時間適當時,該μ C S 1 1 4會將 一即時結果傳返給該調接器1 1 2,該者又會將結果前傳給 該MCS客戶端1 10。 非同步請求或LRSP為該MCS 1 14或需些許時間以對 其動作或對其回應(至少從作為本發明或其諸特性之一部 份或屬其環境内的電腦系統觀點所測量者)。例如,假使 該MC S被要求將一品批Α從點Β移動到點C,該MC S 1 1 4 將無法藉由提供知會項目給該M C S客戶端1 1 0而傳知該 請求完成訊息,一直到為止確已執行。當該MCS客戶端 11 〇於稍後收到該項回應時,該MCS客戶端11 〇需要將該 回應相關到初始請求,例如依照LRSP識別編號(LRSPID) 或按該知會項目所回返的諸項鍵值。根據該LRSP,該調 接器1 12可管理對於該MCS客戶端1 1〇的LRSP請求。該 調接器112在其COM方法庫618中含有〆LRSP COM方 法以處置這些請求。現參考第6圖的請求處理圖例600, 當該調接器1 12收到一項對該MCS 114的LRSP請求時, 該者會藉由叫用MCS的相關COM方法並且叫用其本身的 第15頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公t ) ---------------------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 575820 A7 B7
PI-2745-US 五、發明說明( L R S P C Ο Μ方法以傳通該請求’俾監視充成該項L R S P請 求。一旦收到從該MCS 1 1 4傳來對該初始請求的回覆時(該 回覆處理方法係如第8圖所示),該LRSP COM方法隨即 合配比對該LRSPID或鍵值。 知會請求登註為一從該M C S客戶端11 〇發送以接收 由該MCS 1 1 4所叫啟之事件或警示知會項目的顯著請 求。現參考第7圖中的請求處理圖例700,該MCS客戶端 1 1 〇藉由提供一知會方法和登註鍵值以登載欲使用該介面 調接器1 1 2。在所立意之具體實施例中,知會請求登註是 按照類似於根據該LRSP之長時-執作服務請求的方式來 處置。根據該LRSP,該介面調接器1 12會藉叫用其本身 的LRSP COM方法以保持該項請求。請求不會被傳通至該 MCS 114處,但是該MCS 114會被該調接器112所監視, 俾以偵知該客戶端1 1 0既已表示其興趣,且應利用適當的 知會項目和刊發方法而回報給該客戶端1 1 〇之事件。 現參考第8圖中的請求處理圖例8 0 0,當通用介面調 接器112從該MCS 114處收到回覆、事件或警示時,該調 接器112會接收資訊,並利用其LRSP COM方法而將該項 關連於任何LRSP請求,包括非同步請求或登註請求。該 LRSP COM方法可利用一 LRSPID或該MCS 114所回返的 鍵值以將該M C S 1 1 4送來的知會項目關連於一顯著請 求。該調接器11 2也會決定何者知會方法既經該μ c S客 戶端110所選定’並提供該知會項目給該客戶端11〇。本 發明具體實施例立意為,假使從該MCS 1 1 4處收到某事件 第16頁 -------.---,-----------訂--------I ^ ί請先閱讀背面之注意事項再填寫本頁} 經濟部智慧財產局員工消費合作社印製 五 經濟部智慧財產局員工消費合作社印製 575820 Α7 PI-2745-US Β7 發明說明() 或警示的知會項目,而其中並無相對應的LRSP,包括彼 些用於非同步請求與知會請求登註者,則將忽略該知會項 目。 根據於LRSP所處置之示範性長時-執作服務請求, 可如依據第9圖内請求處理圖示900之本發明具體實施例 所繪載者。在步驟902中,該MCS客戶端110請求一項 工作服務,即如批次傳送工作。該客戶端1 1 〇提供該項請 求裡諸項對應參數的數值,像是來源與目的資訊。該調接 器1 12收到該項請求,並於步驟904裡叫用其LRSP支援 的COM方法,並收存即如目的資訊之各項鍵值。在步驟 9 0 5中,該調接器1 1 2確認收到該項請求。於步驟9 〇 6裡, 該調接器112呼叫適當的DCOM方法,而這會在步驟9〇8 中被選擇性地中繼傳經該MPC316。在步驟907和909中, 該MPC 316和MCS 114確認收到該請求。在步驟裡, 該M C S 1 1 4執行方法俾祠服該項請求。在步驟9 1 2裡,當 完成該方法後,該MCS 1 14會發出一含有鍵值的知會項 目。該MPC 316傳通知會項目至該調接器112。在步驟916 和9 1 7中’該調接器1 1 2利用這些鍵值去識別出既經完成 的原始工作,並提供一工作識別號碼。接著,在步驟918 裡’該調接器112會傳通說明既已完成該長時-執作服務 的知會項目’並連同其工作識別號碼。在一商業邏輯裡, 在完成該M C S服務之後S客戶端1 1 〇可移動到次一步 在此雖既已參酌於一 MCS機具114來描述該通用介 第17頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ------1---.-----------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 575820 五、發明說明() 面建構者230與通用介面調接器112,然亦可對任何其它 型態的機具產生調接器丨丨2。機具可為軟體或硬體,或是 可為一併合有軟體與硬體兩者的大型系統,通常具備有像 是MCS協定轉換器316之軟體介面。最好,該機具係一 COM -基礎式機具,且其介面係按,,介面定義語言”所定義 者為佳。 用以作為本發明局部,及/或用於環境下或連其併用 之電腦處理系統的示範性元件可如第1 〇圖所示。現參酌 於第1 0圖’其中製程諸元1 〇〇 (該者至少會具有如前文列 纂之各式特點、功能性和元件的某些部分)係經緣示載置 於一圮憶體/儲存裝置1 004内。本文立意亦包含這些特點 可源自於通訊I/O 1008 (將於後文詳述)。 本發明具體實施例係立意為該記憶體/儲存裝置1 〇〇4 本身可為任意數量不同型態的電腦可讀取式媒體,用以存 放資訊,、包括RAM記憶體、磁性、電性或光學(包含全像 式)儲存或是彼等某些之組合等。亦應瞭解,特別是在當 與該調接器1 1 2相互通訊的機具裡(即如前述)係一硬體品 項(相對於一軟體系統),該機具可透過像是I/O 1008而與 彼等製程諸元相互通訊。 本圖中繪示該記憶體/儲存裝置1004係透過通訊鏈路 1010而與其一或諸多處理器1〇〇2相互通訊。本發明具體 實施例係立意為該(等)處理器1 002可為一般常見於如後 文所述型態之電腦内的處理器,及/或彼等可為任意數量之 另款處理器。 第18頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) t ii! —訂---------線 經濟部智慧財產局員Η 41名的妇 經濟部智慧財產局員工消費合作社印製 575820 A7 PI-2745-US Π7 五、發明說明() 再次參考第10圖,圖中繪有一顯示裝置1〇〇6。亦列 出一 I/O 1008’此者係為和各項機具及/或與像是區域網 路、網際網路等各式通訊網路相互通訊用。在此,該1/〇 1008係繪以透過通訊鏈路1010而與該(等)處理器1〇〇2和 該記憶體/儲存裝置1004相互通訊。 本發明可進一步立意為I/O 1008可伺服以接收各種 傳輸法則’像是該等相關於電信、纜線或其它傳輸機制 者,同時該製程諸元1 00裡至少部分功能,按其整體或局 部,為一傳輸項目。如此,即如虛擬製程諸元丨〇〇内至少 部分功能係來自於記憶體/儲存裝置1 004者,亦確可另源 自於I/O 1 008 (即如所源生出該製程諸元10〇各種功能的 媒體實可為一傳輸項目)。 應瞭解如第1 0圖所示之本發明各式功能組態僅係範 例,並且本發明係立意為任何數量的不同組態及/或不同元 件皆可。 依照立意運用於如第1 0圖所示之本發明的諸款特定 處理器1 0 0 2 ’其一示範性具體實施例包括應用加州聖塔克 拉拉市英特爾公司所出售的任何Pentium系列,及/或摩托 羅扭6 8 0 0 0系列處理器’像是摩托羅拉s c h a u m b e r g I π的 6 8 040處理器者。示範性作業系統可如微軟公司的微軟 NT ’以及任何各款Unix或Linux。當然,應瞭解亦可採 用任意數量的目前和未來各款處理器與其組合以及/或是 作業系統。 在此所採語言係為參考目的而非屬限制者。本發明雖 第19頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ------1--------------^---------線 (請先閱讀背面之注意事項再填冩本頁) 575820 A7 PI-2745-US B7 五、發明說明() 按各項較佳具體實施例所特定描述與說明,然對於熟諳本 項技藝之人士而言,依本發明諸具體實施例進行各種修飾 易 顯 屬 實 代 替 及 圍 或 神 精 明 發 本 離 择 至 不 且 (請先閱讀背面之注意事項再填寫本頁) t 訂---------線 經濟部智慧財產局員工消費合作社印製 T 20 第 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐)

Claims (1)

  1. 575820 Ρί-2745-US A8 B8 C8 D8 、申請專利範圍 1 · 一種用以於製程廠房設施内產生介面調接器以利工廠 營運管制系統與一機具間相互通訊之電腦-基礎式方 法,該方法至少包含下列步驟: (1) 從該機具内讀取一方法型態庫,其中該方法型態 庫含有其一或諸多與該機具相關的方法; (2) 選取一待加相關於至少其一或諸多方法的攝 定,其中至少一項為長時-執作服務協定以支援長時一 執作服務協定請求;及 (3) 產生一介面調接器以管理該工廠營運管制系統 與該機具之間的通訊,包含管理長時-執作服務協定請 求。 2 ·如申請專利範圍第1項所述之方法,其中上述之選取協 定的步驟中,更包括將其一或諸多識別碼相關連到該等 其一或諸多方法裡至少一者的步驟,其中該等其一或諸 多識別碼係為該介面調接器所應用於管理該工廠營運 管制系統與該機具間的通訊作業。 · ^ --------^---------線 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 3·如申請專利範圍第2項所述之方法,甘士, 々古’其中上述之將該 其一或諸多識別碼相關連步驟舍紅t # I括對其一或諸多方 裡至少一者定義一交易識別號螞的步驟。 等 法 4·如申請專利範圍第2項所述之方味 .^ 万去’其中其—或諸多方 法裡至少一者係相關於其一或諸 吨多參數,而將該等其一 第21頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 575820 A8 B8 C8 _PI-2745-US_^_ 六、申請專利範圍 或諸多識別碼相關連步驟包括選取該等其一或諸多參 數至少一者作為其一或諸多方法裡至少一者之鍵值的 步驟。 5. 如申請專利範圍第2項所述之方法,其中上述之產生介 面調接器的步驟包括藉由修改其一或諸多方法裡至少 一者,按相關於該既選協定之資訊與其一或諸多相關識 別碼而包捲該方法的步驟,俾以定義一調接器方法的步 6. 如申請專利範圍第1項所述之方法,其中更包括提供一 圖形使用者介面、以承允使用者執行該選取協定步驟的 步驟。 7. 如申請專利範圍第6項所述之方法,其中上述之圖形使 用者介面係透過該工廠營運管制系統之圖形使用者介 面而供置。 8. 如申請專利範圍第1項所述之方法,其中更包括對該機 具提供一協定轉換器的步驟,其中該協定轉換器可藉轉 譯該方法型態庫為所欲格式,來協助讀取該方法型態庫 的步驟。 9. 如申請專利範圍第1項所述之方法,其中所產生的介面 第22頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X之97公t ) ------------------聲 (請先閱讀背面之注意事項再填寫本頁) 訂---------線 經濟部智慧財產局員工消費合作社印製
TW90119715A 2000-08-11 2001-08-10 Generic interface builder TW575820B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/637,620 US6708074B1 (en) 2000-08-11 2000-08-11 Generic interface builder

Publications (1)

Publication Number Publication Date
TW575820B true TW575820B (en) 2004-02-11

Family

ID=24556705

Family Applications (1)

Application Number Title Priority Date Filing Date
TW90119715A TW575820B (en) 2000-08-11 2001-08-10 Generic interface builder

Country Status (3)

Country Link
US (1) US6708074B1 (zh)
TW (1) TW575820B (zh)
WO (1) WO2002014965A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114327191A (zh) * 2021-12-29 2022-04-12 上海赛美特软件科技有限公司 一种spc系统的关键字段输入方法、装置及电子设备

Families Citing this family (92)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7069101B1 (en) * 1999-07-29 2006-06-27 Applied Materials, Inc. Computer integrated manufacturing techniques
US6640151B1 (en) * 1999-12-22 2003-10-28 Applied Materials, Inc. Multi-tool control system, method and medium
US9785140B2 (en) * 2000-02-01 2017-10-10 Peer Intellectual Property Inc. Multi-protocol multi-client equipment server
AU2001292819A1 (en) * 2000-09-20 2002-04-02 Lockheed Martin Corporation Object oriented framework architecture for sensing and/or control environments
US20020184348A1 (en) * 2000-09-20 2002-12-05 Lockheed Martin Corporation Object oriented framework architecture for sensing and/or control environments
WO2002033603A2 (de) * 2000-10-20 2002-04-25 Siemens Aktiengesellschaft System und verfahren zum verwalten von softwareapplikationen, insbesondere mes-applikationen
US7188142B2 (en) * 2000-11-30 2007-03-06 Applied Materials, Inc. Dynamic subject information generation in message services of distributed object systems in a semiconductor assembly line facility
US6901984B1 (en) * 2001-02-27 2005-06-07 Cypress Semiconductor Corporation Method and system for controlling the processing of an integrated circuit chip assembly line using a central computer system and a common communication protocol
US6931298B1 (en) 2001-02-27 2005-08-16 Cypress Semiconductor Corporation Integrated back-end integrated circuit manufacturing assembly
US20020128735A1 (en) * 2001-03-08 2002-09-12 Hawkins Parris C.M. Dynamic and extensible task guide
US20020138321A1 (en) * 2001-03-20 2002-09-26 Applied Materials, Inc. Fault tolerant and automated computer software workflow
US6910947B2 (en) * 2001-06-19 2005-06-28 Applied Materials, Inc. Control of chemical mechanical polishing pad conditioner directional velocity to improve pad life
US7160739B2 (en) * 2001-06-19 2007-01-09 Applied Materials, Inc. Feedback control of a chemical mechanical polishing device providing manipulation of removal rate profiles
US20020192966A1 (en) * 2001-06-19 2002-12-19 Shanmugasundram Arulkumar P. In situ sensor based control of semiconductor processing procedure
US7047099B2 (en) * 2001-06-19 2006-05-16 Applied Materials Inc. Integrating tool, module, and fab level control
US6913938B2 (en) * 2001-06-19 2005-07-05 Applied Materials, Inc. Feedback control of plasma-enhanced chemical vapor deposition processes
US7101799B2 (en) * 2001-06-19 2006-09-05 Applied Materials, Inc. Feedforward and feedback control for conditioning of chemical mechanical polishing pad
US7201936B2 (en) * 2001-06-19 2007-04-10 Applied Materials, Inc. Method of feedback control of sub-atmospheric chemical vapor deposition processes
US7082345B2 (en) * 2001-06-19 2006-07-25 Applied Materials, Inc. Method, system and medium for process control for the matching of tools, chambers and/or other semiconductor-related entities
US7698012B2 (en) * 2001-06-19 2010-04-13 Applied Materials, Inc. Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing
US7337019B2 (en) * 2001-07-16 2008-02-26 Applied Materials, Inc. Integration of fault detection with run-to-run control
US6819960B1 (en) 2001-08-13 2004-11-16 Rockwell Software Inc. Industrial controller automation interface
US6950716B2 (en) * 2001-08-13 2005-09-27 Applied Materials, Inc. Dynamic control of wafer processing paths in semiconductor manufacturing processes
US20030037090A1 (en) * 2001-08-14 2003-02-20 Koh Horne L. Tool services layer for providing tool service functions in conjunction with tool functions
US6984198B2 (en) * 2001-08-14 2006-01-10 Applied Materials, Inc. Experiment management system, method and medium
TWI233141B (en) * 2001-12-26 2005-05-21 Nat Science Council Method of equipment management
US20030135661A1 (en) * 2002-01-14 2003-07-17 International Business Machines Corporation System and method for packaging and installing management models with specific console interfaces
US7240326B2 (en) * 2002-01-14 2007-07-03 International Business Machines Corporation System and method for obtaining display names from management models
US7177793B2 (en) * 2002-01-14 2007-02-13 International Business Machines Corporation System and method for managing translatable strings displayed on console interfaces
US7191404B2 (en) * 2002-01-14 2007-03-13 International Business Machines Corporation System and method for mapping management objects to console neutral user interface
US7065744B2 (en) * 2002-01-14 2006-06-20 International Business Machines Corporation System and method for converting management models to specific console interfaces
US7225047B2 (en) * 2002-03-19 2007-05-29 Applied Materials, Inc. Method, system and medium for controlling semiconductor wafer processes using critical dimension measurements
US7315980B2 (en) * 2002-03-21 2008-01-01 International Business Machines Corporation Method and apparatus for generating electronic document definitions
US20030199112A1 (en) * 2002-03-22 2003-10-23 Applied Materials, Inc. Copper wiring module control
US7330473B1 (en) 2002-04-12 2008-02-12 Rockwell Automation Technologies, Inc. System and methodology providing network data exchange between industrial control components
US7346647B2 (en) * 2002-04-19 2008-03-18 Computer Associates Think, Inc. System and method for interfacing with existing system management products or software solutions
US7512906B1 (en) * 2002-06-04 2009-03-31 Rockwell Automation Technologies, Inc. System and methodology providing adaptive interface in an industrial controller environment
US7606890B1 (en) 2002-06-04 2009-10-20 Rockwell Automation Technologies, Inc. System and methodology providing namespace and protocol management in an industrial controller environment
US7151966B1 (en) 2002-06-04 2006-12-19 Rockwell Automation Technologies, Inc. System and methodology providing open interface and distributed processing in an industrial controller environment
US9565275B2 (en) 2012-02-09 2017-02-07 Rockwell Automation Technologies, Inc. Transformation of industrial data into useful cloud information
US7539724B1 (en) 2002-06-04 2009-05-26 Rockwell Automation Technologies, Inc. Instant messaging for event notification and exchanging data in an industrial controller environment
US6999836B2 (en) * 2002-08-01 2006-02-14 Applied Materials, Inc. Method, system, and medium for handling misrepresentative metrology data within an advanced process control system
US20040063224A1 (en) * 2002-09-18 2004-04-01 Applied Materials, Inc. Feedback control of a chemical mechanical polishing process for multi-layered films
CN1720490B (zh) * 2002-11-15 2010-12-08 应用材料有限公司 用于控制具有多变量输入参数的制造工艺的方法和系统
US7333871B2 (en) * 2003-01-21 2008-02-19 Applied Materials, Inc. Automated design and execution of experiments with integrated model creation for semiconductor manufacturing tools
US20100145752A1 (en) * 2004-05-11 2010-06-10 Davis James E Adaptable workflow and communications system
US7220034B2 (en) * 2003-07-11 2007-05-22 Rudolph Technologies, Inc. Fiber optic darkfield ring light
US8045788B2 (en) * 2003-07-14 2011-10-25 August Technology Corp. Product setup sharing for multiple inspection systems
WO2005008737A2 (en) * 2003-07-14 2005-01-27 August Technology Corporation Inspection and metrology module cluster tool with multi-tool manager
US7589783B2 (en) * 2003-07-14 2009-09-15 Rudolph Technologies, Inc. Camera and illumination matching for inspection system
US8698327B2 (en) 2003-07-14 2014-04-15 Rudolph Technologies, Inc. Substrate handler
US7197178B2 (en) * 2003-07-14 2007-03-27 Rudolph Technologies, Inc. Photoresist edge bead removal measurement
US7340087B2 (en) * 2003-07-14 2008-03-04 Rudolph Technologies, Inc. Edge inspection
US7316938B2 (en) * 2003-07-14 2008-01-08 Rudolph Technologies, Inc. Adjustable film frame aligner
US6947588B2 (en) * 2003-07-14 2005-09-20 August Technology Corp. Edge normal process
US20050014299A1 (en) * 2003-07-15 2005-01-20 Applied Materials, Inc. Control of metal resistance in semiconductor products via integrated metrology
US7354332B2 (en) * 2003-08-04 2008-04-08 Applied Materials, Inc. Technique for process-qualifying a semiconductor manufacturing tool using metrology data
US7356377B2 (en) * 2004-01-29 2008-04-08 Applied Materials, Inc. System, method, and medium for monitoring performance of an advanced process control system
TWI235900B (en) * 2004-03-24 2005-07-11 Charming Systems Corp System and method for managing equipments
US7096085B2 (en) * 2004-05-28 2006-08-22 Applied Materials Process control by distinguishing a white noise component of a process variance
US6961626B1 (en) * 2004-05-28 2005-11-01 Applied Materials, Inc Dynamic offset and feedback threshold
US7680556B2 (en) * 2004-11-15 2010-03-16 Tech Semiconductor Singapore Pte. Ltd. Method for data collection during manufacturing processes
US7523404B2 (en) * 2004-12-30 2009-04-21 Sap Aktiengesellschaft System and method for generating complex character-based computing interfaces
EP1699005A1 (de) * 2005-03-01 2006-09-06 Siemens Aktiengesellschaft Integration von MES- und Controls-Engineering
US8307336B1 (en) * 2005-03-30 2012-11-06 Oracle America, Inc. Mechanism for enabling a set of output from a functional component to be presented on different types of clients
US7289867B1 (en) * 2005-06-08 2007-10-30 Advanced Micro Devices, Inc. Automated integrated circuit device manufacturing facility using distributed control
US7248936B1 (en) 2006-01-31 2007-07-24 International Business Machines Corporation Automated tool recipe verification and correction
US7305320B2 (en) * 2006-02-15 2007-12-04 International Business Machines Corporation Metrology tool recipe validator using best known methods
US7917893B2 (en) * 2007-03-07 2011-03-29 Microsoft Corporation Using a system of annotations to generate views and adapters
WO2009077861A2 (en) * 2007-09-25 2009-06-25 Thermo Crs, Ltd. Multiple schedulers
US8606768B2 (en) * 2007-12-20 2013-12-10 Accenture Global Services Limited System for providing a configurable adaptor for mediating systems
US8484627B2 (en) * 2008-01-31 2013-07-09 Ncr Corporation Interoperability method and software
EP2159658A1 (de) * 2008-08-28 2010-03-03 Siemens Aktiengesellschaft Verfahren und Automatisierungs-Controller zur Ausgabe einer Wartungsinformation einer Automatisierungskomponente
US20100146014A1 (en) * 2008-12-04 2010-06-10 Microsoft Corporation Extendable business type system in a performance management platform
US9477936B2 (en) 2012-02-09 2016-10-25 Rockwell Automation Technologies, Inc. Cloud-based operator interface for industrial automation
US9703902B2 (en) 2013-05-09 2017-07-11 Rockwell Automation Technologies, Inc. Using cloud-based data for industrial simulation
US9709978B2 (en) 2013-05-09 2017-07-18 Rockwell Automation Technologies, Inc. Using cloud-based data for virtualization of an industrial automation environment with information overlays
US9438648B2 (en) 2013-05-09 2016-09-06 Rockwell Automation Technologies, Inc. Industrial data analytics in a cloud platform
US10026049B2 (en) 2013-05-09 2018-07-17 Rockwell Automation Technologies, Inc. Risk assessment for industrial systems using big data
US9786197B2 (en) 2013-05-09 2017-10-10 Rockwell Automation Technologies, Inc. Using cloud-based data to facilitate enhancing performance in connection with an industrial automation system
US9989958B2 (en) 2013-05-09 2018-06-05 Rockwell Automation Technologies, Inc. Using cloud-based data for virtualization of an industrial automation environment
US10496061B2 (en) 2015-03-16 2019-12-03 Rockwell Automation Technologies, Inc. Modeling of an industrial automation environment in the cloud
US11042131B2 (en) 2015-03-16 2021-06-22 Rockwell Automation Technologies, Inc. Backup of an industrial automation plant in the cloud
US11243505B2 (en) 2015-03-16 2022-02-08 Rockwell Automation Technologies, Inc. Cloud-based analytics for industrial automation
US11513477B2 (en) 2015-03-16 2022-11-29 Rockwell Automation Technologies, Inc. Cloud-based industrial controller
DE102015204751A1 (de) * 2015-03-17 2016-09-22 Robert Bosch Gmbh Vorrichtung und verfahren zum erstellen von applikationen für anwendungen für eine kommunikation zwischen einem server und einem client einer automatisierungsanlage
CN108804087B (zh) * 2017-04-26 2022-06-21 武汉斗鱼网络科技有限公司 一种动态切换第三方程序库的实现方法及实现装置
CN109582607A (zh) * 2018-11-30 2019-04-05 Oppo(重庆)智能科技有限公司 快充适配器的软件下载方法、电子装置及计算机可读存储介质
CN113557485A (zh) * 2019-02-19 2021-10-26 巴斯夫欧洲公司 使用计算机系统之间的通信通过控制回路控制生产
US11960830B2 (en) 2020-02-03 2024-04-16 International Business Machines Corporation Exploratory data interface
CN114578790B (zh) * 2022-03-04 2024-05-14 四川傲势科技有限公司 一种无人机飞控自动测试方法、系统、设备和介质
CN115086388A (zh) * 2022-06-07 2022-09-20 青岛弯弓信息技术有限公司 一种mes系统自适应接口集成平台

Family Cites Families (245)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3205485A (en) 1960-10-21 1965-09-07 Ti Group Services Ltd Screening vane electro-mechanical transducer
US3229198A (en) 1962-09-28 1966-01-11 Hugo L Libby Eddy current nondestructive testing device for measuring multiple parameter variables of a metal sample
US3767900A (en) 1971-06-23 1973-10-23 Cons Paper Inc Adaptive controller having optimal filtering
CH569321A5 (zh) 1973-10-03 1975-11-14 Siemens Ag
US4000458A (en) 1975-08-21 1976-12-28 Bell Telephone Laboratories, Incorporated Method for the noncontacting measurement of the electrical conductivity of a lamella
US4302721A (en) 1978-05-08 1981-11-24 Tencor Instruments Non-contacting resistivity instrument with structurally related conductance and distance measuring transducers
US4368510A (en) 1980-10-20 1983-01-11 Leeds & Northrup Company Automatic identification system for self tuning process controller
US4616308A (en) 1983-11-15 1986-10-07 Shell Oil Company Dynamic process control
DE3581000D1 (de) 1984-05-19 1991-02-07 British Aerospace Industrielle verarbeitungs- und herstellungsverfahren.
US4967381A (en) 1985-04-30 1990-10-30 Prometrix Corporation Process control interface system for managing measurement data
US4663703A (en) 1985-10-02 1987-05-05 Westinghouse Electric Corp. Predictive model reference adaptive controller
FR2589566A1 (fr) 1985-11-06 1987-05-07 Cegedur Procede de mesure au defile et sans contact de l'epaisseur et de la temperature de feuilles metalliques minces au moyen de courants de foucault
US4750141A (en) 1985-11-26 1988-06-07 Ade Corporation Method and apparatus for separating fixture-induced error from measured object characteristics and for compensating the measured object characteristic with the error, and a bow/warp station implementing same
US5260868A (en) 1986-08-11 1993-11-09 Texas Instruments Incorporate Method for calendaring future events in real-time
US4796194A (en) 1986-08-20 1989-01-03 Atherton Robert W Real world modeling and control process
US5345587A (en) 1988-09-14 1994-09-06 Digital Equipment Corporation Extensible entity management system including a dispatching kernel and modules which independently interpret and execute commands
US4938600A (en) 1989-02-09 1990-07-03 Interactive Video Systems, Inc. Method and apparatus for measuring registration between layers of a semiconductor wafer
JP2780814B2 (ja) 1989-06-22 1998-07-30 株式会社日立製作所 生産管理システム
US6185324B1 (en) 1989-07-12 2001-02-06 Hitachi, Ltd. Semiconductor failure analysis system
US6345288B1 (en) 1989-08-31 2002-02-05 Onename Corporation Computer-based communication system and method using metadata defining a control-structure
US5089970A (en) 1989-10-05 1992-02-18 Combustion Engineering, Inc. Integrated manufacturing system
US5108570A (en) 1990-03-30 1992-04-28 Applied Materials, Inc. Multistep sputtering process for forming aluminum layer over stepped semiconductor wafer
US5485082A (en) 1990-04-11 1996-01-16 Micro-Epsilon Messtechnik Gmbh & Co. Kg Method of calibrating a thickness measuring device and device for measuring or monitoring the thickness of layers, tapes, foils, and the like
US5236868A (en) 1990-04-20 1993-08-17 Applied Materials, Inc. Formation of titanium nitride on semiconductor wafer by reaction of titanium with nitrogen-bearing gas in an integrated processing system
US5208765A (en) 1990-07-20 1993-05-04 Advanced Micro Devices, Inc. Computer-based method and system for product development
US5495417A (en) 1990-08-14 1996-02-27 Kabushiki Kaisha Toshiba System for automatically producing different semiconductor products in different quantities through a plurality of processes along a production line
US5220517A (en) 1990-08-31 1993-06-15 Sci Systems, Inc. Process gas distribution system and method with supervisory control
CA2194855A1 (en) 1990-08-31 1992-03-01 Dennis A. Sierk Process gas distribution system and method
WO1992007331A1 (en) 1990-10-16 1992-04-30 Consilium, Inc. Object-oriented architecture for factory floor management
US5295242A (en) * 1990-11-02 1994-03-15 Consilium, Inc. Apparatus and method for viewing relationships in a factory management system
US5270222A (en) 1990-12-31 1993-12-14 Texas Instruments Incorporated Method and apparatus for semiconductor device fabrication diagnosis and prognosis
US5226118A (en) 1991-01-29 1993-07-06 Prometrix Corporation Data analysis system and method for industrial process control systems
WO1992014197A1 (en) 1991-02-08 1992-08-20 Kabushiki Kaisha Toshiba Model forecasting controller
US5430836A (en) 1991-03-01 1995-07-04 Ast Research, Inc. Application control module for common user access interface
US5469361A (en) 1991-08-08 1995-11-21 The Board Of Regents Acting For And On Behalf Of The University Of Michigan Generic cell controlling method and apparatus for computer integrated manufacturing system
US5309221A (en) 1991-12-31 1994-05-03 Corning Incorporated Measurement of fiber diameters with high precision
JP3154425B2 (ja) 1992-01-07 2001-04-09 フクダ電子株式会社 心電図情報記録方法及び装置
US5525808A (en) 1992-01-23 1996-06-11 Nikon Corporaton Alignment method and alignment apparatus with a statistic calculation using a plurality of weighted coordinate positions
US5283141A (en) 1992-03-05 1994-02-01 National Semiconductor Photolithography control system and method using latent image measurements
US5857258A (en) 1992-03-13 1999-01-12 The United States Of America As Represented By The Secretary Of Commerce Electrical test structure and method for measuring the relative locations of conductive features on an insulating substrate
US5602492A (en) 1992-03-13 1997-02-11 The United States Of America As Represented By The Secretary Of Commerce Electrical test structure and method for measuring the relative locations of conducting features on an insulating substrate
US5823853A (en) 1996-07-18 1998-10-20 Speedfam Corporation Apparatus for the in-process detection of workpieces with a monochromatic light source
US5490097A (en) 1993-03-22 1996-02-06 Fujitsu Limited System and method for modeling, analyzing and executing work process plans
US5586039A (en) 1993-03-29 1996-12-17 Texas Instruments Incorporated Computer-aided manufacturing support method and system for specifying relationships and dependencies between process type components
US5428555A (en) 1993-04-20 1995-06-27 Praxair, Inc. Facility and gas management system
US5367624A (en) 1993-06-11 1994-11-22 Consilium, Inc. Interface for controlling transactions in a manufacturing execution system
US5402367A (en) 1993-07-19 1995-03-28 Texas Instruments, Incorporated Apparatus and method for model based process control
US5642296A (en) 1993-07-29 1997-06-24 Texas Instruments Incorporated Method of diagnosing malfunctions in semiconductor manufacturing equipment
JP3039210B2 (ja) 1993-08-03 2000-05-08 日本電気株式会社 半導体装置の製造方法
US5700180A (en) 1993-08-25 1997-12-23 Micron Technology, Inc. System for real-time control of semiconductor wafer polishing
US5408405A (en) 1993-09-20 1995-04-18 Texas Instruments Incorporated Multi-variable statistical process controller for discrete manufacturing
US5546312A (en) 1993-09-20 1996-08-13 Texas Instruments Incorporated Use of spatial models for simultaneous control of various non-uniformity metrics
US5503707A (en) 1993-09-22 1996-04-02 Texas Instruments Incorporated Method and apparatus for process endpoint prediction based on actual thickness measurements
DE69425100T2 (de) 1993-09-30 2001-03-15 Koninkl Philips Electronics Nv Dynamisches neuronales Netzwerk
US5497381A (en) 1993-10-15 1996-03-05 Analog Devices, Inc. Bitstream defect analysis method for integrated circuits
US5375064A (en) 1993-12-02 1994-12-20 Hughes Aircraft Company Method and apparatus for moving a material removal tool with low tool accelerations
US5577204A (en) * 1993-12-15 1996-11-19 Convex Computer Corporation Parallel processing computer system interconnections utilizing unidirectional communication links with separate request and response lines for direct communication or using a crossbar switching device
US5526293A (en) 1993-12-17 1996-06-11 Texas Instruments Inc. System and method for controlling semiconductor wafer processing
US5420796A (en) 1993-12-23 1995-05-30 Vlsi Technology, Inc. Method of inspecting planarity of wafer surface after etchback step in integrated circuit fabrication
JPH07201946A (ja) 1993-12-28 1995-08-04 Hitachi Ltd 半導体装置等の製造方法及びその装置並びに検査方法及びその装置
EP0665577A1 (en) 1994-01-28 1995-08-02 Applied Materials, Inc. Method and apparatus for monitoring the deposition rate of films during physical vapour deposition
US5664987A (en) 1994-01-31 1997-09-09 National Semiconductor Corporation Methods and apparatus for control of polishing pad conditioning for wafer planarization
US5511005A (en) 1994-02-16 1996-04-23 Ade Corporation Wafer handling and processing system
US5666297A (en) 1994-05-13 1997-09-09 Aspen Technology, Inc. Plant simulation and optimization software apparatus and method using dual execution models
US5629216A (en) 1994-06-30 1997-05-13 Seh America, Inc. Method for producing semiconductor wafers with low light scattering anomalies
JP3402412B2 (ja) 1994-09-20 2003-05-06 株式会社リコー プロセスシミュレーション入力データ設定装置
EP0706209A3 (en) 1994-10-06 1996-12-27 Applied Materials Inc Thin film resistance measurement
US5519605A (en) 1994-10-24 1996-05-21 Olin Corporation Model predictive control apparatus and method
KR100213603B1 (ko) 1994-12-28 1999-08-02 가나이 쯔또무 전자회로기판의 배선수정방법 및 그 장치와 전자회로기판
DE4446966A1 (de) 1994-12-28 1996-07-04 Itt Ind Gmbh Deutsche Informationssystem zur Produktionskontrolle
US5617023A (en) 1995-02-02 1997-04-01 Otis Elevator Company Industrial contactless position sensor
US5646870A (en) 1995-02-13 1997-07-08 Advanced Micro Devices, Inc. Method for setting and adjusting process parameters to maintain acceptable critical dimensions across each die of mass-produced semiconductor wafers
US5541510A (en) 1995-04-06 1996-07-30 Kaman Instrumentation Corporation Multi-Parameter eddy current measuring system with parameter compensation technical field
US5559428A (en) 1995-04-10 1996-09-24 International Business Machines Corporation In-situ monitoring of the change in thickness of films
US5696893A (en) 1995-06-07 1997-12-09 Xerox Corporation System for generically describing and scheduling operation of modular printing machine
US5764543A (en) 1995-06-16 1998-06-09 I2 Technologies, Inc. Extensible model network representation system for process planning
US5649169A (en) 1995-06-20 1997-07-15 Advanced Micro Devices, Inc. Method and system for declustering semiconductor defect data
US5665199A (en) 1995-06-23 1997-09-09 Advanced Micro Devices, Inc. Methodology for developing product-specific interlayer dielectric polish processes
US5599423A (en) 1995-06-30 1997-02-04 Applied Materials, Inc. Apparatus and method for simulating and optimizing a chemical mechanical polishing system
US5740429A (en) 1995-07-07 1998-04-14 Advanced Micro Devices, Inc. E10 reporting tool
US5828778A (en) 1995-07-13 1998-10-27 Matsushita Electric Industrial Co., Ltd. Method and apparatus for analyzing failure of semiconductor wafer
US5825913A (en) 1995-07-18 1998-10-20 Cognex Corporation System for finding the orientation of a wafer
US6036349A (en) 1995-07-27 2000-03-14 Health Designs, Inc. Method and apparatus for validation of model-based predictions
US5716856A (en) 1995-08-22 1998-02-10 Advanced Micro Devices, Inc. Arrangement and method for detecting sequential processing effects in manufacturing using predetermined sequences within runs
KR0153617B1 (ko) 1995-09-20 1998-12-01 김광호 반도체 집적회로 제조공정방법
US5963881A (en) 1995-09-22 1999-10-05 Texas Instruments Incorporated Method and system for enhancing the identification of causes of variations in the performance of manufactured articles
JPH09129530A (ja) 1995-09-25 1997-05-16 Texas Instr Inc <Ti> サイトモデルを用いたプロセスモジュールの制御およびモニタウエハ制御
US6249712B1 (en) 1995-09-26 2001-06-19 William J. N-O. Boiquaye Adaptive control process and system
US5655951A (en) 1995-09-29 1997-08-12 Micron Technology, Inc. Method for selectively reconditioning a polishing pad used in chemical-mechanical planarization of semiconductor wafers
US5777901A (en) 1995-09-29 1998-07-07 Advanced Micro Devices, Inc. Method and system for automated die yield prediction in semiconductor manufacturing
US5761064A (en) 1995-10-06 1998-06-02 Advanced Micro Devices, Inc. Defect management system for productivity and yield improvement
US5654903A (en) 1995-11-07 1997-08-05 Lucent Technologies Inc. Method and apparatus for real time monitoring of wafer attributes in a plasma etch process
US5603707A (en) 1995-11-28 1997-02-18 The Procter & Gamble Company Absorbent article having a rewet barrier
US5719796A (en) 1995-12-04 1998-02-17 Advanced Micro Devices, Inc. System for monitoring and analyzing manufacturing processes using statistical simulation with single step feedback
KR100200480B1 (ko) 1995-12-21 1999-10-01 윤종용 불량 분석 피드백에 의한 반도체 제조공정 제어방법
US5674787A (en) 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
US6094600A (en) 1996-02-06 2000-07-25 Fisher-Rosemount Systems, Inc. System and method for managing a transaction database of records of changes to field device configurations
EP0895279A4 (en) 1996-03-06 2006-04-19 Hitachi Ltd METHOD FOR PRODUCING A SEMICONDUCTOR DEVICE
US6542830B1 (en) 1996-03-19 2003-04-01 Hitachi, Ltd. Process control system
US6017143A (en) 1996-03-28 2000-01-25 Rosemount Inc. Device in a process system for detecting events
WO1997036164A1 (en) 1996-03-28 1997-10-02 Bio-Analytics, Inc., Doing Business As Biomedware Method for measuring a degree of association for dimensionally referenced data
JP3699776B2 (ja) 1996-04-02 2005-09-28 株式会社日立製作所 電子部品の製造方法
US5735055A (en) 1996-04-23 1998-04-07 Aluminum Company Of America Method and apparatus for measuring the thickness of an article at a plurality of points
US6278899B1 (en) 1996-05-06 2001-08-21 Pavilion Technologies, Inc. Method for on-line optimization of a plant
US5910846A (en) 1996-05-16 1999-06-08 Micron Technology, Inc. Method and apparatus for detecting the endpoint in chemical-mechanical polishing of semiconductor wafers
US5663797A (en) 1996-05-16 1997-09-02 Micron Technology, Inc. Method and apparatus for detecting the endpoint in chemical-mechanical polishing of semiconductor wafers
US5823854A (en) 1996-05-28 1998-10-20 Industrial Technology Research Institute Chemical-mechanical polish (CMP) pad conditioner
JPH1086040A (ja) 1996-06-13 1998-04-07 Mitsubishi Electric Corp 多系統の自動プログラミング方法及びその装置
US5960185A (en) 1996-06-24 1999-09-28 International Business Machines Corporation Method and apparatus for wafer disposition based on systematic error modeling
JPH10112493A (ja) 1996-08-13 1998-04-28 Sony Corp 表面矯正薄板保持装置、面調整手段及び向き調整手段
US6246972B1 (en) 1996-08-23 2001-06-12 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US5844554A (en) 1996-09-17 1998-12-01 Bt Squared Technologies, Inc. Methods and systems for user interfaces and constraint handling configurations software
US5667424A (en) 1996-09-25 1997-09-16 Chartered Semiconductor Manufacturing Pte Ltd. New chemical mechanical planarization (CMP) end point detection apparatus
JPH10106917A (ja) 1996-10-02 1998-04-24 Toshiba Corp 半導体装置製造用生産システム
TW364956B (en) 1996-10-21 1999-07-21 Nxp Bv Method and system for assessing a measurement procedure and measurement-induced uncertainties on a batchwise manufacturing process of discrete products
US5859964A (en) 1996-10-25 1999-01-12 Advanced Micro Devices, Inc. System and method for performing real time data acquisition, process modeling and fault detection of wafer fabrication processes
US6064759A (en) 1996-11-08 2000-05-16 Buckley; B. Shawn Computer aided inspection machine
US6078845A (en) 1996-11-25 2000-06-20 Schlumberger Technologies, Inc. Apparatus for carrying semiconductor devices
US5889991A (en) 1996-12-06 1999-03-30 International Business Machines Corp. Method and system for customizing a palette using any java class
JPH10173021A (ja) 1996-12-12 1998-06-26 Mitsubishi Electric Corp 製造ライン解析方法及び製造ライン解析装置
US6128016A (en) * 1996-12-20 2000-10-03 Nec Corporation Graphic user interface for managing a server system
US5982920A (en) 1997-01-08 1999-11-09 Lockheed Martin Energy Research Corp. Oak Ridge National Laboratory Automated defect spatial signature analysis for semiconductor manufacturing process
US6094688A (en) 1997-01-08 2000-07-25 Crossworlds Software, Inc. Modular application collaboration including filtering at the source and proxy execution of compensating transactions to conserve server resources
US5808303A (en) 1997-01-29 1998-09-15 Art Aerospace Research Technologies Inc. Infrared screening and inspection system
US5978751A (en) 1997-02-25 1999-11-02 International Business Machines Corporation Variegated manufacturing process test method and apparatus
JPH10329015A (ja) 1997-03-24 1998-12-15 Canon Inc 研磨装置および研磨方法
KR100272252B1 (ko) 1997-04-17 2000-11-15 윤종용 웨이퍼카세트반송방법
JP3393035B2 (ja) 1997-05-06 2003-04-07 東京エレクトロン株式会社 制御装置及び半導体製造装置
US5910011A (en) 1997-05-12 1999-06-08 Applied Materials, Inc. Method and apparatus for monitoring processes using multiple parameters of a semiconductor wafer processing system
US6219711B1 (en) * 1997-05-13 2001-04-17 Micron Electronics, Inc. Synchronous communication interface
TW331650B (en) 1997-05-26 1998-05-11 Taiwan Semiconductor Mfg Co Ltd Integrated defect yield management system for semiconductor manufacturing
US6108091A (en) 1997-05-28 2000-08-22 Lam Research Corporation Method and apparatus for in-situ monitoring of thickness during chemical-mechanical polishing
US6111634A (en) 1997-05-28 2000-08-29 Lam Research Corporation Method and apparatus for in-situ monitoring of thickness using a multi-wavelength spectrometer during chemical-mechanical polishing
US6240330B1 (en) 1997-05-28 2001-05-29 International Business Machines Corporation Method for feedforward corrections for off-specification conditions
US6143646A (en) 1997-06-03 2000-11-07 Motorola Inc. Dual in-laid integrated circuit structure with selectively positioned low-K dielectric isolation and method of formation
JPH118170A (ja) 1997-06-13 1999-01-12 Canon Inc 半導体処理システムおよびデバイス製造方法
US6148099A (en) 1997-07-03 2000-11-14 Neopath, Inc. Method and apparatus for incremental concurrent learning in automatic semiconductor wafer and liquid crystal display defect classification
US5923557A (en) 1997-08-01 1999-07-13 Hewlett-Packard Company Method and apparatus for providing a standard interface to process control devices that are adapted to differing field-bus protocols
US6345315B1 (en) * 1997-08-13 2002-02-05 Sudhindra N. Mishra Method for platform and protocol independent communication between client-server pairs
US6161054A (en) 1997-09-22 2000-12-12 On-Line Technologies, Inc. Cell control method and apparatus
KR19990027324A (ko) 1997-09-29 1999-04-15 윤종용 웨이퍼 인식 시스템을 구비하는 멀티 챔버 시스템과 이를 이용한 웨이퍼 가공방법
US5916016A (en) 1997-10-23 1999-06-29 Vlsi Technology, Inc. Methods and apparatus for polishing wafers
US6097887A (en) 1997-10-27 2000-08-01 Kla-Tencor Corporation Software system and method for graphically building customized recipe flowcharts
US6041270A (en) 1997-12-05 2000-03-21 Advanced Micro Devices, Inc. Automatic recipe adjust and download based on process control window
US6148239A (en) 1997-12-12 2000-11-14 Advanced Micro Devices, Inc. Process control system using feed forward control threads based on material groups
KR100251279B1 (ko) 1997-12-26 2000-04-15 윤종용 반도체 제조용 증착설비의 막두께 조절방법
EP0932194A1 (en) 1997-12-30 1999-07-28 International Business Machines Corporation Method and system for semiconductor wafer fabrication process real-time in-situ interactive supervision
KR19990065486A (ko) 1998-01-14 1999-08-05 윤종용 반도체 제조설비 관리시스템의 공정조건 관리방법
KR100297371B1 (ko) * 1998-02-03 2001-10-25 윤종용 반도체 공정 데이터 통합 관리 방법
US5985497A (en) 1998-02-03 1999-11-16 Advanced Micro Devices, Inc. Method for reducing defects in a semiconductor lithographic process
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6455937B1 (en) 1998-03-20 2002-09-24 James A. Cunningham Arrangement and method for improved downward scaling of higher conductivity metal-based interconnects
US6017771A (en) 1998-04-27 2000-01-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for yield loss analysis by yield management system
US6263255B1 (en) 1998-05-18 2001-07-17 Advanced Micro Devices, Inc. Advanced process control for semiconductor manufacturing
US6292708B1 (en) 1998-06-11 2001-09-18 Speedfam-Ipec Corporation Distributed control system for a semiconductor wafer processing machine
US6230069B1 (en) 1998-06-26 2001-05-08 Advanced Micro Devices, Inc. System and method for controlling the manufacture of discrete parts in semiconductor fabrication using model predictive control
US6395152B1 (en) 1998-07-09 2002-05-28 Acm Research, Inc. Methods and apparatus for electropolishing metal interconnections on semiconductor devices
US6127263A (en) 1998-07-10 2000-10-03 Applied Materials, Inc. Misalignment tolerant techniques for dual damascene fabrication
US6141660A (en) 1998-07-16 2000-10-31 International Business Machines Corporation Command line interface for creating business objects for accessing a hierarchical database
US6169931B1 (en) 1998-07-29 2001-01-02 Southwest Research Institute Method and system for modeling, predicting and optimizing chemical mechanical polishing pad wear and extending pad life
KR100292030B1 (ko) 1998-09-15 2001-08-07 윤종용 반도체 박막 공정에서의 박막 두께 제어 방법
US6197604B1 (en) 1998-10-01 2001-03-06 Advanced Micro Devices, Inc. Method for providing cooperative run-to-run control for multi-product and multi-process semiconductor fabrication
US6366934B1 (en) 1998-10-08 2002-04-02 International Business Machines Corporation Method and apparatus for querying structured documents using a database extender
US6226792B1 (en) 1998-10-14 2001-05-01 Unisys Corporation Object management system supporting the use of application domain knowledge mapped to technology domain knowledge
JP3019079B1 (ja) 1998-10-15 2000-03-13 日本電気株式会社 化学機械研磨装置
US6210983B1 (en) 1998-10-21 2001-04-03 Texas Instruments Incorporated Method for analyzing probe yield sensitivities to IC design
US6324481B1 (en) 1998-10-21 2001-11-27 Texas Instruments Incorporated Method for the calculation of wafer probe yield limits from in-line defect monitor data
TW434103B (en) 1998-10-23 2001-05-16 Taiwan Semiconductor Mfg Chemical mechanical polishing device with terminal point detection functions
US6280289B1 (en) 1998-11-02 2001-08-28 Applied Materials, Inc. Method and apparatus for detecting an end-point in chemical mechanical polishing of metal layers
US6173240B1 (en) 1998-11-02 2001-01-09 Ise Integrated Systems Engineering Ag Multidimensional uncertainty analysis
US6176992B1 (en) 1998-11-03 2001-01-23 Nutool, Inc. Method and apparatus for electro-chemical mechanical deposition
JP3487774B2 (ja) 1998-11-19 2004-01-19 沖電気工業株式会社 半導体装置製造工程の搬送方法
US6214734B1 (en) 1998-11-20 2001-04-10 Vlsi Technology, Inc. Method of using films having optimized optical properties for chemical mechanical polishing endpoint detection
CA2354581C (en) * 1998-12-07 2005-02-08 Abb Automation, Inc. Architecture layer interfacing devices and applications
JP2000183001A (ja) 1998-12-10 2000-06-30 Okamoto Machine Tool Works Ltd ウエハの研磨終点検出方法およびそれに用いる化学機械研磨装置
JP2000183002A (ja) 1998-12-10 2000-06-30 Okamoto Machine Tool Works Ltd ウエハの研磨終点検出方法および研磨終点検出装置
US6172756B1 (en) 1998-12-11 2001-01-09 Filmetrics, Inc. Rapid and accurate end point detection in a noisy environment
JP3202710B2 (ja) 1998-12-18 2001-08-27 エヌイーシービューテクノロジー株式会社 液晶プロジェクタ用ダイクロイックプリズム
JP2002533659A (ja) 1998-12-18 2002-10-08 マイクロ−エプシロン・メステヒニク・ゲーエムベーハー・ウント・コンパニー・カー・ゲー 渦電流センサの作動方法及び渦電流センサ
US6252412B1 (en) 1999-01-08 2001-06-26 Schlumberger Technologies, Inc. Method of detecting defects in patterned substrates
US6136163A (en) 1999-03-05 2000-10-24 Applied Materials, Inc. Apparatus for electro-chemical deposition with thermal anneal chamber
JP2000269286A (ja) 1999-03-16 2000-09-29 Toshiba Microelectronics Corp 半導体基板の欠陥位置特定方法
US6389491B1 (en) * 1999-03-23 2002-05-14 Agilent Technologies, Inc. Test instrumentation I/O communication interface and method
US6253366B1 (en) 1999-03-31 2001-06-26 Unisys Corp. Method and system for generating a compact document type definition for data interchange among software tools
US7020537B2 (en) 1999-04-13 2006-03-28 Semitool, Inc. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US6298470B1 (en) 1999-04-15 2001-10-02 Micron Technology, Inc. Method for efficient manufacturing of integrated circuits
US6334807B1 (en) 1999-04-30 2002-01-01 International Business Machines Corporation Chemical mechanical polishing in-situ end point system
AU5442800A (en) 1999-05-28 2000-12-18 University Of South Florida Computer vision-based technique for objective assessment of material properties in non-rigid objects
US6303395B1 (en) * 1999-06-01 2001-10-16 Applied Materials, Inc. Semiconductor processing techniques
US6360133B1 (en) 1999-06-17 2002-03-19 Advanced Micro Devices, Inc. Method and apparatus for automatic routing for reentrant process
US6204165B1 (en) 1999-06-24 2001-03-20 International Business Machines Corporation Practical air dielectric interconnections by post-processing standard CMOS wafers
JP2001143982A (ja) 1999-06-29 2001-05-25 Applied Materials Inc 半導体デバイス製造のための統合臨界寸法制御
US20030213772A9 (en) 1999-07-09 2003-11-20 Mok Yeuk-Fai Edwin Integrated semiconductor substrate bevel cleaning apparatus and method
EP1067757A1 (en) 1999-07-09 2001-01-10 Hewlett-Packard Company Curled surface imaging system
US6776692B1 (en) 1999-07-09 2004-08-17 Applied Materials Inc. Closed-loop control of wafer polishing in a chemical mechanical polishing system
US6763130B1 (en) 1999-07-21 2004-07-13 Applied Materials, Inc. Real time defect source identification
US7069101B1 (en) 1999-07-29 2006-06-27 Applied Materials, Inc. Computer integrated manufacturing techniques
US6405096B1 (en) 1999-08-10 2002-06-11 Advanced Micro Devices, Inc. Method and apparatus for run-to-run controlling of overlay registration
US6368883B1 (en) 1999-08-10 2002-04-09 Advanced Micro Devices, Inc. Method for identifying and controlling impact of ambient conditions on photolithography processes
US6287879B1 (en) 1999-08-11 2001-09-11 Micron Technology, Inc. Endpoint stabilization for polishing process
US6217412B1 (en) 1999-08-11 2001-04-17 Advanced Micro Devices, Inc. Method for characterizing polish pad lots to eliminate or reduce tool requalification after changing a polishing pad
US6276989B1 (en) 1999-08-11 2001-08-21 Advanced Micro Devices, Inc. Method and apparatus for controlling within-wafer uniformity in chemical mechanical polishing
US6368879B1 (en) 1999-09-22 2002-04-09 Advanced Micro Devices, Inc. Process control with control signal derived from metrology of a repetitive critical dimension feature of a test structure on the work piece
US6439964B1 (en) 1999-10-12 2002-08-27 Applied Materials, Inc. Method of controlling a polishing machine
US6159075A (en) 1999-10-13 2000-12-12 Vlsi Technology, Inc. Method and system for in-situ optimization for semiconductor wafers in a chemical mechanical polishing process
US6284622B1 (en) 1999-10-25 2001-09-04 Advanced Micro Devices, Inc. Method for filling trenches
US6248602B1 (en) 1999-11-01 2001-06-19 Amd, Inc. Method and apparatus for automated rework within run-to-run control semiconductor manufacturing
IL133326A0 (en) 1999-12-06 2001-04-30 Nova Measuring Instr Ltd Method and system for endpoint detection
US6340602B1 (en) 1999-12-10 2002-01-22 Sensys Instruments Method of measuring meso-scale structures on wafers
US6470230B1 (en) 2000-01-04 2002-10-22 Advanced Micro Devices, Inc. Supervisory method for determining optimal process targets based on product performance in microelectronic fabrication
US6405144B1 (en) 2000-01-18 2002-06-11 Advanced Micro Devices, Inc. Method and apparatus for programmed latency for improving wafer-to-wafer uniformity
US6567717B2 (en) 2000-01-19 2003-05-20 Advanced Micro Devices, Inc. Feed-forward control of TCI doping for improving mass-production-wise, statistical distribution of critical performance parameters in semiconductor devices
JP3506114B2 (ja) 2000-01-25 2004-03-15 株式会社ニコン モニタ装置及びこのモニタ装置を具えた研磨装置及び研磨方法
US6584369B2 (en) 2000-02-02 2003-06-24 Texas Instruments Incorporated Method and system for dispatching semiconductor lots to manufacturing equipment for fabrication
US7059948B2 (en) 2000-12-22 2006-06-13 Applied Materials Articles for polishing semiconductor substrates
JP3979791B2 (ja) 2000-03-08 2007-09-19 株式会社ルネサステクノロジ 半導体装置およびその製造方法
TW436383B (en) 2000-03-16 2001-05-28 Taiwan Semiconductor Mfg The end-point detection method of CMP polishing using the principle of optical confocal feedback
US6290572B1 (en) 2000-03-23 2001-09-18 Micron Technology, Inc. Devices and methods for in-situ control of mechanical or chemical-mechanical planarization of microelectronic-device substrate assemblies
WO2001075534A2 (en) 2000-04-03 2001-10-11 Speedfam-Ipec Corporation System and method for predicting software models using material-centric process instrumentation
US6368884B1 (en) 2000-04-13 2002-04-09 Advanced Micro Devices, Inc. Die-based in-fab process monitoring and analysis system for semiconductor processing
JP2001305108A (ja) 2000-04-21 2001-10-31 Daido Steel Co Ltd 渦流探傷装置
US6479902B1 (en) 2000-06-29 2002-11-12 Advanced Micro Devices, Inc. Semiconductor catalytic layer and atomic layer deposition thereof
US6435952B1 (en) 2000-06-30 2002-08-20 Lam Research Corporation Apparatus and method for qualifying a chemical mechanical planarization process
US7102763B2 (en) 2000-07-08 2006-09-05 Semitool, Inc. Methods and apparatus for processing microelectronic workpieces using metrology
US6379980B1 (en) 2000-07-26 2002-04-30 Advanced Micro Devices, Inc. Method and apparatus for monitoring material removal tool performance using endpoint time removal rate determination
TW455976B (en) 2000-08-11 2001-09-21 Taiwan Semiconductor Mfg Endpoint detection method of chemical mechanical polishing process
US6625513B1 (en) 2000-08-15 2003-09-23 Applied Materials, Inc. Run-to-run control over semiconductor processing tool based upon mirror image target
US6307628B1 (en) 2000-08-18 2001-10-23 Taiwan Semiconductor Manufacturing Company, Ltd Method and apparatus for CMP end point detection using confocal optics
US6593737B2 (en) 2000-08-24 2003-07-15 Shell Oil Company Method for measuring the wall thickness of an electrically conductive object
JP2002093761A (ja) 2000-09-19 2002-03-29 Sony Corp 研磨方法、研磨装置、メッキ方法およびメッキ装置
KR100366630B1 (ko) 2000-09-20 2003-01-09 삼성전자 주식회사 샘플 스킵 방식의 알고리즘을 이용한 웨이퍼의 연마 시간제어 방법 및 이를 이용한 웨이퍼의 연마 방법
JP2002124496A (ja) 2000-10-18 2002-04-26 Hitachi Ltd 研磨加工の終点検出計測方法及びその装置、並びにそれを用いた半導体デバイスの製造方法及びその製造装置
US6304999B1 (en) 2000-10-23 2001-10-16 Advanced Micro Devices, Inc. Method and apparatus for embedded process control framework in tool systems
US6517413B1 (en) 2000-10-25 2003-02-11 Taiwan Semiconductor Manufacturing Company Method for a copper CMP endpoint detection system
US6819963B2 (en) 2000-12-06 2004-11-16 Advanced Micro Devices, Inc. Run-to-run control method for proportional-integral-derivative (PID) controller tuning for rapid thermal processing (RTP)
US7092863B2 (en) 2000-12-26 2006-08-15 Insyst Ltd. Model predictive control (MPC) system using DOE based model
US6482660B2 (en) 2001-03-19 2002-11-19 International Business Machines Corporation Effective channel length control using ion implant feed forward
US6336841B1 (en) 2001-03-29 2002-01-08 Macronix International Co. Ltd. Method of CMP endpoint detection
US6549279B2 (en) 2001-04-09 2003-04-15 Speedfam-Ipec Corporation Method and apparatus for optical endpoint calibration in CMP
JP4858798B2 (ja) 2001-05-15 2012-01-18 株式会社ニコン 研磨装置、研磨方法およびこの研磨装置を用いた半導体デバイス製造方法
US6717189B2 (en) 2001-06-01 2004-04-06 Ebara Corporation Electroless plating liquid and semiconductor device
US7047099B2 (en) 2001-06-19 2006-05-16 Applied Materials Inc. Integrating tool, module, and fab level control
US6910947B2 (en) 2001-06-19 2005-06-28 Applied Materials, Inc. Control of chemical mechanical polishing pad conditioner directional velocity to improve pad life
US7082345B2 (en) 2001-06-19 2006-07-25 Applied Materials, Inc. Method, system and medium for process control for the matching of tools, chambers and/or other semiconductor-related entities
US7160739B2 (en) 2001-06-19 2007-01-09 Applied Materials, Inc. Feedback control of a chemical mechanical polishing device providing manipulation of removal rate profiles

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114327191A (zh) * 2021-12-29 2022-04-12 上海赛美特软件科技有限公司 一种spc系统的关键字段输入方法、装置及电子设备

Also Published As

Publication number Publication date
WO2002014965A3 (en) 2003-02-06
WO2002014965A2 (en) 2002-02-21
US6708074B1 (en) 2004-03-16

Similar Documents

Publication Publication Date Title
TW575820B (en) Generic interface builder
TW514771B (en) Computer integrated manufacturing techniques
US20050108680A1 (en) Architecture for business process integration
US5327559A (en) Remote and batch processing in an object oriented programming system
US7403984B2 (en) Automated tool management in a multi-protocol environment
Thöne et al. Process-oriented, flexible composition of web services with UML
TW401558B (en) Generic software state machine system and method of constructing dynamic objects for an application program
US20090193432A1 (en) Service-oriented architecture component processing model
US20050182497A1 (en) Manufacturing system, gateway device, and computer product
JP2003216228A (ja) プロセス制御システムにおけるトランザクションデータ通信
CA2255128A1 (en) Marshaling and unmarshaling framework for supporting filters in a distributed object system
TW501042B (en) Chemical product commerce network
EP3660665A1 (en) Business processing method, apparatus, device and system using the same, and readable storage medium of the same
CN107329762B (zh) 一种描述与集成软件服务的方法和系统
US7010609B1 (en) System and method for adding transport protocols in distributed middleware applications
US20050188351A1 (en) Device and method for automatically generating automation software
Sperling et al. Designing applications for an OSACA control
US7007280B1 (en) Schema driven management of a component-based application
TW594457B (en) Dispatching component for associating manufacturing facility service requestors with service providers
Grundy Engineering component-based, user-configurable collaborative editing systems
WO2003024054A2 (en) Inbound connector
US20060224802A1 (en) Control system
EP0518195A2 (en) Method and system for exchanging information between application programs
EP1246054A1 (en) Creating software programs from software components
TWI222576B (en) System and method for defining interface of manufacture execution system

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees