TW420839B - Multi-position load lock chamber - Google Patents

Multi-position load lock chamber Download PDF

Info

Publication number
TW420839B
TW420839B TW088111065A TW88111065A TW420839B TW 420839 B TW420839 B TW 420839B TW 088111065 A TW088111065 A TW 088111065A TW 88111065 A TW88111065 A TW 88111065A TW 420839 B TW420839 B TW 420839B
Authority
TW
Taiwan
Prior art keywords
wafer
scope
patent application
machine
processing
Prior art date
Application number
TW088111065A
Other languages
English (en)
Inventor
Ivo J Raaijmakers
Original Assignee
Asm Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asm Inc filed Critical Asm Inc
Application granted granted Critical
Publication of TW420839B publication Critical patent/TW420839B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/568Transferring the substrates through a series of coating stations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • C23C14/566Means for minimising impurities in the coating chamber such as dust, moisture, residual gases using a load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/136Associated with semiconductor wafer handling including wafer orienting means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/137Associated with semiconductor wafer handling including means for charging or discharging wafer cassette
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)

Description

搜濟部智慧財產局員工消費合作杜印S 42Π 8 39 α7 ___Β7 _ 五、發明說明(/ ) 發明之背景 本發明關於半導體晶圓處理之領域,特別關於用於半 導體晶圓處理之多重位置負載栓鎖室。 圖1係一件半導體製造裝置(外延反應器10)之等角視 圖。外延反應器10區分爲晶圓處理室16、負載栓鎖14及 15、處理室20 ,其與負載栓鎖14及15及晶圓處理室16 由隔離閘活門18所隔離。 作業、時,半導體晶圓卡匣(未示出)經由負載栓鎖正門 32及34被置於負載栓鎖14及15。晶圓卡匣裝入負載栓鎖 14及15後’負載栓鎖正門32及34關閉以將晶圓與四周大 氣隔離。負載栓鎖被淸除氧氣、溼氣及其他無用顆粒之淸 除氣體所淸除,該顆粒係在正門32及34接納晶圓卡匣時 進入負載栓鎖14及15之內。 完成淸除之後’負載栓鎖14及15以降低升降器(未 顯不)中之卡匣而對晶圓處理室開放,其亦破除空氣密封 <=晶圓於是自卡匣被具有伯努利(Bernoulli)桿端動作器36 之轉移臂29順序輸送至處理室20。 負載拴鎖14及15及晶圓處理室〖6淸除後,隔離活門 18開啓。轉移臂29用以將晶圓自負載拴鎖U及15轉移至 處理室2.0以備處理。轉移臂29,包括低吸取伯努利桿36 ,均在晶圓處理室16中。作業時,桿36自一負載栓鎖14 及15之卡匣(未顯示)中每次拾起一個半導體晶圓。每一 晶圓經由開啓之隔離閘活門18輸送至處理室20中之惑受 器38。 ---------- — ^-----------------線,、 (諳先閱讀背面之;1意事項再填寫本頁> 'M % k:n:->/.\ I ivjh rj ks χ -«i: i- ?, ' ' 經濟部智慧財產局員工消费合作社印於. 420839 A7 _B7_ a、發明說明(·> ) 晶圓處理完成後,隔離閘活門18開啓,伯努利桿36 拾起晶圓並將其送回同卡匣中之一間隙,晶圓即自該卡匣 所摘取。 雖然以上系統非常成功,但半導體製造商仍然希望增 加其裝備之輸出量。但工廠空間價格非常昂貴,故利用工 廠地板面積供處理裝備之用必須維持最小。此外,半導體 製造商了解在半導體晶圓上實施前置處理及後置處理作業 之優點,·而不致影響處理裝備之輸出量,或工廠地板上裝 備之覆蓋區。 本發明之槪述 製造半導體裝置之機器具有一處理室,以供處理半導 體晶圓。一轉移室有至少二位置。一位置可方便待處理晶 圓之轉移自轉移室至晶圓原始之位置。蓋二位霞方麗f及 至晶圓室晶圓之轉移。一轉移臂同時轉移一未處理之晶圓 自第一位置至第二位置,並將已處理之晶圓自第二位置轉 移至第一位置 在其他實施例中,其具有多個晶圓位置,其可使半導 體裝置之輸出量較習知技藝機器爲增加。在每一位置,在 處理之前可執行預備處理作業,如晶圓之淸潔、氣體處理 、測量及處理步驟。同理,在一晶圓處理之後的晶圓位置 ,不同之後置處理程序可予實施,如測量處理步驟之結果 ,可使晶圓在返回卡匣以前冷卻,甚至可實施額外之處理 步驟。 圖式之簡略說明 ------------ 裝.--------訂·--------線 、 {請先閱讀背面之注意事項再填寫本頁) 4 經濟部智慧財產局員工消f合作杜印 420839 A7 ______B7__ 五、發明說明(、) 本發明可經由參考以下圖式及配合伴隨之說明書可有 更加了解,其中: 圖ι(習知技藝)爲習知技藝晶圓處理系統之等角表示圖 , 圖2爲本發明之處理單元之方塊型表示圖: 圖3爲本發明負載栓鎖室之頂部平面方塊圖; 圖4爲晶圚位置板之頂視圖,說明晶圓銷之幾何位置 圖; .、 圖5爲取自V-V線段之晶圓處理器、升起指部及晶圓 位置之剖面圖; 圖6、8a、8b及9爲轉移模組之頂視圖,說明晶圓通 過轉移模組之不同站之旋轉; 圖7a-7d爲時序圖,說明晶圓通過閘活門之轉移; 圖10a-10c爲時序圖,說明在處理六個晶圓時旋轉負 載栓鎖50之操作; 圖11爲本發明使用之轉移室之另一實施例之頂視圖; 圖12爲圖11之轉移室自線段12-12觀看之剖面圖; 及 圖13爲本發明中使用之晶圓保持器之等角視圖。 實施例之詳細敘述 參閱各圖式,圖2中顯示依照本發明結構之處理單元 100之方塊圖。如圖2所示,處理單元100包括處理室20 、晶圓處理模組50、一卡匣至負載栓鎖轉移模組30及卡 匣放置模組丨2。卡匣放置模組12爲一處所,一作業員或 --- ------- 裝.-------訂·--------線. (請先閱讀背面之注音?事項再填寫本頁) ^ rCNS)Al (m 經濟部智慧財產局員工消費合作社印好. 420839 五、發明說明() 機械裝置放置卡匣65及66以備處理’及所有晶圓處理完 成後收回卡匣65及66之處。卡匣至負載栓鎖轉移模組30 包括機械臂26 ’其具有此技藝所熟知之末端動作器’該動 作器適於自卡匣65或66摘取一晶圓’並將摘取之晶圓放 置在負載栓鎖60中’及在處理之後自負載栓鎖60取回’ 及將其自最初摘取之處轉移至卡匣65或66內之原始槽。 機械臂26必須能在三種座標中移動,或者卡匣65及66必 須調節至適當之仰角及/或方位’俾使機械臂摘取及送回晶 圓至各別卡匣之位置。 處理室20係與晶圓處理模組50由一閘活門62隔離。 一機構70用來轉移晶圓通過閘活門62者爲一伯努利桿(如 美國專利號碼5080549及5324U5中所述之裝置’以參考 方式倂入此間)。機構70在晶圓處理模組50及處理室20 之間轉移晶圓,特別是轉移至在處理室20之感受器。 自圖2-5可看出’晶圓處理模組50包含一負載栓鎖60 ,其具有閘活門61,可由閘活門致動器予以開及閉。晶圓 處理器模組50有四個站包括:負載栓鎖站90、預處理站 92、桿站94及後處理站96。在預處理站92中之晶圓可利 用此技藝中已知之技術予以預先淸洗及預先測量°同理’ 在後處理站之晶圓可在處理後加以冷卻’及後測量諸如測 量外延生長層之厚度亦可實施’如處理室爲外延生長室’ 及可利用已知技術予以測量。 負載栓鎖60提供機械臂26至晶圓處理模組50之通路 ,俾晶圓可在如卡匣65及66之夾源與負載栓鎖站90之間 -------------裝·-------訂·--------線 < <請先閱讀背面之注意事項再填寫本頁) ^ (CNSiAi 420839 d7 A# B7 五、發明說明(f) 轉移。一晶圓處理器80,圖中顯不在四站間之休止位置86 ,晶圓處理器80由臂82及末端動作器84a、84b、84c及 84d以便載送晶圓。晶圓處理器80在晶圓站90、92、94及 96間旋轉,當方向在每一位置卯、92、94及96時’晶圓 處理器80之末端動作器84a-84d被選擇性位於負載栓鎖站 90、預處理站92、桿站94及後處理站96之中央。當在休 止時,晶圓處理器之臂82位於圖3顯示之各站之中央。負 載栓鎖站、90、預處理站92、桿站94及後處理站96包括一 基板21,其大約與待處理之晶圓尺寸相同,及三晶圓支撐 銷91、93及95,其支撐晶圓於相關各站。各銷之仰角及 方位在晶圓處理器80在低位置及反時針旋轉時,可方便臂 82之末端動作器84a-84d配置在位於銷上之晶圓之下。各 銷之幾何方位說明於圖4,該處銷95爲基本銷,銷93爲 自銷95反時針方向i05度,及銷91爲自銷95反時針方向 210 度。 雖然銷91、93及95具有相同仰角並固定在基板上, 在此技藝中所熟知,晶圓處理裝備中有可移動及可收回之 銷。 晶圓處理器80在致動器74之控制下旋轉,並由升起 致動器76升起及下降’該致動器均位於晶圓處理器模組 50之下面。負載栓鎖60安裝在負載栓鎖站9〇之上。一升 降器37將基板21在負載栓鎖60及晶圓處理器模組50之 間上升及下降。當基板21與線35齊平時,銷91 ' 93及95 之頂部位於閘活門61之內以接納自機械臂26之晶圓。泜 <請先閱讀背面之ii意事項再填寫本頁) --------訂 *------11 經濟部智慧財產局員工消f合作杜印焚 7 420839 A7 ___B7 五、發明說明() 位置爲負載栓鎖站90之基板21與高度線41齊平時。 基板21之邊緣39設計成與負載栓鎖室60當升降器 37在升高位置時’(即基板21與線35齊平時)形成空氣 密封。在升起位置時,閘活門61閉合,負載栓鎖室60可 能被一淸除氣體所淸除。負載栓鎖之淸除在此技藝中爲人 熟知。 以相似方式’閘活門62被置於桿站94之上。一升降 器23將晶圓自水平41移動至水平27,以便將晶圓置於由. 終端動作器機構70所收回。雖然終端動作器70可爲標準 閘門式終端動作器’最好使用伯努利桿結構。在所示之實 .施例中,升降器23及37及致動器74及76爲氣動裝置。 旋轉負載栓鎖之操作可由圖2-6、8a、8b、9及11-13 而有更佳了解,其中顯示裝置,及配合圖7及10,其中顯 示每一裝置之位置。圖6中晶圓處理器80在休止位置,晶 圓W丨在處理模組20中被處理,晶圓W2在位置92中等待 處理,及晶圓W3在負載栓鎖60中被淸除。升降器37升 高進入負載栓鎖60,升降器23升高進入閘活門62。當升 降器37在升高位置,閘活門61閉合,負載栓鎖60以淸除 氣體予以淸除,與晶圓W1之處理同時。 晶圓W1之處理完成後,終端動作器機構70將晶圓 W1自處理模組20轉移進入位於桿站94之基板21之銷91 、93及95,其被升高進入閘活門62 =升降器23於是被降 低至位置41。負載栓鎖60淸除之後,升降器37降低至位 置水平41 '上述作業之時序見圖7a-7d _ {請先閱讀背面之注意事項再填寫本I) 裝 *-------i 經濟部智慧財產局員工消费合作社印?卜 A7 420839 _B7_ 五、發明說明(j ) 特別參考圖2及5及圖7a之時序圖,其中說明晶圓自 卡匣轉移至負載栓鎖站90。在第一期間,機械臂26自卡 匣66或65摘取一晶圓,閘活門6i開啓。晶圓摘取後,機 械臂26在第二期間將晶圚置在負載栓鎖站90之上。機械 臂26移動後,閘活門61在第三期間閉合,之後,負載栓 鎖60被淸除。在第五期間,升降器37被降低與位置線41 對齊。 圖說明由機械臂26自負載栓鎖站90摘取處理後之 晶圓,並在第一期間由升降器37將晶圓升高至位置線35 。在此位置,負載栓鎖60可被淸除,其後在第三期間,閘 活門61開啓。機械臂26自銷摘取晶圓並在第五時期將其 置於卡匣65或66之一者。晶圓放置之同時,閘活門61閉 合。 圖7c說明桿站94作業之順序。在第一時期,終端動 作器機構36之位置可自桿站94摘取一晶圓。桿站升高進 入聞活門6 2 ^由置水平線51所不。終y而動作益機構3 6 將一晶圓自桿站94升起,其後桿站94在第四期間被降低 。在第五期間,終端動作器機構36及晶圓之位置可進入處 理室20。閘活門在第六期間開啓,晶圓被放在處理室20 內之感受器上,終端動作器機構36離開處理室20,之後 ,在第八期間閘活門62閉合。 圖7d說明自處理室20將處理後晶圓移開,在第一期 間閘活門62係開啓,及在第二期間,終端動作器機構36 摘取晶圓。晶圓與終端動作器機構在第^期間位於桿站94 (請先閒讀背面之注意事項再填寫本頁) - — — Ιιίιί I ] _____I < 經濟部智慧財產局貧工消費合作社印ί H t -¾ ί»! ·** ;'Ρ- <CN'S),\1 CJH) χ \>Χΰ λ- 'i 420839 Α7 五、發明說明(f) 之上。桿站94在第四期間被升高,及在第五期間,終端動 作器機構36將晶圓放在桿站94上並被移除,其後,閘活 門閉合,在第六期間,桿站94被降低至線41。 在圖8a中,晶圓處理器80己反時針旋轉45度,俾終 端動作器84a位於晶圓W3之下,終端動作器84b位於晶 圓W2之下,終端動作器84c位於處理後晶圓W1之下,及 終端動作器84d位於後處理站%。此時,升起致動器76將 晶圓處理器80上升至銷91,93及95之水平線之上,如圖5 之虛線51所示,並反時針旋轉90度如圖9所示。 在圖8b中,晶圓處理器80已由升起致動器76降低, 以將晶圓W3置於位在在預處理站92之銷91、93及95上 。晶圓處理器於是在休止位置旋轉,如圖3所示。當在預 處理站92時’可在晶圓W3上實施測量,及在晶圓W3上 實施預處理淸洗’或如圖11所示,可完成初步預處理步驟 。當晶圓W1在後處理站96時,晶圓W2休止在桿站94之 銷91、93及95上。晶圓W2等待被升起及置於預處理站 20內’如圖7c所討論者。在後處理站96,晶圓W1可被 冷卻。此外,在後處理站%,可作如外延層厚度之測量, 該測量在晶圓W1通過處理步驟時在晶圓W1頂上實施, 或如圖11所示,其他處理可在後處理站96完成。 圖9中,升降器23已將晶圓W2上升至閘活門62,該 處,終端動作器70將其摘取,並將W2置於處理室20中 。同理,升降器37已升至負載检鎖60,機械臂26自—f? 匣65及66摘取晶圓W4並置於負載栓鎖內: 10 ^ a ^ ^ iCNSvAi (m < ίί: ; (請先閱讀背面之注意事項再填寫本頁) 裝-------訂·--------線. 經濟邨智慧时產局I®工消f合作社印竹 420839
經濟部智慧財產局員工消费合作社印U B7 五、發明說明(7 ) 此程序加以重複並繼續,直到所有晶圓均已處理。 圖10a-10c爲處理六個晶圓之時序圖,應與圖2-6、8a 、8b、9及U-13共同使用。參考圖10a,在第一時期,一 晶圓W1自位於卡匣放置模組12之卡匣65或66摘取。機 械臂26將晶圓W1轉移至負載栓鎖站90。旋轉負載栓鎖 50在第二期間被標定或旋轉。 在第三時期,晶圓W1由於旋轉負載栓鎖50之標定, 而被轉移至預處理站92,一新的晶圓W2由機械臂26自卡 匣放置模組12轉移至負載栓鎖站90。在第四時期中,旋 轉負載栓鎖50再次被標定,晶圓W1至桿站94及晶圓W2 至預處理站92。晶圓W1其次在第五時期由終端動作器被 轉移至處理室。 當晶圓W1在第六時期被處理時,新的晶圓W3由機 械臂26轉移至負載栓鎖站90。處理之後,在第七期間, 晶圓W1被終端動作器自處理室20轉移至桿站94。 旋轉負載栓鎖現在係備妥在第八及第九期間被標定。 因此,晶圓W2由終端動作器自桿站94轉移至處理室20。 晶圓W1目前在後處理站96,在該處可實施不同後處理程 序,或晶圓被冷卻,晶圓W3位於預處理站92中。負載栓 鎖站90現在可自由接納其他晶圓,此係發生在第十期間, 當機械臂自卡匣放置模組12之卡匣摘取晶圓W4,並將其 載入負載栓鎖站90。 在第十一時期,晶圓W2被轉移至桿站94,且旋轉負 載栓鎖係備妥其在第十二期間所作之被標定。在第十二期 ------------ --------訂·-------I ' (請先閱讀背面之浼意事項再填寫本頁) A7
420839 經濟部智慧財產局員工消费合作杜印焚 五、發明說明(/ D ) 間,晶圓W3在桿站94中’並可在第十三期間中由終端動 作器36移轉至處理室20。在第十四期間,晶圓W1位於負 載栓鎖站90,並可由機械臂26摘取及放置在卡匣放置模 組12之卡匣中。負載栓鎖站90爲空的’故可準備在第十 五期間接納另一晶圓,在此情況下,機械臂26將晶圓W5 自卡匣放置模組12中—^厘轉移至負載栓鎖站90 °在第 十六期間,晶圓W3由伯努利桿36自處理室20轉移回到 桿站94 °·、 旋II負載栓鎖50現在係備妥如在第十七期間所作,再 被標定。在第十八期間’晶圓W4目前在桿站94 ’及可由 終端動作器36轉移至處理室20。同理’已被處理之晶圓 W2現在位於負載栓鎖站90中’並可由機械臂26在第十九 期間被摘取,並放置在卡匣放置模組丨2中之卡匣中。晶圓 W2之放置或在卡匣放置模組〖2中之卡匣內,可使負載栓 鎖站90自卡匣放置模組12自由接納新的晶圓W6,該晶圓 確由機械臂在第二十期間摘取並放置在負載栓瑣站90。 在第二十一期間,晶圓W4之處理已經完成,終端動 作器36摘取處理後晶圓W4及將其放置桿站94中。旋轉 負載栓鎖50在第二十二期間標定。 10c提供最後順序以完成六個半導體晶圓之處理循 環。在第二十三期間,晶圓W5由終端動作器36自桿站轉 移至處理室20中。在第二十四期間,正在負載栓鎖站90 中之晶II W3被機械臂26轉移至卡匣放置模組12中。晶 圓W5處理之後,在第二十五期間,晶圓自處理室2〇被終 ------------¾.-------訂.--------線 <锖先閒汶背面之注急事項再填寫本頁)
經濟部智慧財產局員工消費合作社印^G 420839 A7 _B7_ 五、發明說明((I) 端動作器36轉移至桿站94。 旋轉負載栓鎖50在第二十六期間被標定,並將晶圓 W4置於負載栓鎖站90,及晶圓W6置於桿站90,晶圓W5 則在後處理站96中。在第二十七期間,晶圓W6由終端動 作器36轉移至處理室20。在第二十八期間,晶圓W4由機 械臂26自負載栓鎖站90轉移至卡匣放置模組12。在第二 十九期間,晶圓W6之處理已經完成,終端動作器36即摘 取晶圓W.6並將其放置桿站94中。 旋轉負載栓鎖90在第十三期間及第三十一期間標定, 晶圓W5被帶至負載栓鎖站90,該處,其被機械臂26轉移 至卡匣放置模組12中之一·^匣。 旋轉負載栓鎖50於是在第三十二期間標定,並將晶圓 W6放置在負載栓鎖站90。此舉可方便機械臂26在卡匣放 置模組12中之一卡匣摘取及放置晶圓W6。因此,所有晶 圓均被自一卡匣摘取、淸除、預備處理、處理、後處理及 返回原始卡匣間隙中。 超過六個晶圓,在第十五至第十九期間步驟必須重複 。在第十五至第十九期間中之每一步驟之重複循環中,所 有晶圓數目增加一個。在圖11中,顯示晶圓轉移室50之 頂視圖,其具有三個站,即負載栓鎖站90、預處理站92 及桿站94。如圖12所示,負載栓鎖60位於負載栓瑣站90 之上,該站90有一與負載栓鎖站90有關之升降器升起機 構37。同理,預處理站92包括一閘活門62a。與閘活門 62a相關者爲升降器機構22a。此外,桿站94之位置可與 (請先閱讀背面之泫意事項再填寫本頁) 裝---- IT---------線 經濟部智慧財產局_工消f合作社印3 厶 20839 a? _B7______—--
五、發明說明(/ U 升降器23相關之閘活門62成介面。 如圖11及丨2所示,晶圓處理器模組50上之不同位置 可方便在不同站之晶圓轉移期間所執行之多重處理’而非 在後處理站之預處理。可有一負載栓鎖60位於站92之上( 或如圖3所敘述之後處理站96)。此爲在負載栓鎖站90之 額外位置。如以上討論者,當在負載栓鎖站90之晶圓化於 負載栓鎖60內時,晶圓將受到氣體淸除。此外’晶圓在該 位置時,、可受到蝕刻步驟或其他氣體處理。因此’以預處 理站92或後處理站96以找出處理站或步驟之能力’可提 供本發明之實施者額外之多重能力。 僅管本發明提供晶圓處理器80之升高及降低’銷91 、93及95亦可升高及降低。因此’晶圓處理器80僅須在 反時針及順時針方向旋轉。 最後,爲在旋轉負載栓鎖50之標定期間保護晶圓’晶 圓位於晶圓保持器21a之上。晶圓保持器由銷91、93及95 支撐。晶圓處理器80位於晶圓保持器2U之下’且晶圓動 作器84將二者升高以將其標定至次一站。在所有其他方面 ,旋轉負載栓鎖50之操作與以前敘述之方式相同,除了晶 圓並非在位置90、92、94及96外,現在位於此等位置者 爲晶圓保持器及晶圓。晶圓保持器旋轉在旋轉負載栓鎖中 之晶圓,但並不轉移至處理室或卡匣。 圖13爲晶圓保持器21a之等角視圖。其爲輕重量金屬 製成,並形成三臂43、45及47而非一固體板,俾較前一 實施例敘述之板21爲輕。額外之重量減輕由開口 48提供 ------------ 裝----------訂·---------. {請先閱讀背面之^意事項再填寫本3 (210 x :i'j: i; 420839 A7 _^_B7 五、發明說明([、) ,開口 48係用以支撐晶圓。在每一臂之末端爲一石英晶圓 支座44。此石英晶圓支座有一形成之凹隙以配合晶圓之外 圓周,俾僅晶圓之背側及一外緣係與晶圓支座44接觸。 —----- I I ------- I------訂------I I ί I (請先間讀背面之4音?事項再填寫本頁) 經濟部智慧財產局員工消費合作社印於 (CNS;A1 VJ.U)<:>M7 ί|ί

Claims (1)

  1. iD8 420839 六、申請專利範圍 1. 一種用以製造半導體晶圓之機器,包含: 一第一閘; 一第一處理室; 一待處理晶圓之源; 一轉移室,具有第一及第二位置,第一閘之位置可自 該源接收第一晶圓,且轉移室係裝備以方便將第一晶圓放 置在第一位置,轉移室連接至處理室以方便自處理室之第 二晶圓置.於第二位置;及 一轉移裝置,其安排可在其自第二位置移動第二晶圓 時,同時自第一位置移動第一晶圓。 2. 如申請專利範圍第丨項之機器,其中之轉移裝置尙 含一可旋轉臂,其具有第一及第二位置,及第一終端動作 器位在該臂之第一位置且第二終端動作器位在該臂之第二 位置。 3. 如申請專利範圍第2項之機器,其中之旋轉臂包括 一升降機構,供將旋轉臂自第一水平升或降至第二水平。 4. 如申請專利範圍第1項之機器,其中之第一位置包 括一機構供將第一晶圓自第一位置轉移至轉移裝置,且其 中之第二位置尙包括一機構供轉移第二晶圓自第二位置至 轉移裝置。 5. 如申請專利範圍第丨項之機器,其中之轉移裝置爲 標定轉移裝置。 6. 如申請專利範圍第丨項之機器,其中之第一閘尙包 括一淸除室,用以在將第一晶圓置於第一位置之前將第一 ------------ -------訂·-------I (請先閲讀背面之注意事項再填寫本頁) 經濟部智慧財產局s'工消费合作钍.s. W 3 8 ο 2 80088 ARCD 經濟部智慧財產局員工消費人。泎钍^丨乂 六、申請專利範圍 晶圓淸除* 7. 如申請專利範圍第6項之機器,其中淸除室包括: 一第一室,具有一閘活門以面對晶圓源; 閘活門包括一晶圓支座,其大小可支撐半導體晶圓; 及 一定位機構,具有第一及第二位置,定位機構安裝在 晶圓支座上,在定位機構之第一位置時以接納第一晶圓, 及在定位機構之第二位置時將晶圓定位在轉移室之第一位 置。 8. 如申請專利範圍第7項之機器,其中之定位機構尙 包括一密封機構,用以在定位機構在第一位置時,在淸除 室及轉移室間建立密封。 9. 如申請專利範圍第1項之機器,尙含第二轉移機構 ,供轉移第二晶圓於第二位置與第一處理室之間。 10. 如申請專利範圍第1項之機器,其中之轉移室包括 第三位置,其位置可自第一位置接納第一晶圓,及方便將 第三晶圓轉移至第二位置:及 轉移裝置之安排可在其自第三位置移動第三晶圓及自 第二位置移動第二晶圓之同時,自第一位置移動第一晶圓 〇 U.如申請專利範圍第10項之機器,其中轉移裝置尙 含:一旋轉致動器,其具有第一、第二及第三位置,且在 該第一位置係有第一終端動作器,在第二位置係有第二終 端動作器及在第二位置係有第三終端動作器: ------------ ,衣--------訂----------線 (請先閱讀背面之注急事項再填写本頁)
    六、申請專利範圍 420833 12. 如申請專利範圍第η項之機器,其中之旋轉致動 器包括一升降機構,用以自第一水平升高或降低可旋轉致 動器至第二水平。 13. 如申請專利範圍第丨1項之機器,其中之第三位置 包括一機構供將第三晶圓自第三位置轉移至轉移裝置。 14. 如申請專利範圍第11項之機器,其中之轉移裝置 爲標定轉移裝置。 15. 如申請專利範圍第丨1項之機器,其中之第三位置 包括第二處理站。 16. 如申請專利範圍第丨5項之機器,其中第二處理站 爲預處理站。 17. 如申請專利範圍第16項之機器,其中之預處理站 爲晶圓預淸淨站。 18. 如申請專利範圍第Π項之機器,其中之預處理站 爲晶圓預測量站。 19. 如申請專利範圍第15項之機器,其中第二處理站 爲後處理站° 20. 如申請專利範圍第19項之機器,其中後處理站爲 晶圓測量站。 21. 如申請專利範圍第20項之機器’其中後處理站包 括測量機構’供測量一位於後處理站之晶圓之層厚度。 22. 如申請專利範圍第丨9項之機器,其中後處理站爲 冷卻站。 23·如申請專利範圍第15項之機器,其中第二處理站 (請先閱璜背面之;tt.事項再填寫本頁> 裝·-------訂·--------. 經濟部智慧財產局員工消费合作社印奴 420839 經濟部智慧財產局員工消饽合作社- 六、申請專利範圍 包括: 一第二板,其大小可保持半導體晶圓;及 一定位機構,安裝在該板上以便在第一位置時將第三 晶圓定位以供處理,及在第二位置時將晶圓定位在第三位 置。 24. 如申請專利範圍第1項之機器,尙含: 第二活門機構,以將轉移室連接至處理室,及包括一 晶圓支座、,其大小可支持一半導體晶圓;及 一定位機構,具有第一及第二位置,定位機構安裝在 晶圓支座上以在第一位置時方便轉移第二晶圓至處理室, 及在第二位置時將第二晶圓定位於第二位置。 25. —種用以製造半導體裝置之方法,藉用具有一處理 室及一轉移室之機器,該轉移室具有第一及第二位置,其 第一閘之位置可接納自晶圓源之第一晶圓,此方法含下列 步驟: 自第一位置轉移第一晶圓;及 在自第一位置轉移第一晶圓之同時,自第二位置轉移 第二晶圓。 26. 如申請專利範圍第25項之方法,其中之第一閘包 括一真空室,該方法尙含自一晶圓源轉移第一晶圓至真空 室,及在真空室時淸除晶圓之步驟。 27. 如申請專利範圍第25項之方法,尙含在自第一位 置轉移第一晶圓之前,自晶圓源轉移第一晶圓至第一位置 之步驟。 (請先閱讀背面之注意事項再填寫本頁) -*一6 丁 % 4 AS B8 C8 D8 420839 六、申請專利範圍 28. 如申請專利範圍第25項之方法,尙含在自第二位 置轉移第二晶圓之前,處理第二晶圓之步驟。 29. 如申請專利範圍第28項之方法,尙包括自第二位 置轉移第二晶圓及在第一位置轉移第一晶圓之同時,自第 三位置轉移第三晶圓之步驟。 30·如申請專利範圍第29項之方法,尙含在自第三位 置轉移第三晶圓之前,進行預處理第三晶圓之步驟。 31. 如申請專利範圍第29項之方法,尙含在自地三位 置轉移第三晶圓之前,進行後處理第三晶圓之步驟 32. 如申請專利範圍第29項之方法,尙含以下步驟: 在轉移第一、第二及第三晶圓之同時,自第四位置轉 移第四晶圓。 33. 如申請專利範圍第31項之方法,尙含在自第四位 置轉移第四晶圓之前,後處理第四晶圓之步驟。 34. 如申請專利範圍第31項之方法,尙含在自第四位 置轉移第四晶圓之前,進行預處理第四晶圓之步驟。 <請先閱讚背面之;i意事項再填寫本頁} 裝.I-----—訂 經濟部智慧財產局員工消费合作社印袈 fCNS/ΛΙ << 297 j
TW088111065A 1998-07-10 1999-06-30 Multi-position load lock chamber TW420839B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/113,767 US6162299A (en) 1998-07-10 1998-07-10 Multi-position load lock chamber

Publications (1)

Publication Number Publication Date
TW420839B true TW420839B (en) 2001-02-01

Family

ID=22351390

Family Applications (1)

Application Number Title Priority Date Filing Date
TW088111065A TW420839B (en) 1998-07-10 1999-06-30 Multi-position load lock chamber

Country Status (6)

Country Link
US (3) US6162299A (zh)
EP (1) EP1097252A4 (zh)
JP (1) JP2002520833A (zh)
KR (1) KR20010071818A (zh)
TW (1) TW420839B (zh)
WO (1) WO2000003057A1 (zh)

Families Citing this family (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6447232B1 (en) * 1994-04-28 2002-09-10 Semitool, Inc. Semiconductor wafer processing apparatus having improved wafer input/output handling system
US6152070A (en) * 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
US5961269A (en) 1996-11-18 1999-10-05 Applied Materials, Inc. Three chamber load lock apparatus
US6468353B1 (en) * 1997-06-04 2002-10-22 Applied Materials, Inc. Method and apparatus for improved substrate handling
US6318957B1 (en) * 1998-07-10 2001-11-20 Asm America, Inc. Method for handling of wafers with minimal contact
US6162299A (en) * 1998-07-10 2000-12-19 Asm America, Inc. Multi-position load lock chamber
JP2000174091A (ja) * 1998-12-01 2000-06-23 Fujitsu Ltd 搬送装置及び製造装置
US6949143B1 (en) * 1999-12-15 2005-09-27 Applied Materials, Inc. Dual substrate loadlock process equipment
US20010048867A1 (en) * 2000-03-29 2001-12-06 Lebar Technology, Inc. Method and apparatus for processing semiconductor wafers
DE10061628B4 (de) * 2000-12-11 2006-06-08 Leica Microsystems Wetzlar Gmbh Vorrichtung und Verfahren zum Ergreifen und Transportieren von Wafern
US6609869B2 (en) * 2001-01-04 2003-08-26 Asm America Transfer chamber with integral loadlock and staging station
US20040096300A1 (en) * 2001-06-30 2004-05-20 Ilya Perlov Loadlock chamber
KR100782529B1 (ko) * 2001-11-08 2007-12-06 에이에스엠지니텍코리아 주식회사 증착 장치
US6899507B2 (en) * 2002-02-08 2005-05-31 Asm Japan K.K. Semiconductor processing apparatus comprising chamber partitioned into reaction and transfer sections
US6852644B2 (en) * 2002-11-25 2005-02-08 The Boc Group, Inc. Atmospheric robot handling equipment
US7214027B2 (en) * 2003-10-16 2007-05-08 Varian Semiconductor Equipment Associates, Inc. Wafer handler method and system
US20050205210A1 (en) * 2004-01-06 2005-09-22 Devine Daniel J Advanced multi-pressure workpiece processing
US20060251499A1 (en) * 2005-05-09 2006-11-09 Lunday Andrew P Linear substrate delivery system with intermediate carousel
US7534080B2 (en) * 2005-08-26 2009-05-19 Ascentool, Inc. Vacuum processing and transfer system
US20070218702A1 (en) * 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
KR20080027009A (ko) * 2006-09-22 2008-03-26 에이에스엠지니텍코리아 주식회사 원자층 증착 장치 및 그를 이용한 다층막 증착 방법
US20080241384A1 (en) * 2007-04-02 2008-10-02 Asm Genitech Korea Ltd. Lateral flow deposition apparatus and method of depositing film by using the apparatus
US8282735B2 (en) 2007-11-27 2012-10-09 Asm Genitech Korea Ltd. Atomic layer deposition apparatus
US8273178B2 (en) 2008-02-28 2012-09-25 Asm Genitech Korea Ltd. Thin film deposition apparatus and method of maintaining the same
US8440048B2 (en) 2009-01-28 2013-05-14 Asm America, Inc. Load lock having secondary isolation chamber
JP4766156B2 (ja) * 2009-06-11 2011-09-07 日新イオン機器株式会社 イオン注入装置
CH714090B1 (de) * 2016-07-13 2022-07-15 Universal Instruments Corp Modulares Die-Handhabungssystem.
JP6851288B2 (ja) * 2017-08-23 2021-03-31 東京エレクトロン株式会社 基板処理装置及び基板搬送方法
US11072502B2 (en) * 2018-05-03 2021-07-27 Applied Materials, Inc. Substrate tilt control in high speed rotary sorter
US10998209B2 (en) 2019-05-31 2021-05-04 Applied Materials, Inc. Substrate processing platforms including multiple processing chambers
US11817331B2 (en) 2020-07-27 2023-11-14 Applied Materials, Inc. Substrate holder replacement with protective disk during pasting process
US11749542B2 (en) 2020-07-27 2023-09-05 Applied Materials, Inc. Apparatus, system, and method for non-contact temperature monitoring of substrate supports
US11600507B2 (en) 2020-09-09 2023-03-07 Applied Materials, Inc. Pedestal assembly for a substrate processing chamber
US11610799B2 (en) 2020-09-18 2023-03-21 Applied Materials, Inc. Electrostatic chuck having a heating and chucking capabilities
US11674227B2 (en) 2021-02-03 2023-06-13 Applied Materials, Inc. Symmetric pump down mini-volume with laminar flow cavity gas injection for high and low pressure
US12002668B2 (en) 2021-06-25 2024-06-04 Applied Materials, Inc. Thermal management hardware for uniform temperature control for enhanced bake-out for cluster tool

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3968885A (en) * 1973-06-29 1976-07-13 International Business Machines Corporation Method and apparatus for handling workpieces
JPS58180227A (ja) * 1982-04-17 1983-10-21 Samuko Internatl Kenkyusho:Kk 複数の反応室を備えた能率的プラズマ処理装置
US4588343A (en) * 1984-05-18 1986-05-13 Varian Associates, Inc. Workpiece lifting and holding apparatus
US5000652A (en) * 1986-09-22 1991-03-19 International Business Machines Corporation Wafer transfer apparatus
US5156521A (en) * 1987-10-15 1992-10-20 Epsilon Technology, Inc. Method for loading a substrate into a GVD apparatus
JP3466607B2 (ja) * 1989-09-13 2003-11-17 ソニー株式会社 スパッタリング装置
US5447409A (en) * 1989-10-20 1995-09-05 Applied Materials, Inc. Robot assembly
US5135349A (en) * 1990-05-17 1992-08-04 Cybeq Systems, Inc. Robotic handling system
US5297910A (en) * 1991-02-15 1994-03-29 Tokyo Electron Limited Transportation-transfer device for an object of treatment
JPH0812847B2 (ja) * 1991-04-22 1996-02-07 株式会社半導体プロセス研究所 半導体製造装置及び半導体装置の製造方法
EP0591706B1 (de) * 1992-10-06 2002-04-24 Unaxis Balzers Aktiengesellschaft Kammer für den Transport von Werkstücken
KR0135840B1 (ko) * 1994-07-26 1998-04-29 김광호 개구부 매몰(filling)장치와 이를 이용한 반도체소자 제조방법
JP3354761B2 (ja) * 1995-08-30 2002-12-09 オリジン電気株式会社 ディスク用被膜形成装置
US5667592A (en) * 1996-04-16 1997-09-16 Gasonics International Process chamber sleeve with ring seals for isolating individual process modules in a common cluster
US5855465A (en) * 1996-04-16 1999-01-05 Gasonics International Semiconductor wafer processing carousel
US5863170A (en) * 1996-04-16 1999-01-26 Gasonics International Modular process system
US5754294A (en) * 1996-05-03 1998-05-19 Virginia Semiconductor, Inc. Optical micrometer for measuring thickness of transparent wafers
DE19715245C2 (de) * 1997-04-12 1999-09-02 Leybold Systems Gmbh Vakuumbehandlungsvorrichtung zum Aufbringen dünner Schichten
US5951770A (en) * 1997-06-04 1999-09-14 Applied Materials, Inc. Carousel wafer transfer system
US6162299A (en) * 1998-07-10 2000-12-19 Asm America, Inc. Multi-position load lock chamber
US6318957B1 (en) * 1998-07-10 2001-11-20 Asm America, Inc. Method for handling of wafers with minimal contact
US6158951A (en) * 1998-07-10 2000-12-12 Asm America, Inc. Wafer carrier and method for handling of wafers with minimal contact

Also Published As

Publication number Publication date
EP1097252A1 (en) 2001-05-09
US6368051B2 (en) 2002-04-09
US20020072241A1 (en) 2002-06-13
JP2002520833A (ja) 2002-07-09
KR20010071818A (ko) 2001-07-31
US20010026749A1 (en) 2001-10-04
WO2000003057A1 (en) 2000-01-20
US6162299A (en) 2000-12-19
EP1097252A4 (en) 2004-06-16

Similar Documents

Publication Publication Date Title
TW420839B (en) Multi-position load lock chamber
US20200388523A1 (en) Wafer aligner
US5613821A (en) Cluster tool batchloader of substrate carrier
US5607276A (en) Batchloader for substrate carrier on load lock
KR102417929B1 (ko) 기판 처리 장치
JP5323718B2 (ja) 高生産性ウエハ連続処理末端装置
JP5212165B2 (ja) 基板処理装置
US5664925A (en) Batchloader for load lock
US7949425B2 (en) High throughput wafer notch aligner
US5609459A (en) Door drive mechanisms for substrate carrier and load lock
US9728436B2 (en) Transfer mechanism with multiple wafer handling capability
US20040012363A1 (en) Systems and methods incorporating an end effector with a rotatable and/or pivotable body and/or an optical sensor having a light path that extends along a length of the end effector
JPH04229633A (ja) 真空ウェハ搬送処理装置及び方法
KR20010023014A (ko) 다중스테이션 장비용 웨이퍼 핸들러
JP2002520833A5 (zh)
EP1735822A2 (en) Work-piece processing system
US6364595B1 (en) Reticle transfer system
CN1795533A (zh) 具有载荷锁定装置和缓冲器的工件处理系统
JP2013102235A (ja) 基板処理装置
US20200019075A1 (en) Photomask purging system and method
US6811370B2 (en) Wafer handling robot having X-Y stage for wafer handling and positioning
US20030082031A1 (en) Wafer handling device and method for testing wafers
JPH07201951A (ja) 処理装置及びその使用方法
CN113206023A (zh) 搬运管芯载具的装置、系统及方法
KR970001884B1 (ko) 반도체 웨이퍼 카세트

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees