TW202401695A - 半導體封裝及方法 - Google Patents

半導體封裝及方法 Download PDF

Info

Publication number
TW202401695A
TW202401695A TW112100981A TW112100981A TW202401695A TW 202401695 A TW202401695 A TW 202401695A TW 112100981 A TW112100981 A TW 112100981A TW 112100981 A TW112100981 A TW 112100981A TW 202401695 A TW202401695 A TW 202401695A
Authority
TW
Taiwan
Prior art keywords
pattern
dielectric layer
semiconductor package
metal
pad
Prior art date
Application number
TW112100981A
Other languages
English (en)
Other versions
TWI841187B (zh
Inventor
吳邦立
江宗憲
黃子松
黃朝先
張家綸
林修任
曾明鴻
蔡豪益
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202401695A publication Critical patent/TW202401695A/zh
Application granted granted Critical
Publication of TWI841187B publication Critical patent/TWI841187B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/34Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements
    • H01L23/36Selection of materials, or shaping, to facilitate cooling or heating, e.g. heatsinks
    • H01L23/367Cooling facilitated by shape of device
    • H01L23/3677Wire-like or pin-like cooling fins or heat sinks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5385Assembly of a plurality of insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/4857Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/486Via connections through the substrate with or without pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L21/6836Wafer tapes, e.g. grinding or dicing support tapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/34Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements
    • H01L23/36Selection of materials, or shaping, to facilitate cooling or heating, e.g. heatsinks
    • H01L23/373Cooling facilitated by selection of materials for the device or materials for thermal expansion adaptation, e.g. carbon
    • H01L23/3735Laminates or multilayers, e.g. direct bond copper ceramic substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49822Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49833Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers the chip support structure consisting of a plurality of insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49838Geometry or layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/19Manufacturing methods of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/20Structure, shape, material or disposition of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0655Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/16Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different main groups of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. forming hybrid circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/561Batch processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/568Temporary substrate used as encapsulation process aid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68327Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used during dicing or grinding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68345Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during the manufacture of self supporting substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68359Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during manufacture of interconnect decals or build up layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68381Details of chemical or physical process used for separating the auxiliary support from a device or wafer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16235Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a via metallisation of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73253Bump and layer connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3121Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation
    • H01L23/3128Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation the substrate having spherical bumps for external connection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5389Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates the chips being integrally enclosed by the interconnect and support structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L24/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/73Means for bonding being of different types provided for in two or more of groups H01L24/10, H01L24/18, H01L24/26, H01L24/34, H01L24/42, H01L24/50, H01L24/63, H01L24/71
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/35Mechanical effects
    • H01L2924/351Thermal stress
    • H01L2924/3511Warping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/35Mechanical effects
    • H01L2924/351Thermal stress
    • H01L2924/3512Cracking
    • H01L2924/35121Peeling or delaminating

Abstract

提供一種包括一或多個散熱系統的半導體封裝以及一種形成方法。所述半導體封裝可包括:一或多個積體電路晶粒;包封體,環繞所述一或多個積體電路晶粒;重佈線結構,位於所述一或多個積體電路晶粒以及包封體之上。重佈線結構可包括一或多個散熱系統,所述一或多個散熱系統與重佈線結構的其餘部分電性隔離。每一散熱系統可包括第一金屬接墊、第二金屬接墊以及將第一金屬接墊連接至第二金屬接墊的一或多個金屬通孔。

Description

半導體封裝及方法
由於各種電子組件(例如,電晶體、二極體、電阻器、電容器等)的積體密度不斷地提高,半導體行業已經歷快速發展。在很大程度上,積體密度的提高源於最小特徵尺寸(minimum feature size)的反覆減小,此使得能夠將更多的組件整合至給定的面積中。隨著對日益縮小的電子裝置的需求的增長,對於更小且更具創造性的半導體晶粒封裝技術浮現需求。此種封裝系統的一個實例是疊層封裝(Package-on-Package,PoP)技術。在PoP裝置中,頂部半導體封裝堆疊於底部半導體封裝頂上,以提供高積體水準及組件密度。PoP技術一般能夠在印刷電路板(printed circuit board,PCB)上生產具有增強的功能性及小的覆蓋區(footprint)的半導體裝置。
以下揭露內容提供用於實施本發明的不同特徵的諸多不同實施例或實例。以下闡述組件及排列的具體實例以簡化本揭露。當然,該些僅為實例且不旨在進行限制。舉例而言,以下說明中將第一特徵形成於第二特徵之上或第二特徵上可包括其中第一特徵與第二特徵被形成為直接接觸的實施例,且亦可包括其中第一特徵與第二特徵之間可形成有附加特徵進而使得第一特徵與所述第二特徵可不直接接觸的實施例。另外,本揭露可能在各種實例中重複使用參考編號及/或字母。此種重複使用是出於簡潔及清晰的目的,而不是自身表示所論述的各種實施例及/或配置之間的關係。
此外,為易於說明,本文中可能使用例如「位於……之下(beneath)」、「位於……下方(below)」、「下部的(lower)」、「位於……上方(above)」、「上部的(upper)」及類似用語等空間相對性用語來闡述圖中所示的一個元件或特徵與另一(其他)元件或特徵的關係。除圖中所繪示的取向外,所述空間相對性用語還旨在囊括裝置在使用或操作中的不同取向。設備可具有其他取向(旋轉90度或處於其他取向),且本文中所使用的空間相對性描述語可同樣相應地進行解釋。
根據一些實施例,一種半導體封裝包括:前側重佈線結構;背側重佈線結構;積體電路晶粒,設置於前側重佈線結構與背側重佈線結構之間;以及穿孔,設置於積體電路晶粒旁邊並對前側重佈線結構與背側重佈線結構進行連接。背側重佈線結構上設置有背側增強層(backside enhancement layer)。舉例而言,所述半導體封裝可具有積體扇出型底部(Integrated Fan-Out Bottom,InFO_B)結構。InFO_B結構不同於傳統的積體扇出型疊層封裝(Integrated Fan-Out Package-on-Package,InFO_PoP)結構,此乃因InFO_B結構的頂上未安裝有封裝,且使用者可在具有InFO_B結構的封裝上安裝任何適合的裝置,此會在具有InFO_B結構的封裝的應用中為使用者提供更多的靈活性(flexibility)。
除了背側重佈線結構中的傳統接觸接墊(例如電源接墊、接地接墊及訊號接墊)以外,具有InFO_B結構的封裝亦可具有一定數目的虛設接墊,以根據使用者的需要向可安裝於具有InFO_B結構的封裝上的各種裝置提供必要的機械支撐。由於虛設接墊與背側重佈線結構的其餘部分電性隔離,因此在顯露出虛設接墊的雷射鑽孔製程期間的熱量累積可能導致背側增強層的分層(delamination)。背側重佈線結構中的金屬化圖案的部分可用於形成具有虛設接墊的金屬特徵,此可有助於在雷射鑽孔製程期間進行散熱。虛設接墊上較少的熱量累積可有助於降低背側增強層分層的可能性,藉此改善所述半導體封裝的長期可靠性。虛設接墊上較少的熱量累積亦可有助於減少接觸接墊的氧化,此可改善在導電連接件的形成期間接觸接墊上的導電材料的潤濕,藉此改善所形成的導電連接件的品質。
本文中所論述的實施例旨在提供能夠製作及使用本揭露的標的物的實例,且此項技術中具有通常知識者將易於理解,可在保持處於不同實施例的設想範圍內的同時作出修改。在所有各種視圖及例示性實施例通篇中,相同的參考編號用於表示相同的特徵。儘管方法實施例可被論述為以特定次序來實行,然而其他方法實施例可以任何邏輯次序來實行。
圖1圖示根據一些實施例的積體電路晶粒50的剖視圖。積體電路晶粒50將在隨後的處理中被封裝以形成積體電路封裝。積體電路晶粒50可為邏輯晶粒(例如,中央處理單元(central processing unit,CPU)、圖形處理單元(graphics processing unit,GPU)、系統晶片(system-on-a-chip,SoC)、應用處理器(application processor,AP)、微控制器等)、記憶體晶粒(例如,動態隨機存取記憶體(dynamic random access memory,DRAM)晶粒、靜態隨機存取記憶體(static random access memory,SRAM)晶粒等)、電源管理晶粒(例如,電源管理積體電路(power management integrated circuit,PMIC)晶粒)、射頻(radio frequency,RF)晶粒、感測器晶粒、微機電系統(micro-electro-mechanical-system,MEMS)晶粒、訊號處理晶粒(例如,數位訊號處理(digital signal processing,DSP)晶粒)、前端晶粒(例如,類比前端(analog front-end,AFE)晶粒)、類似晶粒或其組合。
積體電路晶粒50可形成於晶圓中,所述晶圓可包括在隨後的步驟中被單體化以形成多個積體電路晶粒的不同裝置區。積體電路晶粒50可根據適用的製造製程進行處理以形成積體電路。舉例而言,積體電路晶粒50包括半導體基底52(例如經摻雜或未經摻雜的矽)或者絕緣體上半導體(semiconductor-on-insulator,SOI)基底的主動層。半導體基底52可包含:其他半導體材料,例如鍺;化合物半導體,包括碳化矽、鎵砷、磷化鎵、磷化銦、砷化銦及/或銻化銦;合金半導體,包括SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP及/或GaInAsP;或者其組合。亦可使用例如多層式基底(multi-layered substrate)或梯度基底(gradient substrate)等其他基底。半導體基底52具有主動表面(例如,圖1中面朝上的表面)及非主動表面(例如,圖1中面朝下的表面),主動表面有時稱為前側,而非主動表面有時稱為背側。
半導體基底52的前表面處可形成有裝置(以電晶體為代表)54。裝置54可為主動裝置(例如,電晶體、二極體等)、電容器、電阻器等。半導體基底52的前表面之上有層間介電質(inter-layer dielectric,ILD)56。ILD 56環繞裝置54且可覆蓋裝置54。ILD 56可包括由例如磷矽酸鹽玻璃(Phospho-Silicate Glass,PSG)、硼矽酸鹽玻璃(Boro-Silicate Glass,BSG)、摻雜硼的磷矽酸鹽玻璃(Boron-Doped Phospho-Silicate Glass,BPSG)、未經摻雜的矽酸鹽玻璃(undoped Silicate Glass,USG)或類似物等材料形成的一或多個介電層。
導電插塞58延伸穿過ILD 56,以電性耦接及實體耦接裝置54。舉例而言,當裝置54是電晶體時,導電插塞58可耦接電晶體的閘極及源極/汲極區。導電插塞58可由鎢、鈷、鎳、銅、銀、金、鋁、類似材料或其組合形成。ILD 56及導電插塞58之上有內連線結構60。內連線結構60對裝置54進行內連以形成積體電路。內連線結構60可由例如ILD 56上的介電層中的金屬化圖案形成。金屬化圖案包括形成於一或多個低介電常數(low-k)介電層中的金屬線及通孔。內連線結構60的金屬化圖案藉由導電插塞58電性耦接至裝置54。
積體電路晶粒50更包括進行外部連接的接墊62,例如鋁接墊。接墊62位於積體電路晶粒50的主動側上,例如位於內連線結構60中及/或內連線結構60上。積體電路晶粒50上(例如內連線結構60的部分及接墊62的部分上)有一或多個鈍化膜64。開口穿過鈍化膜64延伸至接墊62。諸如導電柱(例如,由諸如銅等金屬形成)等晶粒連接件66延伸穿過鈍化膜64中的開口,並實體耦接至及電性耦接至接墊62中相應的接墊62。晶粒連接件66可藉由例如鍍覆或類似製程形成。晶粒連接件66對積體電路晶粒50的相應積體電路進行電性耦接。
可選地,接墊62上可設置有焊料區(例如,焊料球或焊料凸塊)。焊料球可用於對積體電路晶粒50實行晶片探針(chip probe,CP)測試。可對積體電路晶粒50實行CP測試,以判斷積體電路晶粒50是否是已知良好晶粒(known good die,KGD)。因此,僅積體電路晶粒50(其為KGD)經歷隨後的處理並被封裝,且未通過CP測試的晶粒未被封裝。在測試之後,可在隨後的處理步驟中移除焊料區。
積體電路晶粒50的主動側上(例如鈍化膜64及晶粒連接件66上)可有(或者可沒有)介電層68。介電層68在側向上包封晶粒連接件66,且介電層68與積體電路晶粒50在側向上相連。最初,介電層68可掩埋晶粒連接件66,進而使得介電層68的最頂表面位於晶粒連接件66的最頂表面上方。在其中晶粒連接件66上設置有焊料區的一些實施例中,介電層68亦可掩埋焊料區。作為另外一種選擇,可在形成介電層68之前移除焊料區。
介電層68可為:聚合物,例如聚苯並噁唑(polybenzoxazole,PBO)、聚醯亞胺、苯並環丁烯(benzocyclobutene,BCB)或類似聚合物;氮化物,例如氮化矽或類似氮化物;氧化物,例如氧化矽、PSG、BSG、BPSG或類似氧化物;類似材料;或者其組合。介電層68可例如藉由旋轉塗佈(spin coating)、疊層、化學氣相沈積(chemical vapor deposition,CVD)或類似製程形成。在一些實施例中,在積體電路晶粒50的形成期間,晶粒連接件66經由介電層68暴露出。在一些實施例中,晶粒連接件66保持被掩埋,並在用於封裝積體電路晶粒50的隨後的製程期間被暴露出。暴露出晶粒連接件66可移除晶粒連接件66上可能存在的任何焊料區。
在一些實施例中,積體電路晶粒50是包括多個半導體基底52的堆疊裝置。舉例而言,積體電路晶粒50可為包括多個記憶體晶粒的記憶體裝置,例如混合記憶體立方(hybrid memory cube,HMC)模組、高頻寬記憶體(high bandwidth memory,HBM)模組或類似裝置。在此種實施例中,積體電路晶粒50包括藉由基底穿孔(through-substrate via,TSV)進行內連的多個半導體基底52。半導體基底52中的每一者可(或可不)具有內連線結構60。
圖2至圖22圖示根據一些實施例的用於形成第一封裝組件100的製程期間的中間步驟的剖視圖及俯視圖。圖示出第一封裝區100A及第二封裝區100B,且積體電路晶粒50中的一或多者被封裝以在封裝區100A及封裝區100B中的每一者中形成積體電路封裝。積體電路封裝亦可稱為積體扇出型(integrated fan-out,InFO)封裝。
在圖2中,提供載體基底102,且在載體基底102上形成釋放層104。載體基底102可為玻璃載體基底、陶瓷載體基底或類似基底。載體基底102可為晶圓,進而使得可在載體基底102上同時形成多個封裝。
釋放層104由聚合物系材料形成,所述聚合物系材料可與載體基底102一起被從將在隨後的步驟中形成的上覆結構移除。在一些實施例中,釋放層104為當受熱時會失去其黏合性質的環氧樹脂系熱釋放材料,例如光熱轉換(light-to-heat-conversion,LTHC)釋放塗層。在其他實施例中,釋放層104可為當暴露於紫外(ultra-violet,UV)光時會失去其黏合性質的UV膠。釋放層104可作為液體被分配並被固化,可為疊層至載體基底102上的疊層膜(laminate film),或者可為類似形式。釋放層104的頂表面可被整平且可具有高的平坦程度。
在圖3A至圖6中,在釋放層104上形成背側重佈線結構106。如下文所更詳細論述,形成背側重佈線結構106,且在背側重佈線結構106之上形成穿孔116。背側重佈線結構106可包括一或多個介電層以及金屬化圖案(有時稱為重佈線層(redistribution layer)或重佈線線(redistribution line))。
在圖3A中,在釋放層104上形成介電層108。介電層108的底表面可與釋放層104的頂表面接觸。在一些實施例中,介電層108由聚合物(例如聚苯並噁唑(PBO)、聚醯亞胺、苯並環丁烯(BCB)或類似聚合物)形成。在其他實施例中,介電層108由以下形成:氮化物,例如氮化矽;氧化物,例如氧化矽、磷矽酸鹽玻璃(PSG)、硼矽酸鹽玻璃(BSG)、摻雜硼的磷矽酸鹽玻璃(BPSG)或類似氧化物;或者類似材料。可藉由例如旋轉塗佈、CVD、疊層、類似製程或其組合等任何可接受的沈積製程形成介電層108。
在介電層108上形成金屬化圖案110。作為形成金屬化圖案110的實例,在介電層108之上形成晶種層(seed layer)。在一些實施例中,晶種層是金屬層,其可為單層或包括由不同材料形成的多個子層的複合層。在一些實施例中,晶種層包括鈦層及位於所述鈦層之上的銅層。可使用例如物理氣相沈積(physical vapor deposition,PVD)或類似製程形成晶種層。然後在晶種層上形成光阻(未示出)且對所述光阻進行圖案化。可藉由旋轉塗佈或類似製程形成光阻,且可將所述光阻暴露於光以進行圖案化。光阻的圖案對應於金屬化圖案110。所述圖案化會形成穿過光阻的開口以暴露出晶種層。在光阻的開口中及晶種層的被暴露出的部分上形成導電材料。可藉由鍍覆(例如電鍍(electroplating)或無電鍍覆(electroless plating))或者類似製程形成導電材料。導電材料可包括金屬,如銅、鈦、鎢、鋁或類似金屬。然後,移除光阻以及晶種層的上面未形成導電材料的部分。可藉由例如使用氧電漿或類似材料的可接受的灰化製程(ashing process)或剝除製程(stripping process)移除光阻。一旦光阻被移除,便例如使用可接受的蝕刻製程(例如藉由濕法蝕刻或乾法蝕刻)移除晶種層的被暴露出的部分。晶種層的其餘部分與導電材料形成金屬化圖案110。
可使用金屬化圖案110的部分作為第一封裝組件100中的接觸接墊,下文更詳細地對此予以論述。第一封裝組件100的接觸接墊可包括虛設接墊110A、電源接墊或地接墊110B以及訊號接墊110C。出於例示性目的,圖3A分別示出第一封裝區100A及第二封裝區100B中的每一類型的接觸接墊中的一者。在一些實施例中,第一封裝區100A或第二封裝區100B所具有的每一類型的接觸接墊可為其他數目。舉例而言,此項技術中具有通常知識者將認識到,電路將一般包括電源接墊與地接墊二者中的一者(或多者),而此處僅出於使例示簡潔的目的,對於每一封裝區,示出表示電源接墊與地接墊二者的單一接墊110B。圖3B示出一個虛設接墊110A的俯視圖,其中虛設接墊110A藉由開口90與金屬化圖案110的其餘部分隔離。儘管亦可存在其他大小,然而虛設接墊110A具有可為約360微米的直徑D1。虛設接墊110A可具有開口92,開口92可減小虛設接墊110A的表面上的應力。在俯視圖中,位於金屬化圖案110下方的介電層108經由開口90及開口92而被局部地顯示出。
在圖4中,在金屬化圖案110及介電層108上形成介電層112。介電層112可在虛設接墊110A上的開口中進行填充。在一些實施例中,介電層112由聚合物形成,所述聚合物可為可使用微影罩幕來圖案化的感光性材料(例如PBO、聚醯亞胺、BCB或類似材料)。在其他實施例中,介電層112由以下形成:氮化物,例如氮化矽;氧化物,例如氧化矽、PSG、BSG、BPSG;或者類似材料。可藉由旋轉塗佈、疊層、CVD、類似製程或其組合形成介電層112。然後對介電層112進行圖案化以形成暴露出金屬化圖案110的部分的開口107。可藉由任何可接受的製程(例如當介電層112是感光性材料時,藉由將介電層112暴露於光;或者藉由使用例如非等向性蝕刻進行蝕刻)來實行所述圖案化。若介電層112是感光性材料,則介電層112可在曝光之後顯影。
在圖5A中,在介電層112上形成金屬化圖案113。金屬化圖案113包括位於介電層112的主表面上且沿所述主表面延伸的部分。金屬化圖案113更包括延伸穿過介電層112以實體耦接至及電性耦接至金屬化圖案110的部分。可以與金屬化圖案110相似的方式及相似的材料形成金屬化圖案113。如圖5A中所示,金屬化圖案113的實體耦接至及電性耦接至虛設接墊110A的部分統稱為金屬化圖案109。一個虛設接墊110A與一個金屬化圖案109統稱為金屬特徵111,其可用作如下文所更詳細論述的散熱系統。圖5B更詳細地示出金屬特徵111,金屬特徵111包括金屬接墊109A、金屬通孔109B及虛設接墊110A。金屬接墊109A及金屬通孔109B構成金屬化圖案109。圖5C示出金屬化圖案109的俯視圖,其中金屬化圖案109藉由開口94與金屬化圖案113的其餘部分隔離。儘管亦可存在其他大小,然而金屬接墊109A具有可為約350微米的直徑D2。金屬接墊109A可具有開口96,開口96可減小金屬接墊109A的表面上的應力。在俯視圖中,位於金屬化圖案113下方的介電層112經由開口94及開口96而被局部地顯示出。金屬通孔109B在俯視圖中可能不可見,但出於例示性目的被以虛線輪廓示出。出於例示性目的,圖5C在金屬接墊109A下方示出四個金屬通孔109B。在一些實施例中,可在金屬接墊109A下方設置其他數目的金屬通孔109B,例如一個通孔、兩個通孔、三個通孔或更多個通孔。金屬通孔109B具有可處於20微米至約35微米的範圍內(例如為約20微米)的直徑D3。
在圖6中,在金屬化圖案113及介電層112上沈積介電層114。介電層114可在金屬接墊109A上的開口中進行填充。可以與介電層112相似的方式形成介電層114,且可由與介電層112相似的材料形成介電層114。然後對介電層114進行圖案化以形成暴露出金屬化圖案113的部分的開口115。可藉由可接受的製程(例如當介電層114是感光性材料時,藉由將介電層114暴露於光;或者藉由使用例如非等向性蝕刻進行蝕刻)來實行所述圖案化。若介電層114是感光性材料,則介電層114可在曝光之後顯影。
出於例示性目的,圖6示出具有兩個金屬化圖案的背側重佈線結構106,所述兩個金屬化圖案是金屬化圖案110及金屬化圖案113。在一些實施例中,背側重佈線結構106可包括任意數目的介電層及金屬化圖案。若欲形成更多的介電層及金屬化圖案,則可重複進行以上所論述的步驟及製程。金屬化圖案可包括一或多個導電元件。可在金屬化圖案的形成期間藉由在下伏介電層的表面之上及下伏介電層的開口中形成晶種層以及金屬化圖案的導電材料來形成導電元件,藉此對各種導線進行內連及電性耦接。
在圖7中,在開口115中形成穿孔116,且穿孔116遠離背側重佈線結構106的最頂介電層(例如,介電層114)延伸。作為形成穿孔116的實例,在背側重佈線結構106之上(例如,在介電層114上以及金屬化圖案113的藉由開口115暴露出的部分上)形成晶種層(未示出)。在一些實施例中,晶種層是金屬層,其可為單層或包括由不同材料形成的多個子層的複合層。在特定實施例中,晶種層包括鈦層及位於所述鈦層之上的銅層。可使用例如PVD或類似製程形成晶種層。在晶種層上形成光阻且對所述光阻進行圖案化。可藉由旋轉塗佈或類似製程形成光阻,且可將所述光阻暴露於光以進行圖案化。光阻的圖案對應於導通孔。所述圖案化會形成穿過光阻的開口以暴露出晶種層。在光阻的開口中及晶種層的被暴露出的部分上形成導電材料。可藉由鍍覆(例如電鍍或無電鍍覆)或者類似製程形成導電材料。導電材料可包括金屬,如銅、鈦、鎢、鋁或類似金屬。移除光阻以及晶種層的上面未形成導電材料的部分。可藉由例如使用氧電漿或類似材料的可接受的灰化製程或剝除製程移除光阻。一旦光阻被移除,便例如使用可接受的蝕刻製程(例如藉由濕法蝕刻或乾法蝕刻)移除晶種層的被暴露出的部分。晶種層的其餘部分與導電材料形成穿孔116。
在圖8中,儘管本文中亦設想存在其他接合技術(例如熱接合(thermal bonding)、熱壓縮(thermal compression)及類似技術),然而藉由黏合劑118將積體電路晶粒50(例如,第一積體電路晶粒50A及第二積體電路晶粒50B)黏合至介電層114。封裝區100A及封裝區100B中的每一者中黏合有所期望類型及數量的積體電路晶粒50。在所示實施例中,多個積體電路晶粒50被黏合成彼此相鄰,包括位於第一封裝區100A及第二封裝區100B中的每一者中的第一積體電路晶粒50A及第二積體電路晶粒50B。第一積體電路晶粒50A可為邏輯裝置,例如中央處理單元(CPU)、圖形處理單元(GPU)、系統晶片(SoC)、微控制器或類似裝置。第二積體電路晶粒50B可為記憶體裝置,例如動態隨機存取記憶體(DRAM)晶粒、靜態隨機存取記憶體(SRAM)晶粒、混合記憶體立方(HMC)模組、高頻寬記憶體(HBM)模組或類似裝置。在一些實施例中,積體電路晶粒50A與積體電路晶粒50B可為相同類型的晶粒,例如SoC晶粒。第一積體電路晶粒50A與第二積體電路晶粒50B可在相同技術節點的製程中形成,或者可在不同技術節點的製程中形成。舉例而言,第一積體電路晶粒50A可為較第二積體電路晶粒50B更先進的製程節點。積體電路晶粒50A與積體電路晶粒50B可具有不同的大小(例如,不同的高度及/或表面積),或者可具有相同的大小(例如,相同的高度及/或表面積)。第一封裝區100A及第二封裝區100B中可用於穿孔116的間距(space)可能受到限制,當積體電路晶粒50包括例如SoC等具有大的覆蓋區的裝置時尤為如此。當第一封裝區100A及第二封裝區100B具有有限的可用於穿孔116的間距時,背側重佈線結構106的使用使得能夠達成改善的內連線排列方式。
黏合劑118位於積體電路晶粒50的背側上,且將積體電路晶粒50黏合至背側重佈線結構106,例如黏合至介電層114。黏合劑118可為任何適合的黏合劑、環氧樹脂、晶粒貼合膜(die attach film,DAF)或類似材料。可將黏合劑118施加至積體電路晶粒50的背側,或者若適用,則可將黏合劑118施加至背側重佈線結構106的上表面。舉例而言,可在進行單體化以分離積體電路晶粒50之前將黏合劑118施加至積體電路晶粒50的背側。
在圖9中,在所述各種組件上及所述各種組件周圍形成包封體120。在形成之後,包封體120包封穿孔116及積體電路晶粒50。包封體120可為模製化合物、環氧樹脂或類似材料。可藉由壓縮模製、轉移模製或類似製程施加包封體120,且可在載體基底102之上形成包封體120,進而使得穿孔116及/或積體電路晶粒50被掩埋或被覆蓋。在積體電路晶粒50之間的間隙區中進一步形成包封體120。可以液體或半液體形式施加包封體120,且隨後對包封體120進行固化。
在圖10中,對包封體120實行平坦化製程,以暴露出穿孔116及晶粒連接件66。平坦化製程亦可移除穿孔116、介電層68及/或晶粒連接件66的材料,直至暴露出晶粒連接件66及穿孔116為止。在平坦化製程之後,穿孔116的頂表面、晶粒連接件66的頂表面、介電層68的頂表面及包封體120的頂表面在製程變化內實質上共面。平坦化製程可為例如化學機械研磨(chemical-mechanical polish,CMP)、磨製製程(grinding process)或類似製程。在一些實施例中,舉例而言,若穿孔116及/或晶粒連接件66已被暴露出,則可省略平坦化。
在圖11至圖14中,在包封體120、穿孔116及積體電路晶粒50之上形成前側重佈線結構122(參見圖14)。前側重佈線結構122包括介電層124、介電層128、介電層132及介電層136;以及金屬化圖案126、金屬化圖案130及金屬化圖案134。金屬化圖案亦可稱為重佈線層或重佈線線。前側重佈線結構122被示出為具有三層金屬化圖案的實例。可在前側重佈線結構122中形成更多或更少的介電層及金屬化圖案。若欲形成更少的介電層及金屬化圖案,則可省略下文所論述的步驟及製程。若欲形成更多的介電層及金屬化圖案,則可重複進行以下所論述的步驟及製程。
在圖11中,在包封體120、穿孔116及晶粒連接件66上沈積介電層124。在一些實施例中,介電層124由可使用微影罩幕來圖案化的感光性材料(例如PBO、聚醯亞胺、BCB或類似材料)形成。可藉由旋轉塗佈、疊層、CVD、類似製程或其組合形成介電層124。然後,對介電層124進行圖案化。所述圖案化會形成暴露出穿孔116的部分及晶粒連接件66的部分的開口。可藉由可接受的製程(例如當介電層124是感光性材料時,藉由將介電層124暴露於光並顯影;或者藉由使用例如非等向性蝕刻進行蝕刻)來實行所述圖案化。
然後形成金屬化圖案126。金屬化圖案126包括導電元件,所述導電元件沿介電層124的主表面延伸並延伸穿過介電層124以實體耦接至及電性耦接至穿孔116及積體電路晶粒50。作為形成金屬化圖案126的實例,在介電層124之上及延伸穿過介電層124的開口中形成晶種層。在一些實施例中,晶種層是金屬層,其可為單層或包括由不同材料形成的多個子層的複合層。在一些實施例中,晶種層包括鈦層及位於所述鈦層之上的銅層。可使用例如PVD或類似製程形成晶種層。然後在晶種層上形成光阻且對所述光阻進行圖案化。可藉由旋轉塗佈或類似製程形成光阻,且可將所述光阻暴露於光以進行圖案化。光阻的圖案對應於金屬化圖案126。所述圖案化會形成穿過光阻的開口以暴露出晶種層。然後在光阻的開口中及晶種層的被暴露出的部分上形成導電材料。可藉由鍍覆(例如電鍍或無電鍍覆)或者類似製程形成導電材料。導電材料可包括金屬,如銅、鈦、鎢、鋁或類似金屬。導電材料與晶種層的下伏部分的組合會形成金屬化圖案126。移除光阻以及晶種層的上面未形成導電材料的部分。可藉由例如使用氧電漿或類似材料的可接受的灰化製程或剝除製程移除光阻。一旦光阻被移除,便例如使用可接受的蝕刻製程(例如藉由濕法蝕刻或乾法蝕刻)移除晶種層的被暴露出的部分。
在圖12中,在金屬化圖案126及介電層124上沈積介電層128。可以與介電層124相似的方式形成介電層128,且介電層128可由與介電層124相似的材料形成。
然後形成金屬化圖案130。金屬化圖案130包括位於介電層128的主表面上且沿所述主表面延伸的部分。金屬化圖案130更包括延伸穿過介電層128以實體耦接及電性耦接金屬化圖案126的部分。可以與金屬化圖案126相似的方式及相似的材料形成金屬化圖案130。在一些實施例中,金屬化圖案130具有與金屬化圖案126不同的大小。舉例而言,金屬化圖案130的導線及/或通孔可寬於或厚於金屬化圖案126的導線及/或通孔。此外,可將金屬化圖案130形成為較金屬化圖案126大的節距(pitch)。
在圖13中,在金屬化圖案130及介電層128上沈積介電層132。可以與介電層124相似的方式形成介電層132,且介電層132可由與介電層124相似的材料形成。
然後形成金屬化圖案134。金屬化圖案134包括位於介電層132的主表面上且沿所述主表面延伸的部分。金屬化圖案134更包括延伸穿過介電層132以實體耦接及電性耦接金屬化圖案130的部分。可以與金屬化圖案126相似的方式及相似的材料形成金屬化圖案134。金屬化圖案134是前側重佈線結構122的最頂金屬化圖案。因此,前側重佈線結構122的中間金屬化圖案(例如,金屬化圖案126及金屬化圖案130)中的所有者皆設置於金屬化圖案134與積體電路晶粒50之間。在一些實施例中,金屬化圖案134具有與金屬化圖案126及金屬化圖案130不同的大小。舉例而言,金屬化圖案134的導線及/或通孔可寬於或厚於金屬化圖案126及金屬化圖案130的導線及/或通孔。此外,可將金屬化圖案134形成為較金屬化圖案130大的節距。
在圖14中,在金屬化圖案134及介電層132上沈積介電層136。可以與介電層124相似的方式形成介電層136,且介電層136可由與介電層124相同的材料形成。介電層136是前側重佈線結構122的最頂介電層。因此,前側重佈線結構122的金屬化圖案(例如,金屬化圖案126、金屬化圖案130及金屬化圖案134)中的所有者皆設置於介電層136與積體電路晶粒50之間。此外,前側重佈線結構122的中間介電層(例如,介電層124、介電層128、介電層132)中的所有者皆設置於介電層136與積體電路晶粒50之間。
在圖15中,形成凸塊下金屬(under-bump metallurgy,UBM)138以用於與前側重佈線結構122之間的外部連接。UBM 138具有位於介電層136的主表面上並沿所述主表面延伸的凸塊部分,且具有延伸穿過介電層136以實體耦接至及電性耦接至金屬化圖案134的通孔部分。因此,UBM 138電性耦接至穿孔116及積體電路晶粒50。UBM 138可由與金屬化圖案126相同的材料形成。在一些實施例中,UBM 138具有與金屬化圖案126、金屬化圖案130及金屬化圖案134不同的大小。
在圖16中,在UBM 138上形成導電連接件150。導電連接件150可為球柵陣列(ball grid array,BGA)連接件、焊料球、金屬柱、受控塌陷晶片連接(controlled collapse chip connection,C4)凸塊、微凸塊、無電鍍鎳鈀浸金技術(electroless nickel-electroless palladium-immersion gold technique,ENEPIG)形成的凸塊或類似元件。導電連接件150可包含例如焊料、銅、鋁、金、鎳、銀、鈀、錫、類似材料或其組合等導電材料。在一些實施例中,藉由最初透過蒸鍍、電鍍、印刷、焊料轉移、植球或類似製程形成焊料層來形成導電連接件150。一旦已在所述結構上形成焊料層,便可實行迴焊(reflow),以便將所述材料造型成所期望的凸塊形狀。在另一實施例中,導電連接件150包括藉由濺鍍、印刷、電鍍、無電鍍覆、CVD或類似製程形成的金屬柱(例如銅柱)。金屬柱可不含焊料,且具有實質上垂直的側壁。在一些實施例中,在金屬柱的頂部上形成金屬頂蓋層(metal cap layer)。金屬頂蓋層可包含鎳、錫、錫-鉛、金、銀、鈀、銦、鎳-鈀-金、鎳-金、類似材料或其組合,且可藉由鍍覆製程形成金屬頂蓋層。
在圖17中,藉由導電連接件150中的一些導電連接件150將積體被動裝置(integrated passive device,IPD)149接合至前側重佈線結構122。IPD 149可為或者可包括被動裝置(例如電容器晶粒、電感器晶粒、電阻器晶粒或類似晶粒),或者可包括被動裝置的組合。在IPD 149與介電層136之間環繞導電連接件150中的一些導電連接件150形成底部填充膠151。底部填充膠可減小應力並保護由對導電連接件150的迴焊產生的接頭(joint)。可在貼合IPD 149之後藉由毛細流動製程(capillary flow process)來形成底部填充膠,或者可在貼合IPD 149之前藉由適合的沈積方法來形成底部填充膠。
在圖18中,實行載體基底剝離(carrier substrate de-bonding),以將載體基底102自背側重佈線結構106(例如,介電層108)拆離(或「剝離」)。根據一些實施例,剝離包括將例如雷射光或UV光等光投射於釋放層104(未示出)上,以使得釋放層104在光的熱量下分解,且載體基底102可被移除。可將所述結構翻轉並放置於由框架142(示出於圖19中)支撐的膠帶141上。
在圖19中,在背側重佈線結構106之上形成背側增強層(back-side enhancement layer,BEL)140,以減少背側重佈線結構106在隨後的製造步驟期間的翹曲(warpage)。BEL 140可包含模製化合物,例如聚合物、環氧樹脂、氧化矽填料材料、類似材料或其組合。可藉由壓縮模製、轉移模製或類似製程形成BEL 140。可實行固化製程以對BEL 140進行固化,且所述固化製程可為熱固化、UV固化、類似製程或其組合。BEL 140可具有相當大的透明度。BEL 140可具有處於約25微米至約50微米的範圍內(例如為約50微米)的厚度。
在圖20A中,穿過BEL 140及介電層108形成開口143,以暴露出第一封裝組件100的接觸接墊,所述接觸接墊可包括虛設接墊110A、電源接墊或地接墊110B以及訊號接墊110C。虛設接墊110A可向可安裝於第一封裝組件100上且不具有電性功能性的任何裝置提供機械支撐。電源接墊110B提供外部電源與第一封裝組件100之間的電性連接點。地接墊110B提供電性地(electrical ground)與第一封裝組件100之間的電性連接點。訊號接墊110C提供可安裝於第一封裝組件100上的任何裝置與第一封裝組件100之間的通訊路徑。舉例而言,可使用雷射鑽孔、蝕刻或類似製程來形成開口143。在一些實施例中,金屬特徵111的金屬化圖案109有助於使在雷射鑽孔製程期間可能累積於虛設接墊110A中的熱量消散,此會降低BEL 140分層的可能性,藉此改善第一封裝組件100的長期可靠性。
在圖20B中,示出金屬特徵111的俯視圖。金屬接墊109A、金屬通孔109B、開口96及介電層114在俯視圖中可能不可見,但出於例示性目的被以虛線輪廓示出。虛設接墊110A藉由開口90與金屬化圖案110的其餘部分隔離,而金屬接墊109A藉由開口94與金屬化圖案113的其餘部分隔離(示出於圖20A中)。在圖20B中所示俯視圖中,開口90與開口94彼此交疊。金屬通孔109B將虛設接墊110A連接至金屬接墊109A以形成金屬特徵111,金屬特徵111與背側重佈線結構106的其餘部分電性隔離(示出於圖20A中)。換言之,金屬特徵111與第一封裝組件100的電路電性隔離。虛設接墊110A的開口92填充有介電層112,而金屬接墊109A的開口96填充有介電層114。出於例示性目的,圖20B將金屬接墊109A示出為較虛設接墊110A大。在一些實施例中,金屬接墊109A的大小可小於或等於虛設接墊110A的大小。出於例示性目的,圖20B將金屬接墊109A示出為直接設置於虛設接墊110A下方。金屬接墊109A可位於虛設接墊110A下方的任意位置處。儘管在此實施例中示出四個金屬通孔109B,然而此項技術中具有通常知識者將認識到,通孔的數目、大小及放置可被修改及最佳化,以藉由常規實驗提供足夠的散熱。
圖20C示出根據一些實施例的第一封裝區100A或第二封裝區100B的俯視圖。可在第一封裝區100A或第二封裝區100B上以包括行及列的陣列來設置虛設接墊110A、電源接墊或地接墊110B及訊號接墊110C,其中所述陣列可具有不含任何金屬化圖案110的中心區。金屬化圖案110的由虛線包圍的部分可為虛設接墊110A,而所示出的金屬化圖案110的其他部分可為電源接墊或地接墊110B或者訊號接墊110C。在俯視圖中,每一虛設接墊110A、電源接墊或地接墊110B以及訊號接墊110C可由介電層108包圍。如圖20C中所示,虛設接墊110A可設置於第一封裝區100A或第二封裝區100B的隅角處,且虛設接墊110A可沿第一封裝區100A或第二封裝區100B的相對的邊緣進行設置。
在圖21中,形成導電連接件152,導電連接件152延伸穿過BEL 140及介電層108以分別接觸虛設接墊110A、電源接墊或地接墊110B以及訊號接墊110C。導電連接件152可由開口143中的導電材料形成。在一些實施例中,導電連接件152包含焊劑(flux),且是在焊劑浸漬製程(flux dipping process)中形成。在一些實施例中,導電連接件152包含導電膏(例如焊料膏、銀膏或類似材料),且是在印刷製程中進行分配。在一些實施例中,以與導電連接件150相似的方式形成導電連接件152,且導電連接件152可由與導電連接件150相似的材料形成。如以上所論述,金屬特徵111的金屬化圖案109可有助於減少在雷射鑽孔製程期間在虛設接墊110A中的熱量累積。虛設接墊110A中較少的熱量累積會減少虛設接墊110A的氧化,此會改善在導電連接件152的形成期間虛設接墊110A上的導電材料的潤濕,藉此改善所形成的導電連接件152的品質。
在圖22中,在BEL 140的位於積體電路晶粒50之上的部分上形成標記(mark)153。標記153可顯示關於設置於下方的對應積體電路晶粒50的資訊。可藉由雷射標記(laser marking)或任何相似的標記技術形成標記153。圖22中所示所有特徵可統稱為第一封裝組件100。
在圖23中,沿切割道(scribe line)156對第一封裝組件100進行單體化,以使得第一封裝組件100被分離成分立的積體電路封裝,所述分立的積體電路封裝在單體化之後被自膠帶141移除。在單體化之後,第一封裝區100A可稱為第一封裝100A,而第二封裝區100B可稱為第二封裝100B。圖24示出分立的積體電路封裝,其可為第一封裝100A或第二封裝100B。
本揭露的實施例具有一些有利的特徵。藉由形成金屬特徵111,在雷射鑽孔製程期間在BEL 140及背側重佈線結構106上產生的熱量在虛設接墊110A上被更高效地消散。虛設接墊110A上較少的熱量累積可有助於降低BEL 140分層的可能性,藉此改善第一封裝100A及第二封裝100B的長期可靠性。虛設接墊110A上較少的熱量累積亦可有助於減少虛設接墊110A的氧化,此可改善在導電連接件152的形成期間虛設接墊110A上的導電材料的潤濕,藉此改善所形成的導電連接件152的品質。
在實施例中,一種半導體封裝包括重佈線結構,所述重佈線結構包括:第一介電層;第一金屬圖案,位於第一介電層中,其中第一金屬圖案的第一部分是金屬接墊;第二介電層,位於第一金屬圖案之上;第二金屬圖案,位於第二介電層中,其中第二金屬圖案的第一部分是虛設接墊,其中第一金屬圖案的第一部分藉由延伸穿過第二介電層的一或多個金屬通孔連接至第二金屬圖案的第一部分,且其中第一金屬圖案的第一部分、第二金屬圖案的第一部分以及所述一或多個金屬通孔與重佈線結構的其餘部分電性隔離;以及第三介電層,位於第二金屬圖案之上。在實施例中,金屬接墊具有延伸穿過所述金屬接墊的厚度的開口。在實施例中,所述開口是藉由第一介電層來進行填充。在實施例中,虛設接墊具有延伸穿過所述虛設接墊的厚度的開口。在實施例中,所述開口是藉由第二介電層來進行填充。在實施例中,第二金屬圖案亦包括電源接墊、接地接墊及訊號接墊。在實施例中,所述半導體封裝更包括絕緣層及電性連接件,絕緣層位於第三介電層之上,電性連接件延伸穿過絕緣層及第三介電層以接觸第二金屬圖案的第一部分。在實施例中,絕緣層包含模製化合物。
在實施例中,一種半導體封裝包括重佈線結構,所述重佈線結構包括第一絕緣層、第一重佈線圖案、第二絕緣層、第二重佈線圖案、散熱系統及第三絕緣層,第一重佈線圖案位於第一絕緣層中,第二絕緣層位於第一重佈線圖案之上,第二重佈線圖案位於第二絕緣層中,其中第二重佈線圖案包括多個接觸接墊,所述多個接觸接墊包括訊號接墊、電源接墊、接地接墊及虛設接墊,其中第一重佈線圖案的第一部分藉由延伸穿過第二絕緣層的通孔連接至虛設接墊,散熱系統包括第一重佈線圖案的第一部分、虛設接墊以及所述通孔,其中散熱系統與所述半導體封裝的電路電性隔離,第三絕緣層位於第二重佈線圖案之上。在實施例中,在俯視圖中,所述多個接觸接墊以包括行及列的陣列設置於所述半導體封裝上,且其中陣列的中心區不具有接觸接墊。在實施例中,在俯視圖中,所述多個接觸接墊以包括行及列的陣列設置於所述半導體封裝上,且其中在最靠近於所述半導體封裝的邊緣的行中設置有一或多個虛設接墊。在實施例中,虛設接墊具有自所述虛設接墊的頂表面延伸至所述虛設接墊的底表面的開口。在實施例中,所述半導體封裝更包括第四絕緣層及接觸接墊連接件,第四絕緣層位於第三絕緣層之上,接觸接墊連接件延伸穿過第三絕緣層及第四絕緣層以接觸所述多個接觸接墊,其中第四絕緣層包含環氧樹脂。
在實施例中,一種製造半導體封裝的方法包括:在載體基底之上沈積第一介電層;在第一介電層的第一側上形成第一重佈線圖案,其中第一重佈線圖案的第一部分與第一重佈線圖案的其餘部分電性隔離;在第一重佈線圖案及第一介電層上沈積第二介電層;在第二介電層中形成開口以局部地暴露出第一重佈線圖案的第一部分;在第二介電層上形成第二重佈線圖案,其中第二重佈線圖案在第二介電層中的開口中進行填充並形成通孔,其中第二重佈線圖案的第一部分與第二重佈線圖案的其餘部分電性隔離,且其中所述通孔將第一重佈線圖案的第一部分連接至第二重佈線圖案的第一部分;以及在第二重佈線圖案及第二介電層上沈積第三介電層。在實施例中,在俯視圖中,第一重佈線圖案的第一部分設置於所述半導體封裝的隅角處。在實施例中,在俯視圖中,第一重佈線圖案的第一部分沿所述半導體封裝的相對的邊緣進行設置。在實施例中,所述方法更包括在第一介電層的第二側上沈積絕緣層,其中絕緣層包含模製化合物。在實施例中,所述方法更包括藉由雷射鑽孔製程形成穿過絕緣層及第一介電層的開口以暴露出第一重佈線圖案的第一部分,其中所述通孔以及第二重佈線圖案的第一部分使在雷射鑽孔製程期間累積於第一重佈線圖案的第一部分上的熱量消散。在實施例中,第一重佈線圖案的第一部分具有開口,且其中所述開口是藉由第二介電層來進行填充。在實施例中,第二重佈線圖案的第一部分具有開口,且其中所述開口是藉由第三介電層來進行填充。
前述內容概述了若干實施例的特徵,以使所屬領域中的技術人員可更好地理解本揭露的各方面。所屬領域中的技術人員應理解,他們可容易地使用本揭露作為設計或修改其他製程及結構的基礎來施行與本文中所介紹的實施例相同的目的及/或實現與本文中所介紹的實施例相同的優點。所屬領域中的技術人員還應意識到此種等效構造並不背離本揭露的精神及範圍,且他們可在不背離本揭露的精神及範圍的情況下在本文中作出各種改變、替代及更改。
50:積體電路晶粒 50A:積體電路晶粒/第一積體電路晶粒 50B:積體電路晶粒/第二積體電路晶粒 52:半導體基底 54:裝置 56:層間介電質(ILD) 58:導電插塞 60:內連線結構 62:接墊 64:鈍化膜 66:晶粒連接件 68、108、112、114、124、128、132、136:介電層 90、92、94、96、107、115、143:開口 100:第一封裝組件 100A:封裝區/第一封裝/第一封裝區 100B:封裝區/第二封裝/第二封裝區 102:載體基底 104:釋放層 106:背側重佈線結構 109、110、113、126、130、134:金屬化圖案 109A:金屬接墊 109B:金屬通孔 110A:虛設接墊 110B:接墊/電源接墊/地接墊 110C:訊號接墊 111:金屬特徵 116:穿孔 118:黏合劑 120:包封體 122:前側重佈線結構 138:凸塊下金屬(UBM) 140:背側增強層(BEL) 141:膠帶 142:框架 149:積體被動裝置(IPD) 150、152:導電連接件 151:底部填充膠 153:標記 156:切割道 D1、D2、D3:直徑
藉由結合附圖閱讀以下詳細說明,會最佳地理解本揭露的態樣。應注意,根據行業中的標準慣例,各種特徵並非按比例繪製。事實上,為使論述清晰起見,可任意增大或減小各種特徵的尺寸。 圖1圖示根據一些實施例的積體電路晶粒的剖視圖。 圖2至圖24圖示根據一些實施例的用於形成封裝組件的製程期間的中間步驟的剖視圖及俯視圖。
100:第一封裝組件
100A:第一封裝區
100B:第二封裝區
102:載體基底
104:釋放層
106:背側重佈線結構
108,112:介電層
109,110,113:金屬化圖案
110A:虛設接墊
111:金屬特徵

Claims (20)

  1. 一種半導體封裝,包括: 重佈線結構,所述重佈線結構包括: 第一介電層; 第一金屬圖案,位於所述第一介電層中,其中所述第一金屬圖案的第一部分是金屬接墊; 第二介電層,位於所述第一金屬圖案之上; 第二金屬圖案,位於所述第二介電層中,其中所述第二金屬圖案的第一部分是虛設接墊,其中所述第一金屬圖案的所述第一部分藉由延伸穿過所述第二介電層的一或多個金屬通孔連接至所述第二金屬圖案的所述第一部分,且其中所述第一金屬圖案的所述第一部分、所述第二金屬圖案的所述第一部分以及所述一或多個金屬通孔與所述重佈線結構的其餘部分電性隔離;以及 第三介電層,位於所述第二金屬圖案之上。
  2. 如請求項1所述的半導體封裝,其中所述金屬接墊具有延伸穿過所述金屬接墊的厚度的開口。
  3. 如請求項2所述的半導體封裝,其中所述開口是藉由所述第一介電層來進行填充。
  4. 如請求項1所述的半導體封裝,其中所述虛設接墊具有延伸穿過所述虛設接墊的厚度的開口。
  5. 如請求項4所述的半導體封裝,其中所述開口是藉由所述第二介電層來進行填充。
  6. 如請求項1所述的半導體封裝,其中所述第二金屬圖案亦包括電源接墊、接地接墊及訊號接墊。
  7. 如請求項1所述的半導體封裝,更包括絕緣層及電性連接件,所述絕緣層位於所述第三介電層之上,所述電性連接件延伸穿過所述絕緣層及所述第三介電層以接觸所述第二金屬圖案的所述第一部分。
  8. 如請求項7所述的半導體封裝,其中所述絕緣層包含模製化合物。
  9. 一種半導體封裝,包括: 重佈線結構,包括: 第一絕緣層; 第一重佈線圖案,位於所述第一絕緣層中; 第二絕緣層,位於所述第一重佈線圖案之上; 第二重佈線圖案,位於所述第二絕緣層中,其中所述第二重佈線圖案包括多個接觸接墊,所述多個接觸接墊包括: 訊號接墊; 電源接墊; 接地接墊;以及 虛設接墊;其中所述第一重佈線圖案的第一部分藉由延伸穿過所述第二絕緣層的通孔連接至所述虛設接墊; 散熱系統,包括: 所述第一重佈線圖案的所述第一部分; 所述虛設接墊;以及 所述通孔,其中所述散熱系統與所述半導體封裝的電路電性隔離;以及 第三絕緣層,位於所述第二重佈線圖案之上。
  10. 如請求項9所述的半導體封裝,其中在俯視圖中,所述多個接觸接墊以包括行及列的陣列設置於所述半導體封裝上,且其中所述陣列的中心區不具有接觸接墊。
  11. 如請求項9所述的半導體封裝,其中在俯視圖中,所述多個接觸接墊以包括行及列的陣列設置於所述半導體封裝上,且其中在最靠近於所述半導體封裝的邊緣的行中設置有一或多個虛設接墊。
  12. 如請求項9所述的半導體封裝,其中所述虛設接墊具有自所述虛設接墊的頂表面延伸至所述虛設接墊的底表面的開口。
  13. 如請求項9所述的半導體封裝,更包括第四絕緣層及接觸接墊連接件,所述第四絕緣層位於所述第三絕緣層之上,所述接觸接墊連接件延伸穿過所述第三絕緣層及所述第四絕緣層以接觸所述多個接觸接墊,其中所述第四絕緣層包含環氧樹脂。
  14. 一種製造半導體封裝的方法,所述方法包括: 在載體基底之上沈積第一介電層; 在所述第一介電層的第一側上形成第一重佈線圖案,其中所述第一重佈線圖案的第一部分與所述第一重佈線圖案的其餘部分電性隔離; 在所述第一重佈線圖案及所述第一介電層上沈積第二介電層; 在所述第二介電層中形成開口以局部地暴露出所述第一重佈線圖案的所述第一部分; 在所述第二介電層上形成第二重佈線圖案,其中所述第二重佈線圖案在所述第二介電層中的所述開口中進行填充並形成通孔,其中所述第二重佈線圖案的第一部分與所述第二重佈線圖案的其餘部分電性隔離,且其中所述通孔將所述第一重佈線圖案的所述第一部分連接至所述第二重佈線圖案的所述第一部分;以及 在所述第二重佈線圖案及所述第二介電層上沈積第三介電層。
  15. 如請求項14所述的方法,其中在俯視圖中,所述第一重佈線圖案的所述第一部分設置於所述半導體封裝的隅角處。
  16. 如請求項14所述的方法,其中在俯視圖中,所述第一重佈線圖案的所述第一部分沿所述半導體封裝的相對的邊緣進行設置。
  17. 如請求項14所述的方法,更包括在所述第一介電層的第二側上沈積絕緣層,其中所述絕緣層包含模製化合物。
  18. 如請求項17所述的方法,更包括藉由雷射鑽孔製程形成穿過所述絕緣層及所述第一介電層的開口以暴露出所述第一重佈線圖案的所述第一部分,其中所述通孔以及所述第二重佈線圖案的所述第一部分使在所述雷射鑽孔製程期間累積於所述第一重佈線圖案的所述第一部分上的熱量消散。
  19. 如請求項14所述的方法,其中所述第一重佈線圖案的所述第一部分具有開口,且其中所述開口是藉由所述第二介電層來進行填充。
  20. 如請求項14所述的方法,其中所述第二重佈線圖案的所述第一部分具有開口,且其中所述開口是藉由所述第三介電層來進行填充。
TW112100981A 2022-06-27 2023-01-10 半導體封裝及方法 TWI841187B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/809,039 US20230420331A1 (en) 2022-06-27 2022-06-27 Semiconductor package and method
US17/809,039 2022-06-27

Publications (2)

Publication Number Publication Date
TW202401695A true TW202401695A (zh) 2024-01-01
TWI841187B TWI841187B (zh) 2024-05-01

Family

ID=

Also Published As

Publication number Publication date
US20230420331A1 (en) 2023-12-28
CN220510023U (zh) 2024-02-20

Similar Documents

Publication Publication Date Title
US11189603B2 (en) Semiconductor packages and methods of forming same
KR102424012B1 (ko) 반도체 패키지 및 방법
TWI719678B (zh) 半導體結構及其形成方法
TWI724653B (zh) 半導體裝置及其形成方法
KR20220027001A (ko) 집적 회로 패키지 및 방법
TWI771870B (zh) 半導體封裝及其形成方法
TW202134713A (zh) 積體電路封裝及其形成方法
TW202109784A (zh) 封裝結構及製作所述封裝結構的方法
TWI807618B (zh) 封裝結構及其製造方法
KR102524244B1 (ko) 반도체 패키지들에서의 방열 및 그 형성 방법
TW202310093A (zh) 形成半導體裝置的方法
KR20200066544A (ko) 집적 회로 패키지 및 방법
TW202038396A (zh) 積體電路封裝體及其製造方法
TW202335202A (zh) 裝置封裝及其製造方法
TW202310306A (zh) 半導體封裝及其製造方法
TW202234646A (zh) 半導體裝置及其形成方法
TWI841187B (zh) 半導體封裝及方法
CN220510023U (zh) 半导体封装
US11830859B2 (en) Package structures and method for forming the same
TWI801938B (zh) 半導體封裝裝置及其製造方法
US11854994B2 (en) Redistribution structure for integrated circuit package and method of forming same
US11984372B2 (en) Integrated circuit package and method
US11444034B2 (en) Redistribution structure for integrated circuit package and method of forming same
TW202347650A (zh) 半導體封裝及其形成方法
TW202329377A (zh) 半導體封裝及其製造方法