TWI771870B - 半導體封裝及其形成方法 - Google Patents

半導體封裝及其形成方法 Download PDF

Info

Publication number
TWI771870B
TWI771870B TW110101377A TW110101377A TWI771870B TW I771870 B TWI771870 B TW I771870B TW 110101377 A TW110101377 A TW 110101377A TW 110101377 A TW110101377 A TW 110101377A TW I771870 B TWI771870 B TW I771870B
Authority
TW
Taiwan
Prior art keywords
redistribution
layer
die
substrate
interconnect
Prior art date
Application number
TW110101377A
Other languages
English (en)
Other versions
TW202209618A (zh
Inventor
吳俊毅
余振華
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/020,130 external-priority patent/US11355463B2/en
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202209618A publication Critical patent/TW202209618A/zh
Application granted granted Critical
Publication of TWI771870B publication Critical patent/TWI771870B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5385Assembly of a plurality of insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/20Structure, shape, material or disposition of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/561Batch processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3121Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation
    • H01L23/3128Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation the substrate having spherical bumps for external connection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49838Geometry or layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/19Manufacturing methods of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L24/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0652Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next and on each other, i.e. mixed assemblies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/16Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different main groups of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. forming hybrid circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/18Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different subgroups of the same main group of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K1/00Printed circuits
    • H05K1/18Printed circuits structurally associated with non-printed electric components
    • H05K1/181Printed circuits structurally associated with non-printed electric components associated with surface mounted components
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K1/00Printed circuits
    • H05K1/18Printed circuits structurally associated with non-printed electric components
    • H05K1/182Printed circuits structurally associated with non-printed electric components associated with components mounted in the printed circuit board, e.g. insert mounted components [IMC]
    • H05K1/185Components encapsulated in the insulating substrate of the printed circuit or incorporated in internal layers of a multilayer circuit
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K1/00Printed circuits
    • H05K1/18Printed circuits structurally associated with non-printed electric components
    • H05K1/182Printed circuits structurally associated with non-printed electric components associated with components mounted in the printed circuit board, e.g. insert mounted components [IMC]
    • H05K1/185Components encapsulated in the insulating substrate of the printed circuit or incorporated in internal layers of a multilayer circuit
    • H05K1/186Components encapsulated in the insulating substrate of the printed circuit or incorporated in internal layers of a multilayer circuit manufactured by mounting on or connecting to patterned circuits before or during embedding
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/46Manufacturing multilayer circuits
    • H05K3/4644Manufacturing multilayer circuits by building the multilayer layer by layer, i.e. build-up multilayer circuits
    • H05K3/4647Manufacturing multilayer circuits by building the multilayer layer by layer, i.e. build-up multilayer circuits by applying an insulating layer around previously made via studs
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/46Manufacturing multilayer circuits
    • H05K3/4688Composite multilayer circuits, i.e. comprising insulating layers having different properties
    • H05K3/4694Partitioned multilayer circuits having adjacent regions with different properties, e.g. by adding or inserting locally circuit layers having a higher circuit density
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68345Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during the manufacture of self supporting substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13025Disposition the bump connector being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L2224/20Structure, shape, material or disposition of high density interconnect preforms
    • H01L2224/21Structure, shape, material or disposition of high density interconnect preforms of an individual HDI interconnect
    • H01L2224/2101Structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L2224/20Structure, shape, material or disposition of high density interconnect preforms
    • H01L2224/21Structure, shape, material or disposition of high density interconnect preforms of an individual HDI interconnect
    • H01L2224/211Disposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L2224/20Structure, shape, material or disposition of high density interconnect preforms
    • H01L2224/21Structure, shape, material or disposition of high density interconnect preforms of an individual HDI interconnect
    • H01L2224/214Connecting portions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8119Arrangement of the bump connectors prior to mounting
    • H01L2224/81192Arrangement of the bump connectors prior to mounting wherein the bump connectors are disposed only on another item or body to be connected to the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/921Connecting a surface with connectors of different types
    • H01L2224/9212Sequential connecting processes
    • H01L2224/92122Sequential connecting processes the first connecting process involving a bump connector
    • H01L2224/92125Sequential connecting processes the first connecting process involving a bump connector the second connecting process involving a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/922Connecting different surfaces of the semiconductor or solid-state body with connectors of different types
    • H01L2224/9222Sequential connecting processes
    • H01L2224/92222Sequential connecting processes the first connecting process involving a bump connector
    • H01L2224/92224Sequential connecting processes the first connecting process involving a bump connector the second connecting process involving a build-up interconnect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/922Connecting different surfaces of the semiconductor or solid-state body with connectors of different types
    • H01L2224/9222Sequential connecting processes
    • H01L2224/92242Sequential connecting processes the first connecting process involving a layer connector
    • H01L2224/92244Sequential connecting processes the first connecting process involving a layer connector the second connecting process involving a build-up interconnect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L2224/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5383Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5384Conductive vias through the substrate with or without pins, e.g. buried coaxial conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/00014Technical content checked by a classifier the subject-matter covered by the group, the symbol of which is combined with the symbol of this group, being disclosed without further technical details
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2201/00Indexing scheme relating to printed circuits covered by H05K1/00
    • H05K2201/01Dielectrics
    • H05K2201/0183Dielectric layers
    • H05K2201/0187Dielectric layers with regions of different dielectrics in the same layer, e.g. in a printed capacitor for locally changing the dielectric properties
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2201/00Indexing scheme relating to printed circuits covered by H05K1/00
    • H05K2201/10Details of components or other objects attached to or integrated in a printed circuit board
    • H05K2201/10431Details of mounted components
    • H05K2201/10507Involving several components
    • H05K2201/10522Adjacent components
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2201/00Indexing scheme relating to printed circuits covered by H05K1/00
    • H05K2201/10Details of components or other objects attached to or integrated in a printed circuit board
    • H05K2201/10613Details of electrical connections of non-printed components, e.g. special leads
    • H05K2201/10621Components characterised by their electrical contacts
    • H05K2201/10674Flip chip
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Production Of Multi-Layered Print Wiring Board (AREA)
  • Structures Or Materials For Encapsulating Or Coating Semiconductor Devices Or Solid State Devices (AREA)

Abstract

一種半導體封裝包括:核心基板;所耦接的重佈線結構,重佈線結構包括多個重佈線層及局部內連線組件,多個重佈線層包括介電層及金屬化層,局部內連線組件嵌入於多個重佈線層中的第一重佈線層中,局部內連線組件包括導電連接件,導電連接件接合至第一重佈線層的金屬化圖案,第一重佈線層的介電層包封局部內連線組件;第一積體電路晶粒,耦接至重佈線結構;第二積體電路晶粒,耦接至重佈線結構,局部內連線組件的內連線結構將第一積體電路晶粒電性耦接至第二積體電路晶粒;以及一組導電連接件,耦接至核心基板。

Description

半導體封裝及其形成方法
本發明實施例是有關於一種半導體封裝及其製造方法,且特別是有關於一種具有局部內連線組件的半導體封裝及其製造方法。
半導體產業歸因於進行中的多種電子組件(例如,電晶體、二極體、電阻器、電容器等)的積體密度(integration density)的改良而經歷快速發展。主要地,積體密度的改良源自於最小特徵尺寸的迭代減小,其允許更多組件整合至給定區域中。隨著對於縮小的電子元件的需求增長,已出現對於更小且更具創造性的半導體晶粒的封裝技術的需求。此類封裝系統的實例為疊層封裝(Package-on-Package;PoP)技術。在PoP元件中,頂部半導體封裝堆疊於底部半導體封裝的頂部上,以提供高積體密度以及高組件密度。PoP技術通常能夠在印刷電路板(printed circuit board;PCB)上使半導體元件的生產具有增強的功能性以及小的佔據面積。
一種半導體封裝包括核心基板、重佈線結構、第一積體電路晶粒、第二積體電路晶粒以及一組導電連接件。所述重佈線結構耦接至所述核心基板的第一側且包括多個重佈線層、局部內連線組件以及第一底部填充物。所述多個重佈線層中的每一者包括介電層及金屬化層。所述局部內連線組件嵌入於所述多個重佈線層中的第一重佈線層中,且所述局部內連線組件包括基板、所述基板上的內連線結構以及導電連接件。所述導電連接件藉由第一焊料連接件接合至所述第一重佈線層的金屬化層。所述第一重佈線層的所述金屬化層包括第一導電線及第一導通孔。所述第一底部填充物位於所述第一重佈線層中。所述第一底部填充物包圍所述第一焊料連接件。所述第一積體電路晶粒耦接至所述重佈線結構。所述重佈線結構插入於所述核心基板與所述第一積體電路晶粒之間。所述第二積體電路晶粒耦接至所述重佈線結構。所述重佈線結構插入於所述核心基板與所述第二積體電路晶粒之間。所述局部內連線組件的所述內連線結構將所述第一積體電路晶粒電性耦接至所述第二積體電路晶粒。所述一組導電連接件耦接至所述核心基板的第二側。
一種形成半導體封裝的方法至少包括以下步驟。在第一載板基底上形成第一重佈線結構。形成所述第一重佈線結構至少包括以下步驟。在所述第一載板基底上形成第一組導電線。形成在所述第一組導電線上且電性耦接至所述第一組導電線的第一組導通孔。藉由第一焊料區將互連晶粒接合至所述第一組導電線,所述互連晶粒包括基板及所述基板上的內連線結構,所述內連線結構接合至所述第一焊料區,所述互連晶粒在所述第一組導通孔中的 兩者之間。在所述第一組導電線、所述第一組導通孔以及所述互連晶粒上形成第一介電層,且所述第一介電層、所述第一組導通孔、所述第一組導電線以及所述互連晶粒形成第一重佈線層。在所述第一重佈線層上形成第二重佈線層,所述第二重佈線層包括第二介電層、第二組導通孔以及第二組導電線,所述第二組導電線中的至少一者電性耦接至所述第一組導通孔中的至少一者。移除所述第一載板基底。將核心基板電性連接至所述第一重佈線結構的第一側,所述第二重佈線層比所述第一重佈線層更接近所述第一重佈線層的所述第一側。將第一積體電路晶粒及第二積體電路晶粒接合至所述第一重佈線結構的第二側,所述第二側與所述第一側相對,所述第一積體電路晶粒及所述第二積體電路晶粒電性耦接至所述互連晶粒。
一種半導體封裝包括第一重佈線結構、核心基板以及積體電路晶粒封裝。所述第一重佈線結構包括多個重佈線層。所述多個重佈線層中的每一者包括金屬化圖案及介電層。所述多個重佈線層中的第一重佈線層包括第一介電層、第一金屬化圖案、互連晶粒以及第一底部填充物。所述互連晶粒包括基板、所述基板上的內連線結構以及所述內連線結構上的晶粒連接件。所述晶粒連接件藉由第一焊料凸塊接合至所述第一重佈線層的所述第一金屬化圖案。所述第一金屬化圖案包括第一導電線及第一導通孔。所述第一介電層包封所述互連晶粒。所述第一底部填充物位於所述互連晶粒與所述第一金屬化圖案之間。所述第一底部填充物包圍所述第一焊料凸塊。所述核心基板使用第一組導電連接件耦接至所述第一重佈線結構的第一側。所述第一重佈線結構的寬度小於所述核 心基板的寬度。所述積體電路晶粒封裝使用第二組導電連接件耦接至所述第一重佈線結構的第二側。所述第二側與所述第一側相對。
90、92、94、95、150、154、158、162:重佈線層
100:單體化封裝組件
101A、101B、101C、101D、101E、101F、101G、101H、101I:封裝區
102、180:載板基底
104、182:釋放層
106、112、118、144、148、171、320:導通孔
108、114、134、140、142、143、149、172:介電層
110、116、146、174:導電線
120:局部內連線組件
122:基板
123:基板穿孔
124:元件
126:層間介電質
128:導電插塞
130:內連線結構
131:接墊
132:鈍化膜
133:晶粒連接件
135、186:凸塊下金屬化物
136、188、365:導電連接件
137、370、610:底部填充物
190:框架
200、340、340A、340B:重佈線結構
300:核心基板
310:核心
320A:導電材料
320B:填充材料
330A、330B:凸塊下金屬
350A、350B:阻焊漆
402:線
500:積體電路封裝
510、512:邏輯晶粒
514:記憶體晶粒
516:輸入/輸出晶粒
518:接合墊
620:外部連接件
結合附圖閱讀以下詳細描述會最佳地理解本揭露的各態樣。應注意,根據業界中的標準慣例,各種特徵未按比例繪製。事實上,出於論述的清楚起見,可任意增大或減小各種特徵的尺寸。
圖1示出根據一些實施例的封裝組件的剖面圖。
圖2A及圖2B示出根據一些實施例的圖1的剖面圖的一部分的詳細視圖。
圖3示出根據一些實施例的封裝組件的平面圖。
圖4至圖16以及圖19至圖23示出根據一些實施例的用於形成封裝組件的製程期間的中間步驟的剖面圖。
圖17示出根據一些實施例的晶圓基板上的封裝區的佈局的平面圖。
圖18示出根據一些實施例的面板基板上的封裝區的佈局的平面圖。
以下揭露提供用於實施本發明的不同特徵的許多不同實施例或實例。下文描述組件及佈置的具體實例以簡化本揭露。當然,這些組件及佈置僅為實例且並不意欲為限制性的。舉例而言,在以下描述中,第一特徵在第二特徵上方或上的形成可包含第一 特徵及第二特徵直接接觸地形成的實施例,且亦可包含額外特徵可在第一特徵與第二特徵之間形成以使得第一特徵與第二特徵可不直接接觸的實施例。另外,本揭露可在各種實例中重複附圖標號及/或字母。此重複是出於簡化及清楚的目的,且本身並不指示所論述的各種實施例及/或配置之間的關係。
此外,為易於描述,本文中可使用諸如「在...之下」、「在...下方」、「下部」、「在...之上」、「上部」以及其類似者的空間相對術語,以描述如諸圖中所說明的一個部件或特徵與另一(一些)部件或特徵的關係。除諸圖中所描繪的定向以外,空間相對術語意欲涵蓋元件在使用或操作中的不同定向。設備可以其他方式定向(旋轉90度或處於其他定向),且本文中所使用的空間相對描述詞可同樣相應地進行解譯。
本文中所論述的實施例可在具體內容背景中論述,亦即封裝組件具有一個或多個積體電路晶粒。在一些實施例中,封裝組件為系統積體基板(system-on-integrated-substrate;SoIS)封裝。封裝組件包括嵌入於重佈線結構中的局部內連線組件(local interconnect component)。嵌入式局部內連線組件在積體電路晶粒之間提供電性連接。嵌入式局部內連線組件增加積體電路晶粒之間的通信頻寬,同時維持低接觸電阻及高可靠性。在一些實施例中,諸如積體電壓調節器、積體被動元件、靜態隨機存取記憶體、其類似者或其組合的其他組件亦可以與嵌入式局部內連線組件類似的方式嵌入。本文中所揭露的實施例可應用於各種系統,諸如高效能計算(high performance computing;HPC)、邊緣計算、雲端計算、資料中心、網路連接以及人工智慧。
重佈線結構連接至積體電路晶粒且在積體電路晶粒與核心基板之間及/或積體電路晶粒之間提供電性連接。核心基板另外連接至一組外部導電特徵。以此方式,積體電路晶粒經由核心基板及重佈線結構電性連接至核心基板,且最終電性連接至外部導電特徵。
根據一些實施例,重佈線結構、嵌入式局部內連線組件、核心基板以及積體電路晶粒可在組裝完成的封裝組件之前個別地進行製造及測試。此進一步增加組件及板階(board level)可靠性。
歸因於藉由局部內連線組件提供的積體電路晶粒之間的增加的通信頻寬,在積體電路晶粒與重佈線結構之間不需要中介板(interposer)。藉由移除對中介板的需求,積體電路封裝(包含積體電路晶粒)與核心基板封裝(包含核心基板及重佈線結構)之間的翹曲失配(warpage mismatch)能減少,此是由於這兩種封裝結構之間的熱膨脹係數(coefficient of thermal expansion;CTE)失配減少。
根據一些實施例,用於將核心基板連接至重佈線結構的導電連接件可採取例如球柵陣列(ball grid array;BGA)的形式。此類導電連接件的使用可在放置半導體元件(諸如積體被動元件(integrated passive device;IPD)晶片、積體電壓調節器(integrated voltage regulator;IVR)、主動晶片以及其他電性組件)時提供彈性以實施系統晶片(system-on-a-chip)類型的封裝組件,從而降低製造複雜度。此類實施例亦同樣可為各種其他封裝配置提供大量彈性。
圖1示出根據一些實施例的單體化封裝組件100的剖面 圖。圖2A及圖2B示出根據一些實施例的圖1的剖面圖的一部分的詳細視圖。單體化封裝組件100包括半導體元件(例如,積體電路封裝500)、具有一個或多個重佈線層的重佈線結構200、核心基板300、外部連接件620以及其他部件。積體電路封裝500可包括一個或多個晶粒,諸如邏輯晶粒(例如,中央處理單元(central processing unit;CPU)、圖形處理單元(graphics processing unit;GPU)、系統晶片(system-on-a-chip;SoC)、應用程式處理器(application processor;AP)、微控制器等)、記憶體晶粒(例如,動態隨機存取記憶體(dynamic random access memory;DRAM)晶粒、靜態隨機存取記憶體(static random access memory;SRAM)晶粒等)、功率管理晶粒(例如,功率管理積體電路(power management integrated circuit;PMIC)晶粒)、射頻(radio frequency;RF)晶粒、感測器晶粒、微機電系統(micro-electro-mechanical-system;MEMS)晶粒、訊號處理晶粒(例如,數位訊號處理(digital signal processing;DSP)晶粒)、前端晶粒(例如,類比前端(analog front-end;AFE)晶粒)、其類似者或其組合。在一些實施例中,半導體元件可為積體電路晶粒。
積體電路封裝500可包括多個積體電路晶粒。如圖所繪示,出於說明性目的,積體電路封裝500包括一個或多個邏輯晶粒512、一個或多個記憶體晶粒514以及一個或多個輸入/輸出(input/output;I/O)晶粒516(圖1中未繪示,但可參見圖3)。積體電路晶粒可形成於一個或多個晶圓中,所述一個或多個晶圓可包含在後續步驟中單體化的不同元件區。積體電路晶粒可使用已知製造技術與其他類似或不同的積體電路晶粒一起封裝。在一些 實施例中,使用如下文參考圖7所描述的類似製程及技術形成積體電路晶粒512(邏輯晶粒512)及積體電路晶粒514(記憶體晶粒514)。
在一些實施例中,積體電路晶粒512及積體電路晶粒514中的一個或多個可為包括多個半導體基板的堆疊元件。舉例而言,記憶體晶粒514可為記憶體元件,諸如混合記憶體立方體(hybrid memory cube;HMC)模組、高頻寬記憶體(high bandwidth memory;HBM)模組,或包含多個記憶體晶粒的類似者。在此類實施例中,記憶體晶粒514包括藉由基板穿孔(through-substrate vias;TSV)互連的多個半導體基板。半導體基板中的每一者可(或可不)具有內連線結構。
積體電路晶粒512及積體電路晶粒514具有接合至導電連接件188的接合墊518。在一些實施例中,接合墊518由導電材料製成且可與下文所描述的導電線(參見例如導電線110)類似。
導電連接件188在重佈線結構200與積體電路封裝500之間提供電性連接。可包括底部填充物610以將積體電路封裝500牢固地接合至重佈線結構200且提供結構支撐及環境保護。
如下文更詳細地論述,重佈線結構200藉助於導電連接件365在積體電路封裝500與核心基板300之間提供電路徑(pathing)及連接。在一些實施例中,重佈線結構200具有一個或多個重佈線層,所述一個或多個重佈線層包括金屬化圖案(所述金屬化圖案包括例如導電線110及導電線116以及導通孔106及導通孔112)以及使導電線110及導電線116的鄰近層分開的介電層108及介電層114。
如下文更詳細地論述,重佈線結構200包括一個或多個局部內連線組件120。局部內連線組件120在積體電路封裝500的積體電路晶粒512與積體電路晶粒514之間提供電佈線及連接,且可稱為互連晶粒120。局部內連線組件120增加積體電路晶粒512與積體電路晶粒514之間的通信頻寬,同時維持低接觸電阻及高可靠性。如圖1、圖2A及圖2B中所示出,局部內連線組件120藉由導電連接件136連接至重佈線結構200的金屬化圖案116。在一些實施例中,導電連接件136為焊料連接件136。局部內連線組件120嵌入於重佈線結構200內且底部填充物137形成為包圍導電連接件136及在局部內連線組件120與介電層114之間。在一些實施例中,局部內連線組件120可括基板穿孔123,所述基板穿孔123延伸穿過局部內連線組件120以作為與局部內連線組件120的背側鄰近的金屬化圖案的連接件。
歸因於藉由局部內連線組件提供的積體電路晶粒之間的增加的通信頻寬,在積體電路晶粒與重佈線結構之間不需要中介板。藉由移除對中介板的需求,積體電路封裝(包含積體電路晶粒)與核心基板封裝(包含核心基板及重佈線結構)之間的翹曲失配能減少,此是由於這兩種封裝結構之間的熱膨脹係數(CTE)失配減少。
在圖2A的實施例中,介電層142延伸橫越單體化封裝組件100,而在圖2B中,介電層142僅在局部內連線組件120上。另外,在圖2B中,介電層143橫向包圍介電層142。以下將以圖11A及圖11B論述介電層142及介電層143的細節。
重佈線結構200可電性地及機械地附接至核心基板300。 核心基板300可包括中央核心310、延伸穿過中央核心310的導通孔320及額外視情況選用且在中央核心310相對側延伸的重佈線結構340。大體而言,核心基板300為封裝組件100提供結構支撐,以及在積體電路封裝500與外部連接件620之間提供電訊號佈線。
圖3示出根據一些實施例的封裝組件的平面圖。圖3中所示出的實施例包含兩個邏輯晶粒510及512、四個記憶體晶粒514、兩個I/O晶粒516以及七個局部內連線組件120。在此實施例中,記憶體晶粒514及I/O晶粒516中的每一者藉由相應局部內連線組件120連接至邏輯晶粒510及512中的至少一者。另外,兩個邏輯晶粒510及512藉由局部內連線組件120連接在一起。其他實施例可包含更多或更少邏輯晶粒510及512、記憶體晶粒514、I/O晶粒516以及局部內連線組件120。在一些實施例中,積體電路晶粒中的每一者藉由局部內連線組件連接至每一鄰近積體電路晶粒。
圖4至圖16示出根據一些實施例的製造重佈線結構200(參見圖16)的各種中間階段。圖中示出第一封裝區101A及第二封裝區101B,其中每一封裝區最終與其他封裝區單體化。為了易於說明,已在圖4至圖16中簡化個別特徵的繪示。
首先參考圖4,提供載板基底102,在載板基底102上形成釋放層104,且在釋放層104上方形成導通孔106。載板基底102可為玻璃載板基底、陶瓷載板基底或其類似者。載板基底102可為晶圓,以使得多個重佈線結構可同時形成於載板基底102上。
釋放層104可由聚合物類材料形成,其可連同載板基底 102一起自將在後續步驟中形成的上覆結構移除。在一些實施例中,釋放層104為在加熱時損失其黏著特性的環氧類熱釋放材料,諸如光-熱轉換(light-to-heat-conversion;LTHC)釋放塗層。在其他實施例中,釋放層104可為在暴露於UV光時損失其黏著特性的紫外線(ultra-violet;UV)膠。釋放層104可配製為液體且被固化,可為層壓(laminated)至載板基底102上的層壓膜(laminated film)或可為其類似者。釋放層104的頂部表面可為齊平的且在製程變化內可為實質上平坦的。
在圖4中,導通孔106形成於釋放層104上。導通孔106可隨後藉由載板剝離製程暴露且用於提供重佈線結構200的連接。導通孔106形成用於重佈線層90的金屬化圖案。作為用以形成導通孔106的實例,在釋放層104上方形成晶種層(未繪示)。在一些實施例中,晶種層為金屬層,所述金屬層可為單層或包括由不同材料形成的多個子層的複合層。舉例而言,晶種層可為鈦層及在鈦層上方的銅層。可使用例如物理氣相沈積(physical vapor deposition;PVD)或其類似者形成晶種層。接著在晶種層上形成光阻且使所述光阻圖案化。光阻可藉由旋塗或其類似者形成,且可暴露於光以用於圖案化。圖案化形成貫穿光阻的開口以暴露出晶種層,其中光阻中的開口對應於導通孔106。導電材料接著形成於光阻的開口中及晶種層的暴露部分上。導電材料可藉由鍍覆(諸如電鍍或無電式鍍覆,或其類似者)形成。導電材料可包括金屬,如銅、鈦、鎢、鋁或其類似者。導電材料及晶種層的下伏部分的組合形成導通孔106。移除光阻及晶種層的其上未形成導電材料的部分。可藉由可接受的灰化製程或剝離製程(諸如使用氧電漿或其類 似者)來移除光阻。一旦移除光阻,則諸如藉由使用可接受的蝕刻製程(諸如藉由濕式蝕刻或乾式蝕刻)來移除晶種層的暴露部分。
在圖5中,根據一些實施例,在導通孔106上及周圍形成介電層108,且在介電層108及導通孔106上形成導電線110。在形成之後,介電層108包圍導通孔106。介電層108可提供電性隔離及環境保護。介電層108及包含導通孔106的金屬化圖案形成重佈線層90。介電層108可為:聚合物,諸如聚苯并噁唑(polybenzoxazole;PBO)、聚醯亞胺、苯并環丁烯(benzocyclobutene;BCB)或其類似者;氮化物,諸如氮化矽或其類似者;氧化物,諸如氧化矽、磷矽酸鹽玻璃(phosphosilicate glass;PSG)、硼矽酸鹽玻璃(borosilicate glass;BSG)、硼摻磷矽酸鹽玻璃(boron-doped phosphosilicate glass;BPSG)或其類似者;其類似者;或其組合。介電層108可例如藉由旋塗、層壓、化學氣相沈積(chemical vapor deposition;CVD)或其類似者形成。介電層108可具有在製程變化內實質上齊平的上部表面。在一些實施例中,介電層形成為具有在2微米至50微米的範圍內的厚度。
在形成介電層108之後,在介電層108及導通孔106上形成導電線110。作為用以形成導電線110的實例,在介電層108及導通孔106上形成晶種層(未繪示)。在一些實施例中,晶種層為金屬層,所述金屬層可為單層或包括由不同材料形成的多個子層的複合層。舉例而言,晶種層可為鈦層及在鈦層上方的銅層。可使用例如PVD或其類似者來形成晶種層。接著在晶種層上形成光阻且使所述光阻圖案化。光阻可藉由旋塗或其類似者形成,且可暴露於光以用於圖案化。圖案化形成貫穿光阻的開口以暴露出晶種 層,其中光阻中的開口對應於導電線110。導電材料接著形成於光阻的開口中及晶種層的暴露部分上。導電材料可藉由鍍覆(諸如電鍍或無電式鍍覆,或其類似者)形成。導電材料可包括金屬,如銅、鈦、鎢、鋁或其類似者。導電材料及晶種層的下伏部分的組合形成導電線110。移除光阻及晶種層的其上未形成導電材料的部分。可藉由可接受的灰化製程或剝離製程(諸如使用氧電漿或其類似者)來移除光阻。一旦移除光阻,則諸如藉由使用可接受的蝕刻製程(諸如藉由濕式蝕刻或乾式蝕刻)來移除晶種層的暴露部分。
在圖6中,根據一些實施例,在導電線110上形成導通孔112,且在導通孔112及導電線110上及周圍形成介電層114。導電線110及導通孔112一起形成用於重佈線層92的金屬化圖案。導通孔112可與上文所描述的導通孔106類似,且在此不再贅述。介電層114可與上文所描述的介電層108類似,且在此不再贅述。介電層114及包含導通孔112及導電線110的金屬化圖案形成重佈線層92。在一些實施例中,導通孔106及導通孔112具有在2微米至50微米的範圍內的寬度。
進一步在圖6中,形成導電線116及導通孔118。導電線116形成於導通孔112上且連接至導通孔112,且導通孔118形成於導電線116上且連接至導電線116。導電線116及導通孔118一起形成用於重佈線層94的金屬化圖案。導電線116及導通孔118可與上文所描述的導電線110及導通孔106類似,且在此不再贅述。在一些實施例中,由於導通孔118充當鄰近隨後附接的局部內連線組件120的介電穿孔,因此與導通孔106及導通孔112相比,導通孔118具有更大高度。在一些實施例中,導通孔118具有 在5微米至100微米的範圍內的寬度。
圖7示出根據一些實施例的局部內連線組件120的剖面圖。局部內連線組件120將在重佈線結構200的後續處理中嵌入。
局部內連線組件120可形成於晶圓中,所述晶圓可包含在後續步驟中經單體化以形成多個局部內連線組件的不同元件區。可根據可應用製造製程處理局部內連線組件120以形成晶粒。舉例而言,局部內連線組件120包括基板122,諸如經摻雜或未經摻雜的矽,或絕緣層上半導體(semiconductor-on-insulator;SOI)基板的主動層。基板122可包含其他半導體材料,諸如鍺;化合物半導體,包含碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦;合金半導體,包含SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP及/或GaInAsP;或其組合。在一些實施例中,基板122可由陶瓷材料、聚合物膜、磁性材料、其類似者或其組合構成。亦可使用其他基板,諸如多層基板或梯度基板。基板122具有有時稱為前側的主動表面(例如,圖7中面向上方的表面)及有時稱為背側的非主動表面(例如,圖7中面向下方的表面)。
在一些實施例中,局部內連線組件120包含主動元件或被動元件。在一些實施例中,局部內連線組件120可不含主動元件或被動元件,且可僅用於電性訊號的佈線。在包含主動元件或被動元件的實施例中,元件(藉由電晶體表示)124可在基板122的前表面處形成。元件124可為主動元件(例如,電晶體、二極體等)、電容器、電阻器、電感器等。層間介電質(inter-layer dielectric;ILD)126在基板122的前表面上。ILD 126包圍且可覆蓋元件124。ILD 126可包括由諸如磷矽酸鹽玻璃(PSG)、硼矽酸鹽玻璃(BSG)、 硼摻磷矽酸鹽玻璃(BPSG)、未摻雜矽酸鹽玻璃(undoped Silicate Glass;USG)或其類似者的材料形成的一個或多個介電層。
導電插塞128延伸穿過ILD 126以電性耦接及物理耦接元件124。舉例而言,在元件124為電晶體時,導電插塞128可耦接電晶體的閘極及源極/汲極區。導電插塞128可由鎢、鈷、鎳、銅、銀、金、鋁、其類似者或其組合形成。內連線結構130在ILD 126及導電插塞128上。內連線結構130互連元件124及/或在晶粒連接件133之間提供電性佈線及連接。可藉由例如金屬鑲嵌製程在ILD 126上的介電層中形成金屬化圖案,以形成內連線結構130。所述金屬化圖案包括形成於一個或多個低介電常數(low-k)介電層中的金屬線及通孔。在包含元件124的實施例中,內連線結構130的金屬化圖案藉由導電插塞128電性耦接至元件124。儘管內連線結構130僅示出為具有兩層的導通孔及兩層的導電線,但在一些實施例中,視需要可包含導通孔及導電線的更多或更少層。舉例而言,由於局部內連線組件120用於在積體電路封裝500的晶粒之間的電性連接,因此局部內連線組件120的內連線結構130將通常具有更多內連線層以符合此種電性連接。
在一些實施例中,局部內連線組件120包括延伸穿過基板122及/或內連線結構130的穿孔123,以提供將此局部內連線組件120電性耦接至鄰近元件(例如,在此局部內連線組件120上方及下方的元件)的能力。在一些實施例中,穿孔123僅穿過基板122形成,且耦接至內連線結構130,且可利用內連線結構130及接墊131的導電特徵以耦接至其他元件。在一些實施例中,穿孔123穿過基板122及內連線結構130形成,且可利用接墊131以耦 接至其他元件。可藉由圖案化基板122及/或內連線結構130形成孔且在孔中形成導電材料來形成穿孔123。可藉由如上文及下文針對內連線結構200中的導電特徵所描述的類似製程形成導電材料,且在此不再贅述。
局部內連線組件120更包括進行外部連接的接墊131,諸如鋁墊。接墊131在局部內連線組件120的主動側上,諸如在內連線結構130中及/或上。一個或多個鈍化膜132在局部內連線組件120上,諸如在內連線結構130及接墊131的部分上。開口穿過鈍化膜132延伸至接墊131。諸如導電柱(例如,由諸如銅的金屬形成)的晶粒連接件133延伸穿過鈍化膜132中的開口,且物理耦接且電性耦接至相應的接墊131。晶粒連接件133可藉由例如鍍覆或其類似者而形成。晶粒連接件133電性耦接局部內連線組件120的相應積體電路。
焊料區(例如焊料球或焊料凸塊)可安置於接墊131上。焊料球可用於對局部內連線組件120執行晶片探針(chip probe;CP)測試。可對局部內連線組件120執行CP測試以確定局部內連線組件120是否為良裸晶粒(known good die;KGD)。因此,僅在後續處理封裝為KGD的局部內連線組件120,而不封裝未通過CP測試的晶粒。在測試之後,可在後續處理步驟中移除這些焊料區。
介電層134可(或可不)在局部內連線組件120的主動側上,諸如在鈍化膜132及晶粒連接件133上。介電層134橫向包封晶粒連接件133,且介電層134與局部內連線組件120橫向相連(laterally coterminous)。最初,介電層134可掩埋晶粒連接件 133,以使得介電層134的最頂部表面在晶粒連接件133的最頂部表面上方。在焊料區配置於晶粒連接件133上的一些實施例中,介電層134亦可掩埋焊料區。
介電層134可為聚合物,諸如PBO、聚醯亞胺、BCB或其類似者;氮化物,諸如氮化矽或其類似者;氧化物,諸如氧化矽、PSG、BSG、BPSG或其類似者;其類似者;或其組合。介電層134可例如藉由旋塗、層壓、化學氣相沈積(CVD)或其類似者形成。在一些實施例中,在形成局部內連線組件120期間,經由介電層134暴露出晶粒連接件133。暴露出晶粒連接件133可移除可存在於晶粒連接件133上的任何焊料區。在一些實施例中,晶粒連接件133具有在20微米至80微米的範圍內的節距(pitch)。
在圖8中,局部內連線組件120接合至重佈線結構200的導電線116。在一些實施例中,局部內連線組件120藉由焊料區(例如,焊料球或焊料凸塊)136接合。在一些實施例中,凸塊下金屬化物(under-bump metallization;UBM)135(有時稱為接墊或支柱135)形成於晶粒連接件133及介電層134上,以用於外部連接至晶粒連接件133。因此,UBM 135電性耦接至晶粒連接件133。UBM 135可由與晶粒連接件133(導通孔)相同的材料形成。
進一步在圖8中,焊料區136(有時稱為導電連接件136)形成於UBM 135上。焊料區136允許局部內連線組件120與導電線116之間的物理連接及電性連接。焊料區136可為球柵陣列(BGA)連接件、焊料球、受控塌陷晶片連接(controlled collapse chip connection;C4)凸塊、微凸塊、化學鍍鎳鈀浸金技術(electroless nickel-electroless palladium-immersion gold technique; ENEPIG)形成的凸塊或其類似者。焊料區136可包含導電材料,諸如焊料、銅、鋁、金、鎳、銀、鈀、錫、其類似者或其組合。在一些實施例中,焊料區136最初經由蒸發、電鍍、列印、焊料轉移、植球或其類似者形成焊料層而形成。一旦焊料層已形成於結構上,則可執行回焊以將材料塑形成所要凸塊形狀。
局部內連線組件120可經由焊料區136附接至導電線116。附接局部內連線組件120可包括將局部內連線組件120放置於導電線116上及回焊焊料區136,以物理耦接且電性耦接局部內連線組件120及導電線116。
在接合局部內連線組件120之後,在一些實施例中,接墊135具有在20微米至80微米的範圍內的節距。另外,在一些實施例中,接墊135連同接合的焊料區136的厚度在2微米至30微米的範圍內。
在圖9中,在局部內連線組件120與重佈線層92之間形成包圍局部內連線組件120的底部填充物137。底部填充物137可減小應力且保護由導電連接件136的回焊產生的接合部。底部填充物137可在附接局部內連線組件120之後藉由毛細流動製程(capillary flow process)形成,或可藉由適合的沈積方法形成。
在圖10中,根據一些實施例,在導通孔118及局部內連線組件120上及周圍形成介電層140。介電層140包封局部內連線組件120及導通孔118。介電層140、局部內連線組件120以及包含導通孔118及導電線116的金屬化圖案形成重佈線層94。介電層140(及重佈線層154、重佈線層158以及重佈線層162的介電層)可為與介電層108及介電層114不同的材料。
在一些實施例中,介電層140可由預浸體(pre-preg)、味之素累積膜(Ajinomoto Build-up Film;ABF)、經樹脂塗佈銅(resin coated copper;RCC)、模製化合物、聚醯亞胺、光可成像介電質(photo-imageable dielectric;PID)、環氧樹脂或其類似者形成,且可藉由壓縮模製、轉移模製或其類似者塗覆。包封體可以液體或半液體形式塗覆且隨後被固化。在一些實施例中,介電層140形成於介電層114上,以使得導電線110、導通孔118以及局部內連線組件120被掩埋或覆蓋,且接著對介電層140執行平坦化製程以暴露出導通孔118及局部內連線組件120的基板122的背側。在平坦化製程之後,介電層140、導通孔118以及局部內連線組件120的基板122的最頂部表面在製程變化內為實質上齊平的(例如,平坦的)。平坦化製程可為例如化學機械研磨(chemical-mechanical polish;CMP)。在一些實施例中,介電層140可包括其他材料,諸如氧化矽、氮化矽或其類似者。在平坦化製程(若存在)之後,局部內連線組件120的厚度在10微米至100微米的範圍內。在一些實施例中,局部內連線組件120的基板122具有在2微米至30微米的範圍內的厚度。局部內連線組件120的基板122在平面圖中的面積可在2毫米×3毫米至50毫米×80毫米的範圍內。
局部內連線組件120在隨後附接的積體電路晶粒(例如,積體電路晶粒512及積體電路晶粒514)之間提供電性連接。嵌入式局部內連線組件120增加積體電路晶粒之間的通信頻寬,同時維持低接觸電阻及高可靠性。在一些實施例中,諸如積體電壓調節器、積體被動元件、靜態隨機存取記憶體、其類似者或其組合的其他組件亦可以與嵌入式局部內連線組件120類似的方式嵌入。
圖11A及圖11B示出形成介電層142的兩個實施例。在圖11A中,在介電層140、局部內連線組件120以及導通孔118上形成介電層142。在圖11B中,僅在局部內連線組件120上形成介電層142,且在介電層140及導通孔118上形成介電層143。在圖11B中,介電層143至少橫向包圍介電層142。圖11B的實施例可藉由形成如圖11A中所繪示的介電層,且接著藉由例如遮蔽及圖案化步驟移除不在局部內連線組件120上的介電層142的部分來達成。另外,圖11B的實施例可藉由例如在沈積介電層142之前形成且圖案化罩幕來在局部內連線組件120上選擇性地形成介電層142來達成。
進一步在圖11A中,在介電層142中形成導通孔144。導通孔144在局部內連線組件120中的導通孔118及穿孔123上且電性耦接至局部內連線組件120中的導通孔118及穿孔123。介電層142及導通孔144可與上文所描述的介電層108及導通孔106類似,且在此不再贅述。介電層142及導通孔144形成重佈線層95。
進一步在圖11B中,在介電層142及介電層143中形成導通孔144。導通孔144在局部內連線組件120中的導通孔118及穿孔123上且電性耦接至局部內連線組件120中的導通孔118及穿孔123。介電層142及導通孔144可與上文所描述的介電層108及導通孔106類似,且在此不再贅述。介電層142及導通孔144形成重佈線層95。介電層143可與上文所描述的介電層140類似,且在此不再贅述。在一些實施例中,介電層142及介電層143由不同材料形成。在一些實施例中,在介電層142中的導通孔144小 於在介電層143中的導通孔144。舉例而言,在介電層142由聚醯亞胺形成且介電層143由模製化合物形成時,可使得介電層142中的通孔開口的尺寸小於介電層143中的通孔開口的尺寸。
圖12至圖23示出對圖11A的實施例的後續處理,且後續處理亦可適用於圖11B的實施例。
在圖12中,導電線146形成於介電層142及導通孔144上且連接至導通孔118。導電線146可與上文所描述的導電線110類似,且在此不再贅述。
在圖13中,導通孔148形成於導電線146上且自導電線146延伸。導通孔148可與上文所描述的導通孔106類似,且在此不再贅述。導電線146及導通孔148一起形成用於重佈線層150的金屬化圖案。
進一步在圖13中,根據一些實施例,在導電線146及導通孔148上及周圍形成介電層149。在形成之後,介電層149包圍導通孔148及導電線146。介電層149及包含導通孔148及導電線146的金屬化圖案形成重佈線層150。介電層149可與上文所描述的介電層140類似,且在此不再贅述。在一些實施例中,介電層149形成於介電層142上,以使得導電線146及導通孔148被掩埋或覆蓋,且接著對介電層149執行平坦化製程以暴露出導通孔148。介電層149及導通孔148的最頂部表面在平坦化製程之後在製程變化內為實質上齊平的(例如,平坦的)。平坦化製程可為例如CMP。在一些實施例中,介電層149可包括其他材料,諸如氧化矽、氮化矽或其類似者。
在圖14中,重複上文所論述的用以形成重佈線層150的 步驟及製程,以形成額外繪示的重佈線層154、重佈線層158以及重佈線層162。在一些實施例中,上文所描述的用以形成重佈線層150的製程可重複一次或多次,以根據特定設計視需要提供額外佈線層。出於說明性目的,繪示八個重佈線層90、92、94、95、150、154、158以及162。在一些實施例中,可使用多於或少於八個。用於每一重佈線層90、92、94、95、150、154、158以及162的金屬化圖案可具有單獨形成的導電線及導通孔(如圖所繪示),或可各自為具有線及通孔部分的單個圖案。在一些實施例中,重佈線層150、重佈線層154、重佈線層158以及重佈線層162的導通孔具有在2微米至100微米的範圍內的寬度,諸如30微米。
儘管重佈線層150、重佈線層154、重佈線層158以及重佈線層162中的導通孔繪示為具有楔形側壁(tapered sidewalls)且重佈線層90、重佈線層92以及重佈線層94中的導通孔繪示為具有非楔形側壁(non-tapered sidewalls),但重佈線層90、重佈線層92、重佈線層94、重佈線層95、重佈線層150、重佈線層154、重佈線層158以及重佈線層162中的每一者的導通孔的側壁可為楔形的、非楔形的或其組合。在一些實施例中,藉由控制形成其中形成導通孔的開口的微影及蝕刻製程,可將導通孔的側壁輪廓控制為楔形的或非楔形的。
在一些實施例中,額外組的導電線174形成於最上部重佈線層(例如,在所說明的實施例中的重佈線層162)的每一導通孔171及部分的介電層172上。此額外組的導電線174提供如下文所論述的用於連接核心基板300的較大尺寸佔據面積。
在包封體及後續CMP製程用於使重佈線層150、重佈線 層154、重佈線層158以及重佈線層162平坦化時,可很好地控制相關聯層的尺寸及粗糙度且更易於累積至較大厚度。在一些實施例中,重佈線層150、重佈線層154、重佈線層158以及重佈線層162的厚度各自在5微米與100微米之間。可分別藉由重複或忽略上文所論述的步驟及製程來形成更多或更少重佈線層。
儘管圖4至圖14示出在包圍導電線及導通孔的介電層之前形成導電線及導通孔的形成製程,但其他形成製程也在本揭露的範疇內。舉例而言,在其他實施例中,首先形成介電層,且接著形成金屬化圖案(其包括線及通孔兩者)。金屬化圖案包括沿著介電層的主表面延伸且延伸穿過介電層以物理耦接且電性耦接至下伏導電層的導電部件。作為用以形成金屬化圖案的實例,穿過介電層在需要通孔的部位中形成開口,且在介電層上及延伸穿過介電層的開口中形成晶種層。接著在晶種層上形成光阻且使所述光阻圖案化。圖案化形成貫穿光阻的開口以暴露出晶種層,其中開口的圖案對應於金屬化圖案。導電材料接著形成於光阻的開口中及晶種層的暴露部分上。導電材料可藉由鍍覆(諸如電鍍或無電式鍍覆,或其類似者)形成。導電材料可包括金屬,如銅、鈦、鎢、鋁或其類似者。導電材料及晶種層的下伏部分的組合形成金屬化圖案。移除光阻及晶種層的其上未形成導電材料的部分。一旦移除光阻,則諸如藉由使用可接受的蝕刻製程(諸如藉由濕式蝕刻或乾式蝕刻)來移除晶種層的暴露部分。介電層及金屬化圖案的組合形成重佈線層。
在圖15中,執行載板基底剝離以將載板基底102與介電層108及導通孔106分離(或「剝離」)。根據一些實施例,剝離 包括使諸如雷射光或UV光的光投射於釋放層104上,以使得釋放層104在光熱下分解且可移除載板基底102。接著將結構翻轉且放置於另一載板基底180及釋放層182上。
必要時可對介電層108及導通孔106(以及任何剩餘的釋放層104)執行平坦化製程,以暴露出導通孔106。介電層108及導通孔106的最頂部表面在平坦化製程之後在製程變化內為實質上齊平的(例如,平坦的)。平坦化製程可為例如化學機械研磨(CMP)、研磨(grinding)製程或其類似者。在一些實施例中,舉例而言,若已暴露出導通孔106,則可忽略平坦化。其他製程可用於達成類似結果。舉例而言,介電層或鈍化層可在剝離製程之後形成於導通孔106上。在此類情況下,介電層或鈍化層可在後續步驟中圖案化以暴露出導通孔106的部分。
在圖16中,形成凸塊下金屬化物(UBM)186(有時稱為接墊186)以用於外部連接至導通孔106。UBM 186具有在介電層108的主表面上且沿著所述主表面延伸的凸塊部分,且可具有延伸至介電層108中以物理耦接且電性耦接導通孔106的通孔部分。因此,UBM 186電性耦接至導電線110及局部內連線組件120。UBM 186可由與導通孔106相同的材料形成。在一些實施例中,UBM 186具有在20微米至80微米的範圍內的節距。
在圖16中,導電連接件188形成於UBM 186上。導電連接件188允許物理連接及電性連接至晶粒或另一封裝結構。導電連接件188可為球柵陣列(BGA)連接件、焊料球、金屬柱、受控塌陷晶片連接(C4)凸塊、微凸塊、化學鍍鎳鈀浸金技術(ENEPIG)形成的凸塊或其類似者。導電連接件188可包含導電 材料,諸如焊料、銅、鋁、金、鎳、銀、鈀、錫、其類似者或其組合。在一些實施例中,導電連接件188最初經由蒸發、電鍍、列印、焊料轉移、植球或其類似者形成焊料層而形成。一旦焊料層已形成於結構上,則可執行回焊以將材料塑形成所要凸塊形狀。在另一實施例中,導電連接件188包括藉由濺鍍、列印、電鍍、無電式鍍覆、CVD或其類似者形成的金屬柱(諸如銅柱)。金屬柱可不含焊料且具有實質上垂直的側壁。在一些實施例中,金屬頂蓋層形成於金屬柱的頂部上。金屬頂蓋層可包含鎳、錫、錫鉛、金、銀、鈀、銦、鎳鈀金、鎳金、其類似者或其組合,且可由鍍覆製程形成。
重佈線結構200可較大且包含多個封裝區,諸如第一封裝區101A及第二封裝區101B。舉例而言,圖17示出具有多個封裝區的圓形晶圓形狀的重佈線結構200。在所繪示的實施例中,晶圓上包含四個封裝區101A、101B、101C以及101D,從而允許在單個晶圓上製造且稍後單體化四個最終封裝組件。在其他實施例中,可在單個晶圓上利用更少或更多封裝區。製程中的後續步驟使用在晶圓形式框架190上的重佈線結構200作為基座,在所述基座上繼續下文更詳細描述的製造製程。如下文更詳細地描述,藉由沿著線402且在封裝區101A、封裝區101B、封裝區101C以及封裝區101D的外部邊緣周圍鋸割來單體化個別封裝區。
圖18示出使用具有多個封裝區的面板形式製造製程來製造重佈線結構200。在所繪示實施例中,晶圓上包含九個封裝區101A至101I,從而允許在單個晶圓或面板上製造九個最終封裝組件。在其他實施例中,可在單個晶圓或面板上利用更少或更多封裝區。製程中的後續步驟使用在面板形式框架190上的重佈線結構 200作為基座,在所述基座上繼續下文更詳細描述的製造製程。如下文更詳細地描述,藉由沿著線402且在封裝區101A至封裝區1011的周界(perimeter)周圍鋸割來單體化個別封裝區。
在圖19中,如圖1中所示的積體電路封裝500可經由導電連接件188附接至重佈線結構200。導電連接件188將積體電路封裝500附接至UBM 186及重佈線結構200。附接積體電路封裝500可包括將積體電路封裝500的積體電路晶粒512及積體電路晶粒514放置在導電連接件188上且回焊導電連接件188以物理耦接且電性耦接積體電路封裝500及重佈線結構200。
在一些實施例中,在積體電路封裝500與重佈線結構200之間形成包圍導電連接件188的底部填充物610。底部填充物610可減小應力且保護由導電連接件188的回焊產生的接合部。底部填充物610可在附接積體電路封裝500之後藉由毛細流動製程形成,或可藉由適合的沈積方法形成。在一些實施例中,單層底部填充物610形成於多個鄰近元件之下,且其他後續底部填充物(未繪示)或包封體(未繪示)可形成於放置於重佈線結構200的頂部上的額外元件之下及/或周圍。
在圖20中,執行載板基底剝離以將載板基底180與導電線174及/或介電層172分離(或「剝離」)。根據一些實施例,剝離包含使諸如雷射光或UV光的光投射於釋放層182上,以使得釋放層182在光熱下分解且可移除載板基底180。
進一步在圖21中,藉由沿著例如在第一封裝區101A與第二封裝區101B之間的切割道區鋸割來執行單體化製程。鋸割使第一封裝區101A自包含第二封裝區101B(如圖所示出)的鄰近 封裝區單體化,以形成多個單體化封裝組件100。
在圖22中,示出核心基板300,且在圖23中,核心基板300接合至重佈線結構200。利用核心基板300具有核心基板300在單獨製程中製造的優勢。另外,由於核心基板300在單獨製程中形成,因此可單獨地測試所述核心基板300,以能夠使用良裸核心基板300。舉例而言,在一些實施例中,可在將核心基板300接合至重佈線結構200之前個別地或批量地測試、驗證及/或校驗核心基板300。
核心基板300可為例如有機基板、陶瓷基板、矽基板或其類似者。導電連接件365用於將核心基板300附接至重佈線結構200。附接核心基板300可包括將核心基板300放置在重佈線結構200上且回焊導電連接件365以物理耦接且電性耦接核心基板300及重佈線結構200。
在附接至重佈線結構200之前,可根據可應用製造製程處理核心基板300以在核心基板300中形成重佈線結構。舉例而言,核心基板300包括核心310。核心310可由玻璃纖維、樹脂、填充劑、預浸體、環氧樹脂、二氧化矽填充劑、味之素累積膜(ABF)、聚醯亞胺、模製化合物、其他材料及/或其組合的一個或多個層形成。在一些實施例中,舉例而言,兩個層為構成核心310的材料。核心310可由有機材料及/或無機材料形成。在一些實施例中,核心310包括嵌入於內部的一個或多個被動組件(未繪示)。核心310可包括其他材料或組件。導通孔320形成為延伸穿過核心310。在一些實施例中,導通孔320包括導電材料320A,諸如銅、銅合金或其他導體,且可包括障壁層(未繪示)、襯裡(未繪 示)、晶種層(未繪出)及/或填充材料320B。導通孔320提供核心310的一側至核心310的另一側的垂直電性連接。舉例而言,導通孔320中的一些耦接在核心310的一側處的導電特徵與核心310的相對側處的導電特徵之間。作為實例,用於導通孔320的孔可使用鑽孔製程、微影製程、雷射製程或其他方法形成,且導通孔320的孔可接著用導電材料填充或鍍覆。在一些實施例中,導通孔320為具有用絕緣材料填充的中心的中空導電穿孔。重佈線結構340A及重佈線結構340B形成於核心310的相對側上。重佈線結構340A及重佈線結構340B藉由導通孔320電性耦接且扇入/扇出(fan-in/fan-out)電性訊號。
重佈線結構340A及重佈線結構340B各自包括由ABF、預浸體或其類似者形成的介電層以及金屬化圖案。每一相應金屬化圖案具有在相應介電層的主表面上且沿著所述主表面延伸的線部分,且具有延伸穿過相應介電層的通孔部分。重佈線結構340A及重佈線結構340B各自分別包括用於外部連接的凸塊下金屬(under-bump metallurgy;UBM)330A及凸塊下金屬330B以及保護重佈線結構340A及重佈線結構340B的特徵的阻焊漆350A及阻焊漆350B。如在圖23中所示出,重佈線結構340A藉由UBM 330A經由導電連接件365附接至重佈線結構200。可在重佈線結構340A及重佈線結構340B中形成比圖22中所繪示的更多或更少的介電層及金屬化圖案。
核心基板300可包含主動元件及被動元件(未繪示),或可不含主動元件、被動元件或兩者。可使用廣泛多種元件,諸如電晶體、電容器、電阻器、電感器、這些的組合以及其類似者。可使 用任何適合的方法來形成元件。
如在圖23中所示出,導電連接件365可用於將核心基板300接合至重佈線結構200。導電連接件365可首先形成於核心基板300或重佈線結構200上,且接著回焊以完成接合。舉例而言,在圖23中所繪示的實施例中,導電連接件365以150微米與1000微米之間的節距形成於底部重佈線結構340A的UBM 330A上。導電連接件365可為球柵陣列(BGA)連接件、焊料球、金屬柱、受控塌陷晶片連接(C4)凸塊、微凸塊、化學鍍鎳鈀浸金技術(ENEPIG)形成的凸塊或其類似者。導電連接件365可包含導電材料,諸如焊料、銅、鋁、金、鎳、銀、鈀、錫、其類似者或其組合。在一些實施例中,導電連接件365最初經由蒸發、電鍍、列印、焊料轉移、植球或其類似者形成焊料層而形成。一旦焊料層已形成於結構上,則可執行回焊以將材料塑形成所要凸塊形狀。在另一實施例中,導電連接件365包括藉由濺鍍、列印、電鍍、無電式鍍覆、CVD或其類似者形成的金屬柱(諸如銅柱)。金屬柱可不含焊料且具有實質上垂直的側壁。在一些實施例中,金屬頂蓋層形成於金屬柱的頂部上。金屬頂蓋層可包含鎳、錫、錫鉛、金、銀、鈀、銦、鎳鈀金、鎳金、其類似者或其組合,且可由鍍覆製程形成。
在圖23中,核心基板300接合至單體化的重佈線結構200。在一些實施例中,可使用取放製程(pick and place process)或另一適合的製程將核心基板300放置於重佈線結構200上,且藉由覆晶接合製程或其他適合的接合製程來接合導電連接件365。在一些實施例中,回焊導電連接件365以藉助於金屬化圖案174將核心基板300附接至重佈線結構200。導電連接件365將核心基 板300電性耦接及/或物理耦接至重佈線結構200。在一些實施例中,導電連接件365具有在20微米至500微米的範圍內的節距。
導電連接件365可具有在其回焊之前在其上形成的環氧樹脂助焊劑(未繪示),其中在核心基板300附接至重佈線結構200之後會剩餘環氧樹脂助焊劑的環氧樹脂部分的至少一些。
在一些實施例中,在重佈線結構200與核心基板300之間形成包圍導電連接件365的底部填充物370。底部填充物370可減小應力且保護由導電連接件365的回焊產生的接合部。底部填充物370可在附接核心基板300之後藉由毛細流動製程形成,或可藉由適合的沈積方法形成。在一些實施例中,形成單層底部填充物370,且其他後續底部填充物(未繪示)或包封體(未繪示)可形成於核心基板300的頂部上。
如圖1中所示,外部連接件620形成於核心基板300的UBM 330B上。外部連接件620可為球柵陣列封裝(BGA)連接件、焊料球、金屬柱、受控塌陷晶片連接(C4)凸塊、微凸塊或其類似者。外部連接件620可包含導電材料,諸如焊料、銅、鋁、金、鎳、銀、鈀、錫、其類似者或其組合。在一些實施例中,外部連接件620最初經由蒸發、電鍍、列印、焊料轉移、植球或其類似者在UBM 330B上形成可回焊材料層來形成。一旦可回焊材料層已形成於UBM 330B上,則可執行回焊以將材料塑形成所要凸塊形狀。
亦可包含其他特徵及製程。舉例而言,可包括測試結構以幫助對3D封裝或3DIC元件的校驗測試。測試結構可包括例如形成於重佈線層中或基板上的測試接墊,其允許3D封裝或3DIC的 測試、探針及/或探針卡的使用以及其類似者。可對中間結構以及最終結構執行校驗測試。另外,本文中所揭露的結構及方法可合併良裸晶粒的中間校驗的測試方法結合使用,以提高良率且降低成本。
實施例可達成優勢。舉例而言,局部內連線組件增加積體電路晶粒之間的通信頻寬,同時維持低接觸電阻及高可靠性。另外,可在組裝完成的封裝組件之前個別地製造及測試重佈線結構、嵌入式局部內連線組件、核心基板以及積體電路晶粒。此進一步增加組件及板階可靠性。由於藉由局部內連線組件提供的積體電路晶粒之間的增加的通信頻寬,在積體電路晶粒與重佈線結構之間不需要中介板。藉由移除對中介板的需求,積體電路封裝(包含積體電路晶粒)與核心基板封裝(包含核心基板及重佈線結構)之間的翹曲失配能減少,此是由於這兩種封裝結構之間的熱膨脹係數(CTE)失配減少。
根據本揭露的一些實施例,一種半導體封裝包括核心基板、重佈線結構、第一積體電路晶粒、第二積體電路晶粒以及一組導電連接件。所述重佈線結構耦接至所述核心基板的第一側且包括多個重佈線層、局部內連線組件以及第一底部填充物。所述多個重佈線層中的每一者包括介電層及金屬化層。所述局部內連線組件嵌入於所述多個重佈線層中的第一重佈線層中,且所述局部內連線組件包括基板、所述基板上的內連線結構以及導電連接件。所述導電連接件藉由第一焊料連接件接合至所述第一重佈線層的金屬化層。所述第一重佈線層的所述金屬化層包括第一導電線及第一導通孔。所述第一底部填充物位於所述第一重佈線層中。所述第 一底部填充物包圍所述第一焊料連接件。所述第一積體電路晶粒耦接至所述重佈線結構。所述重佈線結構插入於所述核心基板與所述第一積體電路晶粒之間。所述第二積體電路晶粒耦接至所述重佈線結構。所述重佈線結構插入於所述核心基板與所述第二積體電路晶粒之間。所述局部內連線組件的所述內連線結構將所述第一積體電路晶粒電性耦接至所述第二積體電路晶粒。所述一組導電連接件耦接至所述核心基板的第二側。根據本揭露的一些實施例,所述重佈線結構使用第二焊料連接件耦接至所述核心基板的所述第一側。根據本揭露的一些實施例,所述半導體封裝更包括第二底部填充物。所述第二底部填充物插入於所述重佈線結構與所述核心基板之間且包圍所述第二焊料連接件。根據本揭露的一些實施例,所述第二底部填充物沿著所述重佈線結構的側壁延伸。根據本揭露的一些實施例,所述第一底部填充物接觸所述第一導電線及所述第一重佈線層的介電層。根據本揭露的一些實施例,所述局部內連線組件的所述內連線結構在所述局部內連線組件的所述基板的第一側上,所述局部內連線組件的所述第一側面向所述第一積體電路晶粒。根據本揭露的一些實施例,所述局部內連線組件更包括穿孔。所述穿孔延伸穿過所述局部內連線組件的所述基板,所述穿孔電性耦接至所述局部內連線組件的所述內連線結構及在所述局部內連線組件的所述基板的第二側上的所述多個重佈線層的金屬化層,且所述基板的所述第二側與所述基板的所述第一側相對。根據本揭露的一些實施例,所述局部內連線組件的所述基板為矽基板。
根據本揭露的一些實施例,一種形成半導體封裝的方法 至少包括以下步驟。在第一載板基底上形成第一重佈線結構。形成所述第一重佈線結構至少包括以下步驟。在所述第一載板基底上形成第一組導電線。形成在所述第一組導電線上且電性耦接至所述第一組導電線的第一組導通孔。藉由第一焊料區將互連晶粒接合至所述第一組導電線,所述互連晶粒包括基板及所述基板上的內連線結構,所述內連線結構接合至所述第一焊料區,所述互連晶粒在所述第一組導通孔中的兩者之間。在所述第一組導電線、所述第一組導通孔以及所述互連晶粒上形成第一介電層,且所述第一介電層、所述第一組導通孔、所述第一組導電線以及所述互連晶粒形成第一重佈線層。在所述第一重佈線層上形成第二重佈線層,所述第二重佈線層包括第二介電層、第二組導通孔以及第二組導電線,所述第二組導電線中的至少一者電性耦接至所述第一組導通孔中的至少一者。移除所述第一載板基底。將核心基板電性連接至所述第一重佈線結構的第一側,所述第二重佈線層比所述第一重佈線層更接近所述第一重佈線層的所述第一側。將第一積體電路晶粒及第二積體電路晶粒接合至所述第一重佈線結構的第二側,所述第二側與所述第一側相對,所述第一積體電路晶粒及所述第二積體電路晶粒電性耦接至所述互連晶粒。根據本揭露的一些實施例,所述形成半導體封裝的方法更包括在藉由所述第一焊料區將所述互連晶粒接合至所述第一組導電線之後,形成在所述互連晶粒與所述第一組導電線之間且包圍所述第一焊料區的第一底部填充物。根據本揭露的一些實施例,所述形成半導體封裝的方法更包括在將所述第一積體電路晶粒及所述第二積體電路晶粒接合至所述第一重佈線結構的所述第二側之後,將所述第一重佈線結構 單體化。根據本揭露的一些實施例,藉由所述第一焊料區將所述互連晶粒接合至所述第一組導電線包括執行回焊製程以回焊所述第一焊料區。根據本揭露的一些實施例,所述形成半導體封裝的方法更包括在所述第一載板基底上方形成第三重佈線層,所述第一重佈線層形成於所述第三重佈線層上方,所述第三重佈線層包括第三介電層及第三組導通孔,所述第三組導通孔中的至少一者電性耦接至所述第一組導電線中的至少一者,所述第三重佈線層在所述第一積體電路晶粒與所述第一重佈線層之間。根據本揭露的一些實施例,所述第三介電層由與所述第一介電層不同的材料製成。根據本揭露的一些實施例,所述形成半導體封裝的方法更包括在所述第一積體電路晶粒及所述第二積體電路晶粒與所述第一重佈線結構的所述第二側之間形成第二底部填充物。
根據本揭露的一些實施例,一種半導體封裝包括第一重佈線結構、核心基板以及積體電路晶粒封裝。所述第一重佈線結構包括多個重佈線層。所述多個重佈線層中的每一者包括金屬化圖案及介電層。所述多個重佈線層中的第一重佈線層包括第一介電層、第一金屬化圖案、互連晶粒以及第一底部填充物。所述互連晶粒包括基板、所述基板上的內連線結構以及所述內連線結構上的晶粒連接件。所述晶粒連接件藉由第一焊料凸塊接合至所述第一重佈線層的所述第一金屬化圖案。所述第一金屬化圖案包括第一導電線及第一導通孔。所述第一介電層包封所述互連晶粒。所述第一底部填充物位於所述互連晶粒與所述第一金屬化圖案之間。所述第一底部填充物包圍所述第一焊料凸塊。所述核心基板使用第一組導電連接件耦接至所述第一重佈線結構的第一側。所述第一 重佈線結構的寬度小於所述核心基板的寬度。所述積體電路晶粒封裝使用第二組導電連接件耦接至所述第一重佈線結構的第二側。所述第二側與所述第一側相對。根據本揭露的一些實施例,所述第一組導電連接件及所述第二組導電連接件各自包括焊料。根據本揭露的一些實施例,所述半導體封裝更包括第二底部填充物。所述第二底部填充物插入於所述核心基板與所述第一重佈線結構之間且包圍所述第二組導電連接件。根據本揭露的一些實施例,所述第一重佈線結構更包括第二重佈線層,所述第二重佈線層在所述第一重佈線層與所述積體電路晶粒封裝之間,所述第二重佈線層包括第二介電層,且所述第二介電層為與所述第一介電層不同的材料。根據本揭露的一些實施例,所述互連晶粒更包括穿孔。所述穿孔延伸穿過所述互連晶粒的所述基板,所述穿孔電性耦接至所述互連晶粒的所述內連線結構及在作為所述內連線結構的所述互連晶粒的所述基板的相對側上的所述多個重佈線層的金屬化圖案。
前文概述若干實施例的特徵,以使得所屬領域中具通常知識者可更佳地理解本揭露的態樣。所屬領域中具通常知識者應理解,其可容易地使用本揭露作為設計或修改用於進行本文中所引入的實施例的相同目的及/或達成相同優勢的其他製程及結構的基礎。所屬領域中具通常知識者亦應認識到,此類等效構造並不脫離本揭露的精神及範疇,且所屬領域中具通常知識者可在不脫離本揭露的精神及範疇的情況下在本文中作出各種改變、替代以及更改。
90、92、94、95、150、154、158、162:重佈線層
100:單體化封裝組件
106、320:導通孔
120:局部內連線組件
142:介電層
186:凸塊下金屬化物
188、365:導電連接件
200、340:重佈線結構
300:核心基板
310:核心
330B:凸塊下金屬
370、610:底部填充物
500:積體電路封裝
512:邏輯晶粒
514:記憶體晶粒
620:外部連接件

Claims (10)

  1. 一種半導體封裝,包括:核心基板;重佈線結構,耦接至所述核心基板的第一側,所述重佈線結構包括:多個重佈線層,所述多個重佈線層中的每一者包括介電層及金屬化層;局部內連線組件,嵌入於所述多個重佈線層中的第一重佈線層中,所述局部內連線組件包括基板、所述基板上的內連線結構、延伸穿過所述基板的穿孔以及導電連接件,所述導電連接件藉由第一焊料連接件接合至所述第一重佈線層的金屬化層,所述第一重佈線層的所述金屬化層包括第一導電線及第一導通孔;以及第一底部填充物,位於所述第一重佈線層中,所述第一底部填充物包圍所述第一焊料連接件;第一積體電路晶粒,耦接至所述重佈線結構,所述重佈線結構插入於所述核心基板與所述第一積體電路晶粒之間;第二積體電路晶粒,耦接至所述重佈線結構,所述重佈線結構插入於所述核心基板與所述第二積體電路晶粒之間,所述局部內連線組件的所述內連線結構將所述第一積體電路晶粒電性耦接至所述第二積體電路晶粒;以及一組導電連接件,耦接至所述核心基板的第二側。
  2. 如請求項1所述的半導體封裝,其中所述重佈線結構使用第二焊料連接件耦接至所述核心基板的所述第一側。
  3. 如請求項2所述的半導體封裝,更包括:第二底部填充物,插入於所述重佈線結構與所述核心基板之間且包圍所述第二焊料連接件。
  4. 如請求項3所述的半導體封裝,其中所述第二底部填充物沿著所述重佈線結構的側壁延伸。
  5. 如請求項1所述的半導體封裝,其中所述局部內連線組件的所述內連線結構在所述局部內連線組件的所述基板的第一側上,所述局部內連線組件的所述第一側面向所述第一積體電路晶粒。
  6. 如請求項5所述的半導體封裝,其中所述局部內連線組件的所述穿孔電性耦接至所述局部內連線組件的所述內連線結構及在所述局部內連線組件的所述基板的第二側上的所述多個重佈線層的金屬化層,且所述基板的所述第二側與所述基板的所述第一側相對。
  7. 一種形成半導體封裝的方法,包括:在第一載板基底上形成第一重佈線結構,其中形成所述第一重佈線結構包括:在所述第一載板基底上形成第一組導電線;形成在所述第一組導電線上且電性耦接至所述第一組導電線的第一組導通孔;藉由第一焊料區將互連晶粒接合至所述第一組導電線,所述互連晶粒包括基板、延伸穿過所述基板的穿孔以及所述基板上的內連線結構,所述內連線結構接合至所述第一焊料區,所述互連晶粒在所述第一組導通孔中的兩者之間; 在所述第一組導電線、所述第一組導通孔以及所述互連晶粒上形成第一介電層,且所述第一介電層、所述第一組導通孔、所述第一組導電線以及所述互連晶粒形成第一重佈線層;以及在所述第一重佈線層上形成第二重佈線層,所述第二重佈線層包括第二介電層、第二組導通孔以及第二組導電線,所述第二組導電線中的至少一者電性耦接至所述第一組導通孔中的至少一者;移除所述第一載板基底;將核心基板電性連接至所述第一重佈線結構的第一側,所述第二重佈線層比所述核心基板更接近所述第一重佈線層的所述第一側;以及將第一積體電路晶粒及第二積體電路晶粒接合至所述第一重佈線結構的第二側,所述第二側與所述第一側相對,所述第一積體電路晶粒及所述第二積體電路晶粒電性耦接至所述互連晶粒。
  8. 如請求項7所述的形成半導體封裝的方法,更包括:在所述第一載板基底上方形成第三重佈線層,所述第一重佈線層形成於所述第三重佈線層上方,所述第三重佈線層包括第三介電層及第三組導通孔,所述第三組導通孔中的至少一者電性耦接至所述第一組導電線中的至少一者,所述第三重佈線層在所述第一積體電路晶粒與所述第一重佈線層之間。
  9. 如請求項8所述的形成半導體封裝的方法,其中所述第三介電層由與所述第一介電層不同的材料製成。
  10. 一種半導體封裝,包括:第一重佈線結構,所述第一重佈線結構包括: 多個重佈線層,所述多個重佈線層中的每一者包括金屬化圖案及介電層,所述多個重佈線層中的第一重佈線層包括:第一介電層;第一金屬化圖案;互連晶粒,所述互連晶粒包括基板、所述基板上的內連線結構、延伸穿過所述基板的穿孔以及所述內連線結構上的晶粒連接件,所述晶粒連接件藉由第一焊料凸塊接合至所述第一重佈線層的所述第一金屬化圖案,所述第一金屬化圖案包括第一導電線及第一導通孔,所述第一介電層包封所述互連晶粒;以及第一底部填充物,位於所述互連晶粒與所述第一金屬化圖案之間,所述第一底部填充物包圍所述第一焊料凸塊;核心基板,使用第一組導電連接件耦接至所述第一重佈線結構的第一側,所述第一重佈線結構的寬度小於所述核心基板的寬度;以及積體電路晶粒封裝,使用第二組導電連接件耦接至所述第一重佈線結構的第二側,其中所述第二側與所述第一側相對。
TW110101377A 2020-05-20 2021-01-14 半導體封裝及其形成方法 TWI771870B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063027639P 2020-05-20 2020-05-20
US63/027,639 2020-05-20
US17/020,130 US11355463B2 (en) 2020-05-20 2020-09-14 Semiconductor package and method
US17/020,130 2020-09-14

Publications (2)

Publication Number Publication Date
TW202209618A TW202209618A (zh) 2022-03-01
TWI771870B true TWI771870B (zh) 2022-07-21

Family

ID=77370573

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110101377A TWI771870B (zh) 2020-05-20 2021-01-14 半導體封裝及其形成方法

Country Status (4)

Country Link
US (1) US20220302067A1 (zh)
CN (1) CN113314496A (zh)
DE (1) DE102020124229A1 (zh)
TW (1) TWI771870B (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI781049B (zh) * 2022-01-24 2022-10-11 欣興電子股份有限公司 電路板結構及其製作方法
TWI825906B (zh) * 2022-06-02 2023-12-11 南亞科技股份有限公司 具有重佈線插塞的半導體元件
CN115020373B (zh) * 2022-08-08 2023-05-26 盛合晶微半导体(江阴)有限公司 一种扇出型封装结构及其制造方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20190123021A1 (en) * 2016-01-25 2019-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Dual-Sided Integrated Fan-Out Package
US20190319008A1 (en) * 2017-09-26 2019-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method of manufacturing the same
US20200105544A1 (en) * 2018-09-28 2020-04-02 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-Out Packages And Methods Of Forming The Same

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9768145B2 (en) 2015-08-31 2017-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming multi-die package structures including redistribution layers
WO2019132965A1 (en) 2017-12-29 2019-07-04 Intel Corporation Microelectronic assemblies
KR102560697B1 (ko) 2018-07-31 2023-07-27 삼성전자주식회사 인터포저를 가지는 반도체 패키지

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20190123021A1 (en) * 2016-01-25 2019-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Dual-Sided Integrated Fan-Out Package
US20190319008A1 (en) * 2017-09-26 2019-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method of manufacturing the same
US20200105544A1 (en) * 2018-09-28 2020-04-02 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-Out Packages And Methods Of Forming The Same

Also Published As

Publication number Publication date
US20220302067A1 (en) 2022-09-22
CN113314496A (zh) 2021-08-27
DE102020124229A1 (de) 2021-11-25
TW202209618A (zh) 2022-03-01

Similar Documents

Publication Publication Date Title
TWI763255B (zh) 半導體結構與封裝及其製造方法
US11355463B2 (en) Semiconductor package and method
TWI771870B (zh) 半導體封裝及其形成方法
US20210272889A1 (en) Symmetrical substrate for semiconductor packaging
TWI790503B (zh) 積體電路封裝及其形成方法
TWI719678B (zh) 半導體結構及其形成方法
KR20220027001A (ko) 집적 회로 패키지 및 방법
CN113113381A (zh) 封装结构及其形成方法
US20230069031A1 (en) Semiconductor Package and Method
US20230386866A1 (en) Semiconductor Package and Method of Forming Thereof
US20240021597A1 (en) Molded Dies in Semiconductor Packages and Methods of Forming Same
CN111261608B (zh) 半导体器件及其形成方法
KR102579112B1 (ko) 반도체 패키지 및 방법
US20220359465A1 (en) Package structures and method for forming the same
US20230386919A1 (en) Semiconductor package and method comprising formation of redistribution structure and interconnecting die
TW202243048A (zh) 半導體元件及其製造方法
US11776886B2 (en) Symmetrical substrate for semiconductor packaging
TWI824395B (zh) 封裝結構及其製造方法
US12002767B2 (en) Integrated circuit package and method
TW202401695A (zh) 半導體封裝及方法