TWI801938B - 半導體封裝裝置及其製造方法 - Google Patents

半導體封裝裝置及其製造方法 Download PDF

Info

Publication number
TWI801938B
TWI801938B TW110126415A TW110126415A TWI801938B TW I801938 B TWI801938 B TW I801938B TW 110126415 A TW110126415 A TW 110126415A TW 110126415 A TW110126415 A TW 110126415A TW I801938 B TWI801938 B TW I801938B
Authority
TW
Taiwan
Prior art keywords
centerline
die
ubm
dielectric layer
metal pattern
Prior art date
Application number
TW110126415A
Other languages
English (en)
Other versions
TW202207366A (zh
Inventor
許佳桂
游明志
賴柏辰
葉書伸
林柏堯
鄭心圃
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202207366A publication Critical patent/TW202207366A/zh
Application granted granted Critical
Publication of TWI801938B publication Critical patent/TWI801938B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49838Geometry or layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49822Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/4857Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/563Encapsulation of active face of flip-chip device, e.g. underfilling or underencapsulation of flip-chip, encapsulation preform on chip or mounting substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/09Structure, shape, material or disposition of the bonding areas after the connecting process of a plurality of bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0655Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/18Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different subgroups of the same main group of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/061Disposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16227Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/81001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector involving a temporary auxiliary member not forming part of the bonding apparatus
    • H01L2224/81005Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector involving a temporary auxiliary member not forming part of the bonding apparatus being a temporary or sacrificial substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8119Arrangement of the bump connectors prior to mounting
    • H01L2224/81192Arrangement of the bump connectors prior to mounting wherein the bump connectors are disposed only on another item or body to be connected to the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/921Connecting a surface with connectors of different types
    • H01L2224/9212Sequential connecting processes
    • H01L2224/92122Sequential connecting processes the first connecting process involving a bump connector
    • H01L2224/92125Sequential connecting processes the first connecting process involving a bump connector the second connecting process involving a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5383Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5389Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates the chips being integrally enclosed by the interconnect and support structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15313Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a land array, e.g. LGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation
    • H01L2924/1815Shape
    • H01L2924/1816Exposing the passive side of the semiconductor or solid-state body
    • H01L2924/18161Exposing the passive side of the semiconductor or solid-state body of a flip chip

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Ceramic Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

本揭露實施例提供具有改良的凸塊下金屬佈局的半導體裝置及其製造方法。半導體裝置包括積體電路晶粒;耦接到積體電路晶粒並包括金屬圖案的互連結構,金屬圖案包括延伸穿過介電層的導通孔部分;在與積體電路晶粒相對的介電層上方的第二介電層;耦接到金屬圖案的第二金屬圖案,其包括在介電層中的線路部分和延伸穿過第二介電層的第二導通孔部分;在第二金屬圖案和第二介電層上方的凸塊下金屬,其耦接到第二金屬圖案,導通孔部分的中心線和第二導通孔部分的第二中心線與凸塊下金屬的第三中心線未對準,中心線和第二中心線在第三中心線的兩側。

Description

半導體封裝裝置及其製造方法
本發明實施例係關於一種半導體製造技術,特別係有關於一種半導體封裝裝置及其形成方法。
由於各種電子部件(例如,電晶體、二極體、電阻器、電容器等)的積集密度(integration density)不斷提高,半導體工業經歷了快速的成長。大多數情況下,迭代(iterative)減小的最小特徵尺寸導致了積集密度的提高,從而可將更多的部件整合到給定區域中。隨著對縮小的電子裝置的需求的增加,需要一種更小和更具創造性的半導體晶粒封裝技術。一種這樣的封裝系統的示例為封裝層疊(package-on-package,PoP)技術。在封裝層疊裝置中,頂部半導體封裝堆疊在底部半導體封裝的頂部,以提供高水平的積集和部件密度。封裝層疊技術通常可在印刷電路板(printed circuit board,PCB)上生產功能強化和較小的佔地面積的半導體裝置。
本揭露一些實施例提供一種半導體封裝裝置,包括第一積體電路晶粒、互連結構以及凸塊下金屬。互連結構耦接到該第一積體電路晶粒,並且包括第一金屬圖案、第二介電層以及第二金屬圖案。第一金屬圖案包括延伸穿過第一介電層的第一導通孔部分。第二介電層在與第一積體電路晶粒相對的第 一介電層上方。第二金屬圖案耦接到第一金屬圖案,並且包括在第一介電層中的線路部分和延伸穿過第二介電層的第二導通孔部分。凸塊下金屬在第二金屬圖案和第二介電層上方,並且耦接到第二金屬圖案。其中,第一導通孔部分的第一中心線和第二導通孔部分的第二中心線與凸塊下金屬的第三中心線未對準,並且其中第一中心線和第二中心線在第三中心線的兩側。
本揭露一些實施例提供一種半導體封裝裝置,包括積體電路晶粒、互連結構、凸塊下金屬以及導電接點。積體電路晶粒耦接到互連結構。互連結構包括一或多個金屬圖案、一或多個介電層、頂部介電層以及頂部金屬圖案。所述一或多個金屬圖案設置在所述一或多個介電層中。頂部介電層在所述一或多個金屬圖案和所述一或多個介電層上方。頂部金屬圖案電性耦接到所述一或多個金屬圖案,其中頂部金屬圖案包括延伸穿過頂部介電層的導通孔部分,其中導通孔部分的頂表面與頂部介電層的頂表面齊平。凸塊下金屬沿著頂部介電層的頂表面和頂部金屬圖案的導通孔部分的頂表面延伸,其中在凸塊下金屬的最靠近積體電路晶粒的中心線的邊緣與導通孔部分的最靠近積體電路晶粒的中心線的邊緣之間測量到第一距離,其中在凸塊下金屬的最遠離積體電路晶粒的中心線的邊緣與導通孔部分的最遠離積體電路晶粒的中心線的邊緣之間測量到第二距離,並且其中第一距離和第二距離之間的第一差值為正的。導電接點耦接到凸塊下金屬。
本揭露一些實施例提供一種製造半導體封裝裝置的方法。所述方法包括在第一載體上方形成互連結構。所述方法還包括將第一晶粒接合到互連結構。所述方法還包括從互連結構上去除第一載體,其中在去除第一載體之後,互連結構的與第一晶粒相對的第一金屬圖案的第一導通孔部分被暴露。此外,所述方法包括在第一導通孔部分上方形成與第一導通孔部分物理接觸的第一凸塊下金屬,其中第一凸塊下金屬的中心線偏離第一導通孔部分的中心線。
52:半導體基板
54:裝置
56:層間介電層
58:導電栓塞
60:互連結構
62:焊墊
64:鈍化薄膜
66:晶粒連接器
68:介電層
100:第一封裝部件
102:載體基板
104:釋放層
106:金屬圖案
108:介電層
110:(最頂部)金屬圖案
112:(最頂部)介電層
114:互連結構
116:凸塊下金屬
118:導電連接器
122:第一積體電路晶粒
124:第二積體電路晶粒
126:底部填充劑
128:密封劑
130,130A,130B,130.i:凸塊下金屬
131,132:區域
134:導電連接器
140:基板
142:接合焊墊
144:底部填充劑
150:第二載體基板
152:第二釋放層
C1,C2,C3,C4,C5:中心線
D1,D2,D3,D4,D5,D6:距離
P1,P2,P3:點
Din1,Din2,Din3,Din4,Din5,Din6:內側距離
Dout1,Dout2,Dout3,Dout4,Dout5,Dout6:外側距離
第1圖、第2A圖至第2B圖、第3圖至第5圖、第6A圖以及第7圖至第9圖示出了根據一些實施例的在形成封裝部件的製程期間的中間步驟的剖視圖。
第6B圖至第6I圖示出了根據一些實施例的凸塊下金屬佈局(under-bump metallization layouts)的剖視圖和俯視圖。
以下的揭露內容提供許多不同的實施例或範例以實施本案的不同特徵。以下描述具體的構件及其排列方式的實施例以闡述本揭露。當然,這些實施例僅作為範例,而不該以此限定本揭露的範圍。例如,在說明書中敘述了一第一特徵形成於一第二特徵之上或上方,其可能包含第一特徵與第二特徵是直接接觸的實施例,亦可能包含了有附加特徵形成於第一特徵與第二特徵之間,而使得第一特徵與第二特徵可能未直接接觸的實施例。另外,在本揭露不同範例中可能使用重複的參考符號及/或標記,此重複係為了簡化與清晰的目的,並非用以限定所討論的各個實施例及/或結構之間有特定的關係。
再者,空間相關用語,例如「在...下方」、「下方」、「較低的」、「上方」、「較高的」及類似的用語,係為了便於描述圖示中一個元件或特徵與另一個(些)元件或特徵之間的關係。除了在圖式中繪示的方位外,這些空間相關用語意欲包含使用中或操作中的裝置之不同方位。設備可能被轉向不同方位(旋轉90度或其他方位),則在此使用的空間相關詞也可依此相同解釋。
本揭露各種實施例提供了具有改良的凸塊下金屬(under-bump metallization,UBM)佈局的半導體封裝裝置及其形成方法。可形成包括設置在介電層中的金屬圖案的互連結構(interconnect structure)。互連結構的頂部金屬圖案 可以包括延伸穿過互連結構的頂部介電層的導通孔(via)部分。凸塊下金屬可形成在頂部金屬圖案和頂部介電層的上方,以使得凸塊下金屬的中心線偏離或不與頂部金屬圖案的導通孔部分的中心線對準。將凸塊下金屬的中心線與導通孔部分的中心線錯開可減少周圍的介電層中的應力,從而減少介電層中的裂紋(cracking),並減少裝置缺陷。
第1圖示出了根據一些實施例的形成在載體基板(carrier substrate)102上方的互連結構114(也稱為重分佈結構)。在第1圖中,提供了載體基板102,並且釋放層(release layer)104形成在載體基板102上。載體基板102可以是玻璃載體基板、陶瓷載體基板等。載體基板102可以是晶圓,使得多個封裝可同時形成在載體基板102上。
釋放層104可以由聚合物基(polymer-based)材料形成,其可與載體基板102一起從將在後續步驟中形成的上方(overlying)結構上去除。在一些實施例中,釋放層104是環氧樹脂基熱釋放材料(epoxy-based thermal-release material),其在加熱時會失去其黏附特性,例如為光熱轉換(light-to-heat-conversion,LTHC)釋放塗層。在其他實施例中,釋放層104可以是紫外線(ultra-violet,UV)膠,其在暴露於紫外線光時會失去其黏附特性。釋放層104可以液體形式分配並被固化、可以是層壓在載體基板102上的層壓薄膜(laminate film)或者可以其他類似的形式形成。釋放層104的頂表面可以是平整的並且可具有高度的平坦度。
互連結構114形成在釋放層104和載體基板102上方。互連結構114包括介電層108和介電層112以及金屬圖案106和金屬圖案110。金屬圖案106和金屬圖案110也可稱為重分佈層或重分佈線路。所示出的互連結構114包括四層的金屬圖案106和金屬圖案110以及五層的介電層108和介電層112。然而,在一些實施例中,可在互連結構114中形成更多或更少的介電層108和介電層112以及金 屬圖案106和金屬圖案110。如果要形成較少的介電層108和介電層112以及金屬圖案106和金屬圖案110的話,可以省略下面討論的一些步驟和製程。如果要形成較多的介電層108和介電層112以及金屬圖案106和金屬圖案110的話,可以重複下面討論的一些步驟和製程。
在第1圖中,介電層108沉積在釋放層104上。在一些實施例中,介電層108由光敏材料形成,例如聚苯噁唑(polybenzoxazole,PBO)、聚醯亞胺(polyimide)、苯並環丁烯(benzocyclobutene,BCB)等,其可使用微影光罩進行圖案化。介電層108可以通過旋轉塗布、層壓、化學氣相沉積(chemical vapor deposition,CVD)等或其組合來形成。然後,圖案化介電層108。圖案化形成了開口以暴露釋放層104的部分。可以通過可接受的製程來進行圖案化,例如當介電層108是光敏材料時通過將介電層108曝光並對其顯影,或者通過使用非等向性蝕刻等來進行蝕刻。
接著形成金屬圖案106。金屬圖案106包括沿著介電層108的主表面延伸以及延伸穿過介電層108以物理接觸釋放層104的導電元件。可以通過在介電層108上方以及在延伸穿過介電層108的開口中沉積晶種層(未單獨示出)來形成金屬圖案106。在一些實施例中,晶種層是金屬層,其可以是單一層或包括由不同材料形成的多個子層的複合層。在一些實施例中,晶種層包括鈦層和在鈦層上方的銅層。可使用例如物理氣相沉積(physical vapor deposition,PVD)等來形成晶種層。接著在晶種層上形成光阻並將其圖案化。可以通過旋轉塗布等來形成光阻,並且可將其暴露於光來進行圖案化。光阻的圖案對應於金屬圖案106。圖案化形成通過光阻的開口以暴露晶種層。接著在光阻的開口中和晶種層的暴露部分上形成導電材料。可以通過例如電鍍或化學鍍(electroless plating)等的鍍覆(plating)方式來形成導電材料。導電材料可以包括金屬,例如銅、鈦、鎢、鋁等。導電材料和下面的晶種層部分的結合形成金屬圖案106。接著去除光阻和 晶種層上未形成導電材料的部分。可以通過可接受的灰化(ashing)或剝離(stripping)製程來去除光阻,例如使用氧電漿或其類似物。一旦去除了光阻,接著就可例如通過使用可接受的蝕刻製程(例如濕式或乾式蝕刻)來去除晶種層的暴露部分。
然後,在介電層108和金屬圖案106上方交替地形成介電層112和金屬圖案110。介電層112可以由與上述用於介電層108的相同或相似的材料以及通過相同或相似的製程來形成。金屬圖案110可以由與上述用於金屬圖案106的相同或相似的材料以及通過相同或相似的製程來形成。
然後,在互連結構114的最頂部介電層112和最頂部金屬圖案110上方形成多個凸塊下金屬(UBMs)116。凸塊下金屬116可用於到互連結構114的外部連接。凸塊下金屬116可以包括在最頂部介電層112的主表面上並沿著主表面延伸的凸塊部分,以及延伸穿過最頂部介電層112的導通孔部分。導通孔部分可以與最頂部金屬圖案110物理接觸並電性耦接到最頂部金屬圖案110。凸塊下金屬116可以由與上述用於金屬圖案106的相同或相似的材料以及通過相同或相似的製程來形成。在一些實施例中,凸塊下金屬116可以具有與金屬圖案106和金屬圖案110不同的尺寸。
在第2A圖中,第一積體電路晶粒122和第二積體電路晶粒124通過導電連接器118接合到互連結構114。導電連接器118形成在凸塊下金屬116上方。導電連接器118可以是球柵陣列(ball grid array,BGA)連接器、焊球、金屬柱、可控塌陷晶片連接(controlled collapse chip connection,C4)凸塊、微凸塊、以化學鍍鎳鈀浸金技術(electroless nickel-electroless palladium-immersion gold,ENEPIG)形成的凸塊等。導電連接器118可以包括導電材料,例如焊料、銅、鋁、金、鎳、銀、鈀、錫等或其組合。在一些實施例中,導電連接器118是藉由首先通過蒸鍍、電鍍、印刷、焊料轉移、植球(ball placement)等形成焊料層而形成的。 一旦形成了焊料層,就可執行回焊(reflow)以將材料塑型成期望的凸塊形狀。在一些實施例中,導電連接器118包括金屬柱(例如,銅柱),其可以通過濺鍍、印刷、電鍍、化學鍍、化學氣相沉積等來形成。金屬柱可以是無焊料的並且具有基本上垂直的側壁。在一些實施例中,在金屬柱的頂部形成有金屬蓋層。金屬蓋層可以包括鎳、錫、錫鉛、金、銀、鈀、銦、鎳鈀金、鎳金等或其組合,並且可通過鍍覆製程來形成。
如第2A圖所示,單個第一積體電路晶粒122和單個第二積體電路晶粒124可以耦接到互連結構114。然而,任意數量的第一積體電路晶粒122、第二積體電路晶粒124及/或其他晶粒(例如,兩個以上或少於兩個的晶粒)可以耦接到互連結構114。儘管所示出的第一積體電路晶粒122和第二積體電路晶粒124具有相同的高度,但是第一積體電路晶粒122和第二積體電路晶粒124可以具有不同的高度。
第2B圖示出了一積體電路晶粒的剖視圖,其可用於第一積體電路晶粒122及/或第二積體電路晶粒124。第一積體電路晶粒122/第二積體電路晶粒124將在後續處理中被封裝以形成積體電路封裝。第一積體電路晶粒122/第二積體電路晶粒124可以是邏輯晶粒(例如,中央處理單元(central processing unit,CPU)晶粒、圖形處理單元(graphics processing unit,GPU)晶粒、晶片上系統(system-on-chip,SoC)晶粒、應用處理器(application processor,AP)晶粒、微控制器晶粒、特殊應用積體電路(application-specific integrated circuit,ASIC)晶粒等)、記憶體晶粒(例如,動態隨機存取記憶體(dynamic random access memory,DRAM)晶粒、靜態隨機存取記憶體(static random access memory,SRAM)晶粒、高頻寬記憶體(high bandwidth memory,HBM)晶粒等)、電源管理晶粒(例如,電源管理積體電路(power management integrated circuit,PMIC)晶粒)、射頻(radio frequency,RF)晶粒、感應器(sensor)晶粒、微機電系統 (micro-electro-mechanical-system,MEMS)晶粒、信號處理晶粒(例如,數位信號處理(digital signal processing,DSP)晶粒等)、前端晶粒(例如,類比前端(analog front-end,AFE)晶粒)等或其組合。在一些實施例中,第一積體電路晶粒122可以是晶片上系統(SoC)晶粒,而第二積體電路晶粒124可以是記憶體晶粒(例如,高頻寬記憶體(HBM)晶粒)。
第一積體電路晶粒122/第二積體電路晶粒124可以形成在晶圓中,所述晶圓可以包括在後續步驟中將被分割(singulated)以形成複數個積體電路晶粒的不同的裝置區域。可根據適用的製造製程來加工第一積體電路晶粒122/第二積體電路晶粒124,以形成積體電路。舉例來說,第一積體電路晶粒122/第二積體電路晶粒124包括半導體基板52,例如矽基板、摻雜或未摻雜的基板、或者絕緣體上半導體(semiconductor-on-insulator,SOI)主動層(active layer)的基板。半導體基板52可以包括其他半導體材料,例如鍺;包括碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦的化合物半導體;包括SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP及/或GaInAsP的合金半導體;或上述之組合。也可以使用其他基板,例如多層或梯度(gradient)基板。半導體基板52具有主動面(例如,第2B圖中面朝上的表面),有時被稱為前側,以及非主動面(例如,第2B圖中面朝下的表面),有時被稱為後側。
裝置54(由一電晶體代表)可以形成在半導體基板52的主動面。裝置54可以是主動裝置(例如,電晶體、二極體等)、電容器、電阻器等。層間介電層(inter-layer dielectric,ILD)56在半導體基板52的主動面上方。層間介電層56包圍並且可覆蓋裝置54。層間介電層56可以包括由磷矽酸鹽玻璃(phospho-silicate glass,PSG)、硼矽酸鹽玻璃(boro-silicate glass,BSG)、硼摻雜磷矽酸鹽玻璃(boron-doped phospho-silicate glass,BPSG)、未摻雜矽酸鹽玻璃(un-doped silicate glass,USG)等的材料形成的一或多個介電層。
導電栓塞(Conductive plugs)58延伸穿過層間介電層56以電性和物理地耦接裝置54。舉例來說,當裝置54是電晶體時,導電栓塞58可以耦接電晶體的柵極和源極/汲極區域。導電栓塞58可以由鎢、鈷、鎳、銅、銀、金、鋁等或其組合形成。互連結構60在層間介電層56和導電栓塞58上方。互連結構60將多個裝置54互連以形成積體電路。互連結構60可以由例如層間介電層56上的多個介電層中的多個金屬圖案形成。金屬圖案包括在一或多個低k(low-k)介電層中形成的金屬線路和導通孔。互連結構60的金屬圖案通過導電栓塞58電性耦接到裝置54。
第一積體電路晶粒122/第二積體電路晶粒124還包括焊墊62,例如鋁焊墊,用以進行外部連接。焊墊62在第一積體電路晶粒122/第二積體電路晶粒124的主動側,例如在互連結構60中及/或上。一或多個鈍化薄膜(passivation films)64在第一積體電路晶粒122/第二積體電路晶粒124上,例如在互連結構60和焊墊62的部分上。開口穿過鈍化薄膜64延伸到焊墊62。晶粒連接器66,例如導電柱(例如,由例如銅的金屬形成),延伸穿過鈍化薄膜64中的開口,並且電性和物理地耦接到各個焊墊62。晶粒連接器66可以通過例如鍍覆等製程來形成。晶粒連接器66電性耦接第一積體電路晶粒122/第二積體電路晶粒124的各個積體電路。
可選地,可在焊墊62上設置焊料區域(例如,焊球或焊料凸塊)。焊球可用於在第一積體電路晶粒122/第二積體電路晶粒124上執行晶片探針(chip probe,CP)測試。可以在第一積體電路晶粒122/第二積體電路晶粒124上執行晶片探針測試,以確定第一積體電路晶粒122/第二積體電路晶粒124是否為已知的合格晶粒(known good die,KGD)。因此,僅有為已知的合格晶粒的第一積體電路晶粒122/第二積體電路晶粒124會經過後續處理並被封裝,而未通過晶片探針測試的晶粒則不會被封裝。在測試之後,可以在後續的處理步驟中去除焊料區 域。
介電層68可以(或可以不)在第一積體電路晶粒122/第二積體電路晶粒124的主動側,例如在鈍化薄膜64和晶粒連接器66上。介電層68橫向地密封晶粒連接器66,並且介電層68與第一積體電路晶粒122/第二積體電路晶粒124在橫向上(laterally)是相接的(coterminous)。最初,介電層68可掩埋晶粒連接器66,使得介電層68的最頂部表面在晶粒連接器66的最頂部表面之上。在晶粒連接器66上設有焊料區域的一些實施例中,介電層68也可掩埋焊料區域。或者,可在形成介電層68之前去除焊料區域。
介電層68可以是例如聚苯噁唑(PBO)、聚醯亞胺、苯並環丁烯(BCB)等的聚合物;例如氮化矽的氮化物;例如氧化矽、磷矽酸鹽玻璃(PSG)、硼矽酸鹽玻璃(BSG)、硼摻雜磷矽酸鹽玻璃(BPSG)等的氧化物;其類似物;或者其組合。介電層68可以例如通過旋轉塗布、層壓、化學氣相沉積等來形成。在一些實施例中,在第一積體電路晶粒122/第二積體電路晶粒124的形成期間,晶粒連接器66穿過介電層68而被暴露。在一些實施中,晶粒連接器66保持掩埋並在後續的封裝第一積體電路晶粒122/第二積體電路晶粒124的過程中被暴露。暴露晶粒連接器66可去除晶粒連接器66上可能存在的任何焊料區域。
在一些實施例中,第一積體電路晶粒122/第二積體電路晶粒124是包括多個半導體基板52的堆疊裝置。舉例來說,第一積體電路晶粒122/第二積體電路晶粒124可以是包括多個記憶體晶粒的記憶體裝置,例如混合記憶體立方體(hybrid memory cube,HMC)模組、高頻寬記憶體(HBM)模組等。在這樣的實施例中,第一積體電路晶粒122/第二積體電路晶粒124包括通過貫穿基板導通孔(through-substrate vias,TSVs)互連的多個半導體基板52。每個半導體基板52可以(或可以不)具有互連結構60。
第一積體電路晶粒122和第二積體電路晶粒124可以通過晶粒連接 器66、導電連接器118和凸塊下金屬116而機械地和電性接合到互連結構114。可以將第一積體電路晶粒122和第二積體電路晶粒124放置在互連結構114上方,並且可執行回焊製程以使導電連接器118回焊並通過導電連接器118將晶粒連接器66接合到凸塊下金屬116。
在第3圖中,在第一積體電路晶粒122和第二積體電路晶粒124與互連結構114之間形成底部填充劑(underfill)126,其圍繞凸塊下金屬116、導電連接器118和晶粒連接器66。底部填充劑126可以減少由於回焊導電連接器118而導致的應力並且保護接點。底部填充劑126可在附接第一積體電路晶粒122和第二積體電路晶粒124之後通過毛細流動製程(capillary flow process)來形成,或者可在附接第一積體電路晶粒122和第二積體電路晶粒124之前通過合適的沉積方法來形成。如第3圖所示,底部填充劑126的頂表面可以與第一積體電路晶粒122和第二積體電路晶粒124的頂表面齊平。在一些實施例中,底部填充劑126的頂表面可以設置低於第一積體電路晶粒122和第二積體電路晶粒124的頂表面。底部填充劑126的側表面可以從第一積體電路晶粒122和第二積體電路晶粒124的側表面延伸到互連結構114的頂表面(例如,最頂部介電層112的頂表面)。
在第4圖中,密封劑(encapsulant)128形成在互連結構114和底部填充劑126上方,並且圍繞第一積體電路晶粒122、第二積體電路晶粒124和底部填充劑126。在形成之後,密封劑128密封第一積體電路晶粒122、第二積體電路晶粒124和底部填充劑126。密封劑128可以是模塑料(molding compound)、環氧樹脂等。密封劑128可通過壓縮成型(compression molding)、轉注成型(transfer molding)等方法施加,並且可以形成在互連結構114上方,使得第一積體電路晶粒122及/或第二積體電路晶粒124被掩埋或覆蓋。在一些實施例中,密封劑128可進一步形成在第一積體電路晶粒122和第二積體電路晶粒124之間的間隙區域中。密封劑128可以以液體或半液體形式施加,然後被固化。
可以在密封劑128上執行平坦化製程以暴露第一積體電路晶粒122和第二積體電路晶粒124。平坦化製程也可暴露底部填充劑126。平坦化製程可去除第一積體電路晶粒122、第二積體電路晶粒124、底部填充劑126及/或密封劑128的材料直到第一積體電路晶粒122、第二積體電路晶粒124及/或底部填充劑126被暴露為止。在平坦化製程之後,第一積體電路晶粒122、第二積體電路晶粒124、底部填充劑126和密封劑128的頂表面可以基本上共面(例如,齊平),在製程變化範圍(process variations)內。平坦化製程可以是例如化學機械拋光(chemical-mechanical polish,CMP)、研磨(grinding)製程等。在一些實施例中,例如,如果第一積體電路晶粒122及/或第二積體電路晶粒124已經被暴露,則可省略平坦化製程。
在第5圖中,執行載體基板脫離(de-bonding)處理以將載體基板102與互連結構114分離(或”脫離”),翻轉所述裝置,以及將第二載體基板150接合到第一積體電路晶粒122、第二積體電路晶粒124、底部填充劑126和密封劑128。在一些實施例中,脫離處理包括將例如雷射光或紫外線光之類的光投射在釋放層104,使得釋放層104在光的熱量下分解,接著即可去除載體基板102。如第5圖所示,在去除載體基板102和釋放層104之後,介電層108和金屬圖案106的表面可被暴露。可以翻轉所述裝置,使得第一積體電路晶粒122和第二積體電路晶粒124的背側面朝下。
第二載體基板150可以通過第二釋放層152接合到第一積體電路晶粒122、第二積體電路晶粒124、底部填充劑126和密封劑128。第二載體基板150可以是玻璃載體基板、陶瓷載體基板等。第二載體基板150可以是晶圓,使得多個封裝可同時在第二載體基板150上進行處理。第二釋放層152可以由聚合物基材料形成,其可與第二載體基板150一起從將在後續步驟中形成的上方結構上去除。在一些實施例中,第二釋放層152是環氧樹脂基熱釋放材料,其在加熱時會 失去其黏附特性,例如為光熱轉換(LTHC)釋放塗層。在其他實施例中,第二釋放層152可以是紫外線(UV)膠,其在暴露於紫外線光時會失去其黏附特性。第二釋放層152可以液體形式分配並被固化、可以是層壓在第二載體基板150上的層壓薄膜或者可以其他類似的形式形成。第二釋放層152的頂表面可以是平整的並且可具有高度的平坦度。
第6A圖到第6I圖示出了根據一些實施例的在互連結構114上方形成凸塊下金屬(UBMs)130之後的裝置的各種視圖。第6B圖到第6E圖示出了第6A圖的區域132的詳細俯視圖。區域132可以與第一積體電路晶粒122的側壁對準。第6F圖、第6H圖和第6I圖示出了第6A圖的區域131的詳細剖視圖。第6G圖示出了第6A圖的區域131的詳細俯視圖。
在第6A圖到第6I圖中,凸塊下金屬130形成在互連結構114上方。凸塊下金屬130可以形成在金屬圖案106上、沿著介電層108的表面延伸並且電性耦接到金屬圖案106。凸塊下金屬130可用於到互連結構114的外部連接。凸塊下金屬130可以由與上述用於金屬圖案106的相同或相似的材料以及通過相同或相似的製程來形成。在一些實施例中,凸塊下金屬130可具有與金屬圖案106、金屬圖案110和凸塊下金屬116不同的尺寸。
在第6A圖到第6H圖所示的實施例中,凸塊下金屬130的中心線C1(例如,延伸通過凸塊下金屬130的中心的虛擬線)可偏離或不與金屬圖案106的導通孔部分的中心線C2(例如,延伸通過金屬圖案106的導通孔部分的中心的虛擬線)對準,所述導通孔部分延伸穿過介電層108。在第6A圖和第6B圖所示的實施例中,金屬圖案106的導通孔部分的中心線C2設置成比凸塊下金屬130的中心線C1更遠離與第一積體電路晶粒122的中心線對準的點P1。在凸塊下金屬130、金屬圖案106和介電層108之間發生裂紋和其他缺陷的可能性可以在第一積體電路晶粒122的邊緣處是最大,並且可隨著與點P1的距離的減少而減小。另一方面,隨 著凸塊下金屬130的中心線C1與金屬圖案106的導通孔部分的中心線C2偏移或錯開的距離的增加,凸塊下金屬130的製程窗口(process window)減小。這樣一來,隨著與點P1的距離的增加,凸塊下金屬130的中心線C1與金屬圖案106的導通孔部分的中心線C2偏移或錯開的距離增加。舉例來說,如第6A圖所示,凸塊下金屬130A可以比凸塊下金屬130B更靠近點P1。凸塊下金屬130A的中心線C1與金屬圖案106的導通孔部分的中心線C2之間的距離D1可小於凸塊下金屬130B的中心線C1與金屬圖案106的導通孔部分的中心線C2之間的距離D2。距離D1可介於約1微米(μm)到約10微米的範圍,並且距離D2可介於約5微米到約30微米的範圍。這減少了裂紋等、減少了裝置缺陷、改善了裝置性能並且保持凸塊下金屬130的製程窗口。
參見第6B圖,對於最靠近點P1的凸塊下金屬130A和金屬圖案106,凸塊下金屬130A的最靠近點P1的邊緣與金屬圖案106的導通孔部分的最靠近點P1的邊緣之間的內側距離Din1可大於凸塊下金屬130A的最遠離點P1的邊緣與金屬圖案106的導通孔部分的最遠離點P1的邊緣之間的外側距離Dout1。隨著凸塊下金屬130和金屬圖案106到點P1的距離的增加,內側距離與外側距離之間的差值可以增加。舉例來說,對於最遠離點P1的凸塊下金屬130B和金屬圖案106,凸塊下金屬130B的最靠近點P1的邊緣與金屬圖案106的導通孔部分的最靠近點P1的邊緣之間的內側距離Din2可大於凸塊下金屬130B的最遠離點P1的邊緣與金屬圖案106的導通孔部分的最遠離點P1的邊緣之間的外側距離Dout2。內側距離Din2與外側距離Dout2之間的差值可大於內側距離Din1與外側距離Dout1之間的差值。內側距離與外側距離之間的差值可介於約3微米到約30微米的範圍。如第6B圖所示,凸塊下金屬130可以均勻地分布在區域132中的介電層108的表面上。
在第6C圖所示的實施例中,凸塊下金屬130A的最靠近點P1的邊緣與金屬圖案106的導通孔部分的最靠近點P1的邊緣之間的內側距離Din3可大於凸 塊下金屬130A的最遠離點P1的邊緣與金屬圖案106的導通孔部分的最遠離點P1的邊緣之間的外側距離Dout3。對於所有的凸塊下金屬130和金屬圖案106,內側距離Din3、外側距離Dout3以及內側距離與外側距離之間的差值可以是相同的,但是實施例不以此為限。對於凸塊下金屬130,將內側距離Din3、外側距離Dout3以及內側距離與外側距離之間的差值保持一致可以簡化佈局考量。內側距離與外側距離之間的差值可介於約3微米到約30微米的範圍。如第6C圖所示,凸塊下金屬130可以均勻地分布在區域132中的介電層108的表面上。
在第6D圖和第6E圖所示的實施例中,內側距離與外側距離之間的差值隨著凸塊下金屬130和金屬圖案106到點P1的距離的增加而增加,類似於第6A圖和第6B圖所示的實施例。舉例來說,對於最靠近點P1的凸塊下金屬130A和金屬圖案106,凸塊下金屬130A的最靠近點P1的邊緣與金屬圖案106的導通孔部分的最靠近點P1的邊緣之間的內側距離Din4可大於凸塊下金屬130A的最遠離點P1的邊緣與金屬圖案106的導通孔部分的最遠離點P1的邊緣之間的外側距離Dout4。在第6D圖中,對於最遠離點P1的凸塊下金屬130B和金屬圖案106,凸塊下金屬130B的最靠近點P1的邊緣與金屬圖案106的導通孔部分的最靠近點P1的邊緣之間的內側距離Din5可大於凸塊下金屬130B的最遠離點P1的邊緣與金屬圖案106的導通孔部分的最遠離點P1的邊緣之間的外側距離Dout5。類似地,在第6E圖中,對於最遠離點P1的凸塊下金屬130B和金屬圖案106,凸塊下金屬130B的最靠近點P1的邊緣與金屬圖案106的導通孔部分的最靠近點P1的邊緣之間的內側距離Din6可大於凸塊下金屬130B的最遠離點P1的邊緣與金屬圖案106的導通孔部分的最遠離點P1的邊緣之間的外側距離Dout6。內側距離Din5與外側距離Dout5之間的差值可大於內側距離Din4與外側距離Dout4之間的差值,並且內側距離Din6與外側距離Dout6之間的差值可大於內側距離Din4與外側距離Dout4之間的差值。內側距離與外側距離之間的差值可介於約3微米到約30微米的範圍。
此外,在第6D圖和第6E圖所示的實施例中,凸塊下金屬130可以不均勻地分布在區域132中的介電層108的表面上。舉例來說,凸塊下金屬130可以在區域132的外圍區域中具有較大的密度,以及在區域132的中心區域中具有較小的密度。第6E圖所示的實施例可以與第6D圖所示的實施例基本上相同,除了在區域132的角落區域中的一些凸塊下金屬130被省略之外。
第6B圖到第6E圖所示的實施例示出並描繪了設置在第一積體電路晶粒122上方的凸塊下金屬130和金屬圖案106的佈局。在一些實施例中,在第二積體電路晶粒124上方的凸塊下金屬130和金屬圖案106可以具有上述佈局中的任何佈局,所述佈局以與第二積體電路晶粒124的中心線對準的點P2(而不是點P1)為中心。在一些實施例中,在整個互連結構114上方的凸塊下金屬130和金屬圖案106可以具有上述佈局中的任何佈局,所述佈局以與互連結構114的中心線對準的點P3為中心。
凸塊下金屬130和金屬圖案106都可以由金屬材料形成,所述金屬材料可以具有與周圍材料(例如,介電層108和介電層112)的熱膨脹係數(coefficients of thermal expansion,CTEs)不匹配的熱膨脹係數。將金屬圖案106的導通孔部分的中心線C2與凸塊下金屬130的中心線C1對準可能在所得結構中引起高應力,從而導致介電層108和介電層112破裂。然而,通過在金屬圖案106上方形成凸塊下金屬130並且使得凸塊下金屬130的中心線C1偏離或不與金屬圖案106的導通孔部分的中心線C2對準,可減小所得結構中的應力,如此亦減少了裂紋的可能性和裝置缺陷。
在第6F圖和第6G圖所示的實施例中,金屬圖案106的導通孔部分的中心線C2與金屬圖案110的導通孔部分的中心線C3相對於凸塊下金屬130的中心線C1設置。凸塊下金屬130的中心線C1與金屬圖案106的導通孔部分的中心線C2之間的距離D4可以與上面參考第6A圖所討論的距離D1或距離D2相同。凸塊下 金屬130的中心線C1與金屬圖案110的導通孔部分的中心線C3之間的距離D3可介於約3微米到約30微米的範圍。與凸塊下金屬130的中心線C1與金屬圖案106的導通孔部分的中心線C2之間的距離類似,隨著到點P1的距離的增加,凸塊下金屬130的中心線C1與金屬圖案110的導通孔部分的中心線C3之間的距離可以增加或者保持一致。將中心線C2和中心線C3設置在中心線C1的兩側可增加來自中心線C2和中心線C3之間的介電層112的介電材料的量,此可以進一步減小所得結構中的應力以及減少裝置缺陷。
在第6H圖所示的實施例中,金屬圖案110的導通孔部分的中心線C3與凸塊下金屬130的中心線C1對準,並且金屬圖案106的導通孔部分的中心線C2偏離或不與凸塊下金屬130的中心線C1和金屬圖案110的導通孔部分的中心線C3對準。金屬圖案106的導通孔部分的中心線C2與凸塊下金屬130的中心線C1和金屬圖案110的導通孔部分的中心線C3之間的距離D5可以與上面參考第6A圖所討論的距離D1或距離D2相同。
在第6I圖所示的實施例中,凸塊下金屬130被凸塊下金屬130.i取代,凸塊下金屬130.i包括延伸穿過介電層108的導通孔部分。凸塊下金屬130.i的導通孔部分可以與金屬圖案106物理接觸並電性耦接到金屬圖案106。可以省略金屬圖案106的延伸穿過介電層108的導通孔部分,並且可以在脫離載體基板102之後和在形成凸塊下金屬130.i之前,通過圖案化以形成穿過介電層108的開口。凸塊下金屬130.i可以由與上述用於凸塊下金屬130的相同或相似的材料以及通過相同或相似的製程來形成。凸塊下金屬130.i可形成為使得凸塊下金屬130.i的設置在介電層108的頂表面之上的上部的中心線C4偏離或不與凸塊下金屬130.i的設置在介電層108的頂表面之下的導通孔部分的中心線C5對準。凸塊下金屬130.i的上部的中心線C4與凸塊下金屬130.i的導通孔部分的中心線C5之間的距離D6可以與上面參考第6A圖所討論的距離D1或距離D2相同。
凸塊下金屬130.i和金屬圖案106都可以由金屬材料形成,所述金屬材料可以具有與周圍材料(例如,介電層108)的熱膨脹係數(CTEs)不匹配的熱膨脹係數。將凸塊下金屬130.i的上部的中心線C4與凸塊下金屬130.i的導通孔部分的中心線C5對準可能在所得結構中引起高應力,從而導致介電層108破裂。然而,通過形成凸塊下金屬130.i並且使得凸塊下金屬130.i的上部的中心線C4偏離或不與凸塊下金屬130.i的導通孔部分的中心線C5對準,可減小所得結構中的應力,如此亦減少了裂紋的可能性和裝置缺陷。
在第7圖中,在凸塊下金屬130上方形成導電連接器134。導電連接器134可以是球柵陣列(BGA)連接器、焊球、金屬柱、可控塌陷晶片連接(C4)凸塊、微凸塊、以化學鍍鎳鈀浸金技術(ENEPIG)形成的凸塊等。導電連接器134可以包括導電材料,例如焊料、銅、鋁、金、鎳、銀、鈀、錫等或其組合。在一些實施例中,導電連接器134是藉由首先通過蒸鍍、電鍍、印刷、焊料轉移、植球等形成焊料層而形成的。一旦形成了焊料層,就可執行回焊以將材料塑型成期望的凸塊形狀。在一些實施例中,導電連接器134包括金屬柱(例如,銅柱),其可以通過濺鍍、印刷、電鍍、化學鍍、化學氣相沉積等來形成。金屬柱可以是無焊料的並且具有基本上垂直的側壁。在一些實施例中,在金屬柱的頂部形成有金屬蓋層。金屬蓋層可以包括鎳、錫、錫鉛、金、銀、鈀、銦、鎳鈀金、鎳金等或其組合,並且可通過鍍覆製程來形成。
在第8圖中,執行載體基板脫離處理以將第二載體基板150與第一積體電路晶粒122、第二積體電路晶粒124、底部填充劑126和密封劑128分離(或”脫離”),並且翻轉所述裝置。在一些實施例中,脫離處理包括將例如雷射光或紫外線光之類的光投射在第二釋放層152,使得第二釋放層152在光的熱量下分解,接著即可去除第二載體基板150。如第8圖所示,在去除第二載體基板150和第二釋放層152之後,第一積體電路晶粒122、第二積體電路晶粒124、底部填充 劑126和密封劑128的表面可被暴露。可以翻轉所述裝置,使得第一積體電路晶粒122和第二積體電路晶粒124的背側面朝上。在去除第二載體基板150和第二釋放層152之後,所得裝置可被稱為第一封裝部件100。
在第9圖中,基板140耦接到第一封裝部件100。基板140可以由半導體材料製成,例如矽、鍺、鑽石等。在一些實施例中,也可以使用化合物材料,例如矽鍺、碳化矽、砷化鎵、砷化銦、磷化銦、碳化矽鍺、磷砷化鎵、磷化銦鎵、上述的組合等。另外,基板140可以是絕緣體上半導體(SOI)基板。通常,絕緣體上半導體基板包括一層半導體材料,例如外延矽(epitaxial silicon)、鍺、矽鍺、SOI、絕緣體上矽鍺(silicon germanium on insulator,SGOI)或其組合。在一些實施例中,基板140可以基於絕緣核心(core),例如玻璃纖維強化樹脂核心。在一些實施例中,核心材料可以是玻璃纖維樹脂,例如FR4。在一些實施例中,核心材料可以包括雙馬來醯亞胺-三氮雜苯(bismaleimide-triazine,BT)樹脂、其他印刷電路板(PCB)材料或其他薄膜。例如味之素堆積膜(Ajinomoto build-up film,ABF)的堆積膜或其他層壓板(laminates)也可以用於基板140。
基板140可以包括主動和被動裝置(未單獨示出)。可以包括各式各樣的裝置,例如電晶體、電容器、電阻器、上述的組合等。所述裝置可以使用任何合適的方法來形成。基板140也可以包括金屬層(未示出)。金屬層可以形成在主動和被動裝置上方,並且被設計為連接各種裝置以形成功能電路。金屬層可以由介電材料(例如,低k介電材料)和導電材料(例如,銅)的交替層形成,並且具有使導電材料層互連的導通孔。金屬層可以通過任何合適的製程來形成,例如沉積、鑲嵌、雙鑲嵌等。在一些實施例中,基板140基本上沒有主動和被動裝置。
基板140可以包括形成在基板140的面對第一封裝部件100的第一側的接合焊墊142。在一些實施例中,可以通過在基板140的第一側上的介電層(未 單獨示出)中形成凹槽(未單獨示出)來形成接合焊墊142。凹槽可以形成為允許接合焊墊142被嵌入到介電層中。在一些實施例中,凹槽被省略,並且接合焊墊142可以形成在介電層上。在一些實施例中,接合焊墊142包括由銅、鈦、鎳、金、鈀等或其組合製成的薄晶種層(未單獨示出)。接合焊墊142的導電材料可以沉積在薄晶種層上方。導電材料可以通過電化學鍍製程、化學鍍、化學氣相沉積、原子層沉積(atomic layer deposition,ALD)、物理氣相沉積等或上述的組合來形成。在一些實施例中,接合焊墊142的導電材料包括銅、鎢、鋁、銀、金等或其組合。
在一些實施例中,接合焊墊142是凸塊下金屬,其包括三層的導電材料,例如一層鈦、一層銅和一層鎳。可以利用其他材料和層的佈置來形成接合焊墊142,例如鉻/鉻銅合金/銅/金的佈置、鈦/鈦鎢/銅的佈置或銅/鎳/金的佈置。可用於接合焊墊142的任何合適的材料或材料層都被涵蓋在本申請的範圍內。
基板140可以通過接合焊墊142、導電連接器134和凸塊下金屬130而機械地和電性接合到第一封裝部件100。可以將基板140放置在第一封裝部件100上方,並且可執行回焊製程以使導電連接器134回焊並通過導電連接器134將接合焊墊142接合到凸塊下金屬130。
接著,可以在第一封裝部件100與基板140之間形成底部填充劑144,其圍繞接合焊墊142、凸塊下金屬130和導電連接器134。底部填充劑144可以減少由於回焊導電連接器134而導致的應力並且保護接點。底部填充劑144可在附接第一封裝部件100到基板140之後通過毛細流動製程來形成,或者可在附接第一封裝部件100之前通過合適的沉積方法來形成。
本揭露實施例可實現各種優點。例如,在金屬圖案上方形成凸塊下金屬(UBMs)並使得金屬圖案的導通孔部分的中心線偏離或不與凸塊下金屬的 中心線對準,可以減小所得結構中的應力。此減少了在周圍的介電層中出現裂紋的可能性,從而減少了裝置缺陷。
根據本揭露一些實施例,提供一種半導體封裝裝置,包括第一積體電路晶粒、互連結構以及凸塊下金屬。互連結構耦接到該第一積體電路晶粒,並且包括第一金屬圖案、第二介電層以及第二金屬圖案。第一金屬圖案包括延伸穿過第一介電層的第一導通孔部分。第二介電層在與第一積體電路晶粒相對的第一介電層上方。第二金屬圖案耦接到第一金屬圖案,並且包括在第一介電層中的線路部分和延伸穿過第二介電層的第二導通孔部分。凸塊下金屬在第二金屬圖案和第二介電層上方,並且耦接到第二金屬圖案。其中,第一導通孔部分的第一中心線和第二導通孔部分的第二中心線與凸塊下金屬的第三中心線未對準,並且其中第一中心線和第二中心線在第三中心線的兩側。
在一些實施例中,所述半導體封裝裝置更包括耦接到凸塊下金屬並與凸塊下金屬物理接觸的導電凸塊。在一些實施例中,所述半導體封裝裝置更包括耦接到互連結構的第二積體電路晶粒,第一積體電路晶粒包括晶片上系統晶粒,第二積體電路晶粒包括高頻寬記憶體晶粒。在一些實施例中,在平行於第二介電層的主表面的第一方向上,第二中心線與第三中心線之間的距離為3微米至30微米。在一些實施例中,凸塊下金屬設置在第一區域中,第一區域的邊界與第一積體電路晶粒的側壁對準,其中第三中心線比第二中心線更靠近第一區域的第四中心線。在一些實施例中,所述半導體封裝裝置更包括第二凸塊下金屬,其中第二金屬圖案更包括延伸穿過第二介電層的第三導通孔部分,第三導通孔部分耦接到第二凸塊下金屬,其中第二凸塊下金屬的第五中心線設置成比凸塊下金屬的第三中心線更遠離第一區域的第四中心線,其中在平行於第二介電層的主表面的第一方向上,第二中心線與第三中心線之間的第一距離小於在第一方向上的第五中心線與第三導通孔部分的第六中心線之間的第二距 離。在一些實施例中,所述半導體封裝裝置更包括第二凸塊下金屬,其中第二金屬圖案更包括延伸穿過第二介電層的第三導通孔部分,第三導通孔部分耦接到第二凸塊下金屬,其中第二凸塊下金屬的第五中心線設置成比凸塊下金屬的第三中心線更遠離第一區域的第四中心線,其中在平行於第二介電層的主表面的第一方向上,第二中心線與第三中心線之間的第一距離等於在第一方向上的第五中心線與第三導通孔部分的第六中心線之間的第二距離。
根據本揭露另一些實施例,提供一種半導體封裝裝置,包括積體電路晶粒、互連結構、凸塊下金屬以及導電接點。積體電路晶粒耦接到互連結構。互連結構包括一或多個金屬圖案、一或多個介電層、頂部介電層以及頂部金屬圖案。所述一或多個金屬圖案設置在所述一或多個介電層中。頂部介電層在所述一或多個金屬圖案和所述一或多個介電層上方。頂部金屬圖案電性耦接到所述一或多個金屬圖案,其中頂部金屬圖案包括延伸穿過頂部介電層的導通孔部分,其中導通孔部分的頂表面與頂部介電層的頂表面齊平。凸塊下金屬沿著頂部介電層的頂表面和頂部金屬圖案的導通孔部分的頂表面延伸,其中在凸塊下金屬的最靠近積體電路晶粒的中心線的邊緣與導通孔部分的最靠近積體電路晶粒的中心線的邊緣之間測量到第一距離,其中在凸塊下金屬的最遠離積體電路晶粒的中心線的邊緣與導通孔部分的最遠離積體電路晶粒的中心線的邊緣之間測量到第二距離,並且其中第一距離和第二距離之間的第一差值為正的。導電接點耦接到凸塊下金屬。
在一些實施例中,積體電路晶粒包括晶片上系統晶粒。在一些實施例中,所述半導體封裝裝置更包括沿著頂部介電層的頂表面和頂部金屬圖案的第二導通孔部分的頂表面延伸的第二凸塊下金屬,第二凸塊下金屬比凸塊下金屬更遠離積體電路晶粒的中心線,其中在第二凸塊下金屬的最靠近積體電路晶粒的中心線的邊緣與第二導通孔部分的最靠近積體電路晶粒的中心線的邊緣 之間測量到第三距離,其中第三距離大於第一距離。在一些實施例中,所述半導體封裝裝置更包括沿著頂部介電層的頂表面和頂部金屬圖案的第二導通孔部分的頂表面延伸的第二凸塊下金屬,第二凸塊下金屬比凸塊下金屬更遠離積體電路晶粒的中心線,其中在第二凸塊下金屬的最靠近積體電路晶粒的中心線的邊緣與第二導通孔部分的最靠近積體電路晶粒的中心線的邊緣之間測量到第三距離,其中第三距離等於第一距離。在一些實施例中,所述半導體封裝裝置更包括複數個第一凸塊下金屬,其中第一凸塊下金屬包括所述凸塊下金屬,並且其中第一凸塊下金屬相對於彼此均勻地間隔開並設置在與積體電路晶粒的側壁對準的區域中。在一些實施例中,所述半導體封裝裝置複數個第一凸塊下金屬,其中第一凸塊下金屬設置在與積體電路晶粒的側壁對準的區域中,其中所述區域包括被第二部分圍繞的第一部分,並且其中在第一部分中的第一凸塊下金屬的密度小於在第二部分中的第一凸塊下金屬的密度。在一些實施例中,所述半導體封裝裝置更包括複數個第一凸塊下金屬,其中第一凸塊下金屬設置在與積體電路晶粒的側壁對準的區域中,並且其中第一凸塊下金屬均勻地分布在該區域中。
根據本揭露又另一些實施例,提供一種製造半導體封裝裝置的方法。所述方法包括在第一載體上方形成互連結構。所述方法還包括將第一晶粒接合到互連結構。所述方法還包括從互連結構上去除第一載體,其中在去除第一載體之後,互連結構的與第一晶粒相對的第一金屬圖案的第一導通孔部分被暴露。此外,所述方法包括在第一導通孔部分上方形成與第一導通孔部分物理接觸的第一凸塊下金屬,其中第一凸塊下金屬的中心線偏離第一導通孔部分的中心線。
在一些實施例中,所述方法更包括形成複數個第一凸塊下金屬和環繞第一凸塊下金屬的複數個第二凸塊下金屬,其中第一凸塊下金屬的密度小 於第二凸塊下金屬的密度,並且其中形成第一凸塊下金屬和第二凸塊下金屬包括形成所述第一凸塊下金屬。在一些實施例中,所述方法更包括在互連結構與第一晶粒和第二晶粒中的每一者之間形成底部填充劑,底部填充劑延伸至與第一晶粒和第二晶粒的頂表面齊平。在一些實施例中,所述方法更包括形成圍繞第一晶粒、第二晶粒和底部填充劑的密封劑,以及平坦化密封劑、底部填充劑、第一晶粒和第二晶粒。在一些實施例中,所述方法更包括在第一金屬圖案的第二導通孔部分上方形成與第二導通孔部分物理接觸的第二凸塊下金屬,其中在平行於互連結構的主表面的第一方向上,第一凸塊下金屬的中心線與第一晶粒的中心線之間的距離小於在第一方向上的第二凸塊下金屬的中心線與第一晶粒的中心線之間的距離,並且其中第二凸塊下金屬的中心線與第二導通孔部分的中心線偏移的距離大於第一凸塊下金屬的中心線與第一導通孔部分的中心線偏移的距離。在一些實施例中,所述方法更包括在第一金屬圖案的第二導通孔部分上方形成與第二導通孔部分物理接觸的第二凸塊下金屬,其中在平行於互連結構的主表面的第一方向上,第一凸塊下金屬的中心線與第一晶粒的中心線之間的距離小於在第一方向上的第二凸塊下金屬的中心線與第一晶粒的中心線之間的距離,並且其中第二凸塊下金屬的中心線與第二導通孔部分的中心線偏移的距離等於第一凸塊下金屬的中心線與第一導通孔部分的中心線偏移的距離。
前述內文概述了許多實施例的特徵,使本技術領域中具有通常知識者可以從各個方面更佳地了解本揭露。本技術領域中具有通常知識者應可理解,且可輕易地以本揭露為基礎來設計或修飾其他製程及結構,並以此達到相同的目的及/或達到與在此介紹的實施例等相同之優點。本技術領域中具有通常知識者也應了解這些相等的結構並未背離本揭露的發明精神與範圍。在不背離本揭露的發明精神與範圍之前提下,可對本揭露進行各種改變、置換或修改。
66:晶粒連接器
106:金屬圖案
108:介電層
110:(最頂部)金屬圖案
112:(最頂部)介電層
114:互連結構
116:凸塊下金屬
118:導電連接器
122:第一積體電路晶粒
124:第二積體電路晶粒
126:底部填充劑
128:密封劑
130,130A,130B:凸塊下金屬
131,132:區域
150:第二載體基板
152:第二釋放層
C1,C2:中心線
D1,D2:距離
P1,P2,P3:點

Claims (13)

  1. 一種半導體封裝裝置,包括:一第一積體電路晶粒;一互連結構,耦接到該第一積體電路晶粒,該互連結構包括:一第一金屬圖案,包括延伸穿過一第一介電層的一第一導通孔部分;一第二介電層,在與該第一積體電路晶粒相對的該第一介電層上方;以及一第二金屬圖案,耦接到該第一金屬圖案,該第二金屬圖案包括在該第一介電層中的一線路部分和延伸穿過該第二介電層的一第二導通孔部分;以及一凸塊下金屬,在該第二金屬圖案和該第二介電層上方,該凸塊下金屬耦接到該第二金屬圖案,其中該第一導通孔部分的一第一中心線和該第二導通孔部分的一第二中心線與該凸塊下金屬的一第三中心線未對準,並且其中該第一中心線和該第二中心線在該第三中心線的兩側,其中該凸塊下金屬設置在一第一區域中,該第一區域的邊界與該第一積體電路晶粒的側壁對準,其中該第三中心線比該第二中心線更靠近該第一區域的一第四中心線。
  2. 如請求項1之半導體封裝裝置,更包括耦接到該凸塊下金屬並與該凸塊下金屬物理接觸的一導電凸塊。
  3. 如請求項1之半導體封裝裝置,更包括耦接到該互連結構的一第二積體電路晶粒,該第一積體電路晶粒包括一晶片上系統晶粒,該第二積體電路晶粒包括一高頻寬記憶體晶粒。
  4. 如請求項1之半導體封裝裝置,其中在平行於該第二介電層的一主表面的一第一方向上,該第二中心線與該第三中心線之間的一距離為3微米至30微米。
  5. 如請求項1之半導體封裝裝置,更包括一第二凸塊下金屬, 其中該第二金屬圖案更包括延伸穿過該第二介電層的一第三導通孔部分,該第三導通孔部分耦接到該第二凸塊下金屬,其中該第二凸塊下金屬的一第五中心線設置成比該凸塊下金屬的該第三中心線更遠離該第一區域的該第四中心線,其中在平行於該第二介電層的一主表面的一第一方向上,該第二中心線與該第三中心線之間的一第一距離小於或等於在該第一方向上的該第五中心線與該第三導通孔部分的一第六中心線之間的一第二距離。
  6. 一種半導體封裝裝置,包括:一積體電路晶粒,耦接到一互連結構,該互連結構包括:一或多個金屬圖案,設置在一或多個介電層中;一頂部介電層,在該一或多個金屬圖案和該一或多個介電層上方;以及一頂部金屬圖案,電性耦接到該一或多個金屬圖案,其中該頂部金屬圖案包括延伸穿過該頂部介電層的一導通孔部分,其中該導通孔部分的一頂表面與該頂部介電層的一頂表面齊平;一凸塊下金屬,沿著該頂部介電層的該頂表面和該頂部金屬圖案的該導通孔部分的該頂表面延伸,其中在該凸塊下金屬的最靠近該積體電路晶粒的一中心線的一邊緣與該導通孔部分的最靠近該積體電路晶粒的該中心線的一邊緣之間測量到一第一距離,其中在該凸塊下金屬的最遠離該積體電路晶粒的該中心線的一邊緣與該導通孔部分的最遠離該積體電路晶粒的該中心線的一邊緣之間測量到一第二距離,並且其中該第一距離和該第二距離之間的一第一差值為正的;以及一導電接點,耦接到該凸塊下金屬。
  7. 如請求項6之半導體封裝裝置,更包括沿著該頂部介電層的該頂表面和該頂部金屬圖案的一第二導通孔部分的一頂表面延伸的一第二凸塊下金屬,該第二凸塊下金屬比該凸塊下金屬更遠離該積體電路晶粒的該中心 線,其中在該第二凸塊下金屬的最靠近該積體電路晶粒的該中心線的一邊緣與該第二導通孔部分的最靠近該積體電路晶粒的該中心線的一邊緣之間測量到一第三距離,其中該第三距離大於或等於該第一距離。
  8. 如請求項6之半導體封裝裝置,更包括複數個第一凸塊下金屬,其中該些第一凸塊下金屬包括該凸塊下金屬,並且其中該些第一凸塊下金屬相對於彼此均勻地間隔開並設置在與該積體電路晶粒的側壁對準的一區域中。
  9. 如請求項6之半導體封裝裝置,更包括複數個第一凸塊下金屬,其中該些第一凸塊下金屬設置在與該積體電路晶粒的側壁對準的一區域中,其中該區域包括被一第二部分圍繞的一第一部分,並且其中在該第一部分中的該些第一凸塊下金屬的一密度小於在該第二部分中的該些第一凸塊下金屬的一密度。
  10. 一種製造半導體封裝裝置的方法,包括:在一第一載體上方形成一互連結構;將一第一晶粒接合到該互連結構;從該互連結構上去除該第一載體,其中在去除該第一載體之後,該互連結構的與該第一晶粒相對的一第一金屬圖案的一第一導通孔部分被暴露;在該第一導通孔部分上方形成與該第一導通孔部分物理接觸的一第一凸塊下金屬,其中該第一凸塊下金屬的一中心線偏離該第一導通孔部分的一中心線;以及在該第一金屬圖案的一第二導通孔部分上方形成與該第二導通孔部分物理接觸的一第二凸塊下金屬,其中在平行於該互連結構的一主表面的一第一方向上,該第一凸塊下金屬的該中心線與該第一晶粒的一中心線之間的一距離小於在該第一方向上的該第二凸塊下金屬的一中心線與該第一晶粒的該中心線之間 的一距離,並且其中該第二凸塊下金屬的該中心線與該第二導通孔部分的一中心線偏移的一距離大於或等於該第一凸塊下金屬的該中心線與該第一導通孔部分的該中心線偏移的一距離。
  11. 如請求項10之製造半導體封裝裝置的方法,更包括形成複數個第一凸塊下金屬和環繞該些第一凸塊下金屬的複數個第二凸塊下金屬,其中該些第一凸塊下金屬的一密度小於該些第二凸塊下金屬的一密度,並且其中形成該些第一凸塊下金屬和該些第二凸塊下金屬包括形成該第一凸塊下金屬。
  12. 如請求項11之製造半導體封裝裝置的方法,更包括在該互連結構與該第一晶粒和一第二晶粒中的每一者之間形成一底部填充劑,該底部填充劑延伸至與該第一晶粒和該第二晶粒的頂表面齊平。
  13. 如請求項12之製造半導體封裝裝置的方法,更包括:形成圍繞該第一晶粒、該第二晶粒和該底部填充劑的一密封劑;以及平坦化該密封劑、該底部填充劑、該第一晶粒和該第二晶粒。
TW110126415A 2020-07-31 2021-07-19 半導體封裝裝置及其製造方法 TWI801938B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063059226P 2020-07-31 2020-07-31
US63/059,226 2020-07-31
US17/139,775 2020-12-31
US17/139,775 US11652037B2 (en) 2020-07-31 2020-12-31 Semiconductor package and method of manufacture

Publications (2)

Publication Number Publication Date
TW202207366A TW202207366A (zh) 2022-02-16
TWI801938B true TWI801938B (zh) 2023-05-11

Family

ID=77431103

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110126415A TWI801938B (zh) 2020-07-31 2021-07-19 半導體封裝裝置及其製造方法

Country Status (7)

Country Link
US (2) US11652037B2 (zh)
EP (1) EP3945568A1 (zh)
JP (1) JP2022027738A (zh)
KR (1) KR102661237B1 (zh)
CN (1) CN113675163A (zh)
DE (1) DE102021100257B4 (zh)
TW (1) TWI801938B (zh)

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130062760A1 (en) * 2010-10-14 2013-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging Methods and Structures Using a Die Attach Film
TW201635396A (zh) * 2014-12-30 2016-10-01 艾馬克科技公司 半導體裝置及其製造方法

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006202991A (ja) * 2005-01-20 2006-08-03 Sony Corp 回路基板及びその製造方法、並びに半導体パッケージ及びその製造方法
US8759964B2 (en) 2007-07-17 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer level package structure and fabrication methods
US7812438B2 (en) * 2008-01-07 2010-10-12 International Business Machines Corporation Via offsetting to reduce stress under the first level interconnect (FLI) in microelectronics packaging
JP5185885B2 (ja) * 2009-05-21 2013-04-17 新光電気工業株式会社 配線基板および半導体装置
US9985150B2 (en) 2010-04-07 2018-05-29 Shimadzu Corporation Radiation detector and method of manufacturing the same
US9048233B2 (en) 2010-05-26 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Package systems having interposers
US8361842B2 (en) 2010-07-30 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Embedded wafer-level bonding approaches
US8502377B2 (en) 2010-08-06 2013-08-06 Mediatek Inc. Package substrate for bump on trace interconnection
US8884431B2 (en) 2011-09-09 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging methods and structures for semiconductor devices
US8829676B2 (en) 2011-06-28 2014-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure for wafer level package
US8441131B2 (en) * 2011-09-12 2013-05-14 Globalfoundries Inc. Strain-compensating fill patterns for controlling semiconductor chip package interactions
US9000584B2 (en) 2011-12-28 2015-04-07 Taiwan Semiconductor Manufacturing Company, Ltd. Packaged semiconductor device with a molding compound and a method of forming the same
US8680647B2 (en) 2011-12-29 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. Packages with passive devices and methods of forming the same
US8703542B2 (en) 2012-05-18 2014-04-22 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer-level packaging mechanisms
US9991190B2 (en) 2012-05-18 2018-06-05 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging with interposer frame
US8809996B2 (en) 2012-06-29 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Package with passive devices and method of forming the same
US8785299B2 (en) 2012-11-30 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Package with a fan-out structure and method of forming the same
US8803306B1 (en) 2013-01-18 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out package structure and methods for forming the same
US8778738B1 (en) 2013-02-19 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Packaged semiconductor devices and packaging devices and methods
US9263511B2 (en) 2013-02-11 2016-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Package with metal-insulator-metal capacitor and method of manufacturing the same
US9048222B2 (en) 2013-03-06 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating interconnect structure for package-on-package devices
US8877554B2 (en) 2013-03-15 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Packaged semiconductor devices, methods of packaging semiconductor devices, and PoP devices
US9368460B2 (en) 2013-03-15 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out interconnect structure and method for forming same
US9324698B2 (en) * 2013-08-13 2016-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-chip structure and method of forming same
KR101579673B1 (ko) * 2014-03-04 2015-12-22 앰코 테크놀로지 코리아 주식회사 반도체 패키지 제조 방법 및 이를 이용한 반도체 패키지
US10515889B2 (en) * 2017-10-13 2019-12-24 Advanced Semiconductor Engineering, Inc. Semiconductor package device and method of manufacturing the same
KR102019355B1 (ko) 2017-11-01 2019-09-09 삼성전자주식회사 반도체 패키지
US10510634B2 (en) * 2017-11-30 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method
KR102467030B1 (ko) * 2018-01-17 2022-11-14 삼성전자주식회사 반도체 패키지 및 그 패키지를 포함한 반도체 장치
US11424197B2 (en) * 2018-07-27 2022-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Package, package structure with redistributing circuits and antenna elements and method of manufacturing the same
KR102145204B1 (ko) 2018-08-30 2020-08-18 삼성전자주식회사 반도체 패키지
US10658348B2 (en) * 2018-09-27 2020-05-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices having a plurality of first and second conductive strips
US11164754B2 (en) 2018-09-28 2021-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out packages and methods of forming the same
US11430739B2 (en) 2018-10-30 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of package structure with fan-out structure
US11309242B2 (en) * 2020-06-29 2022-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Package component, semiconductor package and manufacturing method thereof

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130062760A1 (en) * 2010-10-14 2013-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging Methods and Structures Using a Die Attach Film
TW201635396A (zh) * 2014-12-30 2016-10-01 艾馬克科技公司 半導體裝置及其製造方法

Also Published As

Publication number Publication date
KR20220015911A (ko) 2022-02-08
US20220037247A1 (en) 2022-02-03
DE102021100257B4 (de) 2024-03-28
EP3945568A1 (en) 2022-02-02
US11652037B2 (en) 2023-05-16
JP2022027738A (ja) 2022-02-14
CN113675163A (zh) 2021-11-19
US20230253303A1 (en) 2023-08-10
KR102661237B1 (ko) 2024-04-25
TW202207366A (zh) 2022-02-16
DE102021100257A1 (de) 2022-02-03

Similar Documents

Publication Publication Date Title
CN111799227B (zh) 半导体器件及其形成方法
TWI783269B (zh) 封裝、半導體封裝及其形成方法
US11984372B2 (en) Integrated circuit package and method
TWI773260B (zh) 封裝結構及其製造方法
KR102455197B1 (ko) 집적 회로 패키지 및 방법
TWI771870B (zh) 半導體封裝及其形成方法
US20220359465A1 (en) Package structures and method for forming the same
US20230378012A1 (en) Integrated Circuit Packages and Methods of Forming the Same
TW202038396A (zh) 積體電路封裝體及其製造方法
TWI775443B (zh) 半導體封裝及其形成方法
TWI801938B (zh) 半導體封裝裝置及其製造方法
US11830859B2 (en) Package structures and method for forming the same
TWI838073B (zh) 積體電路封裝及其形成方法
TWI841187B (zh) 半導體封裝及方法
KR102473590B1 (ko) 반도체 디바이스 및 방법
TWI767791B (zh) 封裝結構及其製造方法
CN220510023U (zh) 半导体封装
TWI795716B (zh) 半導體裝置及其形成方法
TW202329377A (zh) 半導體封裝及其製造方法