JP2022027738A - 半導体パッケージ及び製造方法 - Google Patents

半導体パッケージ及び製造方法 Download PDF

Info

Publication number
JP2022027738A
JP2022027738A JP2021126126A JP2021126126A JP2022027738A JP 2022027738 A JP2022027738 A JP 2022027738A JP 2021126126 A JP2021126126 A JP 2021126126A JP 2021126126 A JP2021126126 A JP 2021126126A JP 2022027738 A JP2022027738 A JP 2022027738A
Authority
JP
Japan
Prior art keywords
ubm
centerline
integrated circuit
metallization
center line
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2021126126A
Other languages
English (en)
Inventor
佳桂 許
Jia Gui Xu
明志 游
Ming-Chih Yew
柏辰 ▲らい▼
Po-Chen Lai
書伸 葉
Shu Shen Ye
柏堯 林
Bai Yao Lin
心圃 鄭
Shin-Puu Jeng
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of JP2022027738A publication Critical patent/JP2022027738A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/4857Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/563Encapsulation of active face of flip-chip device, e.g. underfilling or underencapsulation of flip-chip, encapsulation preform on chip or mounting substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49822Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49838Geometry or layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/09Structure, shape, material or disposition of the bonding areas after the connecting process of a plurality of bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0655Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/18Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different subgroups of the same main group of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/061Disposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16227Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/81001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector involving a temporary auxiliary member not forming part of the bonding apparatus
    • H01L2224/81005Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector involving a temporary auxiliary member not forming part of the bonding apparatus being a temporary or sacrificial substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8119Arrangement of the bump connectors prior to mounting
    • H01L2224/81192Arrangement of the bump connectors prior to mounting wherein the bump connectors are disposed only on another item or body to be connected to the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/921Connecting a surface with connectors of different types
    • H01L2224/9212Sequential connecting processes
    • H01L2224/92122Sequential connecting processes the first connecting process involving a bump connector
    • H01L2224/92125Sequential connecting processes the first connecting process involving a bump connector the second connecting process involving a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5383Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5389Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates the chips being integrally enclosed by the interconnect and support structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15313Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a land array, e.g. LGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation
    • H01L2924/1815Shape
    • H01L2924/1816Exposing the passive side of the semiconductor or solid-state body
    • H01L2924/18161Exposing the passive side of the semiconductor or solid-state body of a flip chip

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Ceramic Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

【課題】改良されたアンダーバンプメタライゼーション(UBM)レイアウト及びそれを形成する方法を有する半導体デバイスを提供する。【解決手段】半導体デバイスは、ICダイ122、124、誘電体層108、112を通って延びるビア部分を含むメタライゼーションパターン106、110、ICダイの反対側の誘電体層上の第2の誘電体層及びメタライゼーションパターンに結合され、誘電体層内のライン部分と、第2の誘電体層を通って延びる第2のビア部分とを含む第2のメタライゼーションパターンを含むICダイに結合された相互接続構造114と、第2のメタライゼーションパターンに結合され、第2のメタライゼーションパターン及び第2の誘電体層上のUBMと、を含む。ビア部分の中心線と第2のビア部分の第2の中心線は、UBMの第3の中心線とずれており、中心線と第2の中心線は、第3の中心線の反対側にある。【選択図】図2A

Description

本願は、2020年7月31日に出願され、名称が「半導体パッケージ」の米国仮出願第63/059,226号の優先権を主張し、参照により本明細書に組み込まれる。
本発明は、半導体パッケージ及び製造方法に関する。
半導体業界は、さまざまな電子部品(トランジスタ、ダイオード、抵抗、コンデンサなど)の集積密度が継続的に改善されているため、急速な成長を遂げる。ほとんどの場合、集積密度の向上は、最小フィーチャサイズの反復的な削減によってもたらされ、 これにより、より多くのコンポーネントを所定の領域に集積できる。縮小する電子デバイスの需要が高まるにつれ、半導体ダイのより小さく、より創造的なパッケージング技術の必要性が浮上している。 このようなパッケージングシステムの例は、パッケージオンパッケージ(PoP)技術である. PoPデバイスでは、上部の半導体パッケージが下部の半導体パッケージの上に積み重ねられ、高レベルの集積とコンポーネント密度を提供する。 PoP技術は、一般に、プリント回路基板(PCB)上で機能が強化されフットプリントが小さい半導体デバイスの製造を可能にする。
本開示の態様は、添付の図とともに読むと、以下の詳細な説明から最もよく理解される。業界の標準的な慣行に従って、さまざまな機能が一定の縮尺で描かれていないことを注意すべきである。実際、さまざまな機能の寸法は、説明を明確にするために任意に拡大または縮小できる
いくつかの実施形態によるパッケージ構成要素を形成するためのプロセス中の中間ステップの断面図を示す。 いくつかの実施形態によるパッケージ構成要素を形成するためのプロセス中の中間ステップの断面図を示す。 いくつかの実施形態によるパッケージ構成要素を形成するためのプロセス中の中間ステップの断面図を示す。 いくつかの実施形態によるパッケージ構成要素を形成するためのプロセス中の中間ステップの断面図を示す。 いくつかの実施形態によるパッケージ構成要素を形成するためのプロセス中の中間ステップの断面図を示す。 いくつかの実施形態によるパッケージ構成要素を形成するためのプロセス中の中間ステップの断面図を示す。 いくつかの実施形態によるパッケージ構成要素を形成するためのプロセス中の中間ステップの断面図を示す。 いくつかの実施形態によるアンダーバンプメタライゼーションレイアウトの断面図および上面図を示す。 いくつかの実施形態によるアンダーバンプメタライゼーションレイアウトの断面図および上面図を示す。 いくつかの実施形態によるアンダーバンプメタライゼーションレイアウトの断面図および上面図を示す。 いくつかの実施形態によるアンダーバンプメタライゼーションレイアウトの断面図および上面図を示す。 いくつかの実施形態によるアンダーバンプメタライゼーションレイアウトの断面図および上面図を示す。 いくつかの実施形態によるアンダーバンプメタライゼーションレイアウトの断面図および上面図を示す。 いくつかの実施形態によるアンダーバンプメタライゼーションレイアウトの断面図および上面図を示す。 いくつかの実施形態によるアンダーバンプメタライゼーションレイアウトの断面図および上面図を示す。 いくつかの実施形態によるパッケージ構成要素を形成するためのプロセス中の中間ステップの断面図を示す。 いくつかの実施形態によるパッケージ構成要素を形成するためのプロセス中の中間ステップの断面図を示す。 いくつかの実施形態によるパッケージ構成要素を形成するためのプロセス中の中間ステップの断面図を示す。
以下の開示は、本発明の異なる特徴を実施するための多くの異なる実施形態または例を提供する。本開示を簡略化するために、構成要素および配置の特定の例を以下に説明する。 もちろん、これらは単なる例であり、限定することを意図するものではない。例えば、以下の説明における第2の特徴の上または上での第1の特徴の形成は、第1および第2の特徴が直接接触して形成される実施形態を含むことができ、また、第1および第2の特徴が直接接触しないように、第1の特徴と第2の特徴との間に追加の特徴を形成することができる実施形態を含んでもよい。さらに、本開示は、様々な例において参照数字および/または文字を繰り返すことができる。この繰り返しは、単純さと明快さを目的としており、それ自体では、説明したさまざまな実施形態および/または構成間の関係を指示するものではない
さらに、「下部」 「下」 「低」 「上」 「上部」などのような空間的に相対的な用語は、説明を容易にするために、図に示されているように、ある要素または機能と別の要素(s)または機能(s)との関係を説明するために本明細書で使用され得る。空間的に相対的な用語は、図に示されている方向に加えて、使用中または動作中のデバイスのさまざまな方向を包含することを意図する。装置は、他の方法で方向付けられてもよく(90度または他の方向に回転されてもよい)、本明細書で使用される空間的に相対的な用語は、同様にそれに応じて解釈され得る。
様々な実施形態は、改善されたアンダーバンプメタライゼーション(UBM)レイアウトおよびそれを形成する方法を有するパッケージ化された半導体デバイスを提供する。誘電体層に配置されたメタライゼーションパターンを含む相互接続構造を形成することができる。相互接続構造の上部メタライゼーションパターンは、相互接続構造の上部誘電体層を通って延びるビア部分を含み得る。UBMは、UBMの中心線が上部メタライゼーションパターンのビア部分の中心線からオフセットされるか、または中心線とずれるように、上部メタライゼーションパターンおよび上部誘電体層上に形成され得る。UBMの中心線とビア部分の中心線の位置がずれていると、周囲の誘電体層の応力が減少し、誘電体層の亀裂が減少し、デバイスの欠陥が減少する可能性がある.
図1は、いくつかの実施形態による、キャリア基板102上に形成された相互接続構造114(再分配構造とも呼ばれる)を示す. 図1では、キャリア基板102が提供され、リリース層104がキャリア基板102上に形成されている。キャリア基板102は、ガラスキャリア基板、セラミックキャリア基板などであり得る。キャリア基板102は、複数のパッケージがキャリア基板102上に同時に形成され得るように、ウェーハであり得る。
リリース層104は、ポリマーベースの材料で形成することができ、これは、後続のステップで形成される上にある構造から、キャリア基板102と共に除去することができる。いくつかの実施形態では、リリース層104は、エポキシベースの熱放出材料であり、光から熱への変換(LTHC)放出コーティングなど、加熱されるとその接着特性を失う。他の実施形態では、リリース層104は、UV光に曝されるとその接着特性を失う紫外線(UV)接着剤であり得る。リリース層104は、液体として分配されて硬化されてもよく、キャリア基板102上に積層されたラミネートフィルムであってもよく、または同様のものであり得る。リリース層104の上面は、平らにすることができ、高度の平面性を有することができる。
相互接続構造114は、リリース層104およびキャリア基板102の上に形成される。相互接続構造114は、誘電体層108および112とメタライゼーションパターン106および110とを含む。メタライゼーションパターン106および110は、再分配層または再分配ラインと呼ばれることもある。相互接続構造114は、メタライゼーションパターン106および110の4つの層と、誘電体層108および112の5つの層を含むものとして示されている。しかしながら、いくつかの実施形態では、誘電体層108および112ならびにメタライゼーションパターン106および110のうちの多かれ少なかれが相互接続構造114内に形成され得る。より少ない誘電体層108および112ならびにメタライゼーションパターン106および110が形成される場合、以下に論じられるステップおよびプロセスは省略され得る。より多くの誘電体層108および112ならびにメタライゼーションパターン106および110が形成される場合、以下に論じられるステップおよびプロセスが繰り返され得る。
図1では、誘電体層108がリリース層104上に堆積されている。いくつかの実施形態では、誘電体層108は、リソグラフィマスクを使用してパターニングすることができる、PBO、ポリイミド、BCBなどの感光性材料で形成されている。誘電体層108は、スピンコーティング、積層、化学蒸着(CAV)など、またはそれらの組み合わせによって形成することができる。次に、誘電体層108がパターニングされる。パターニングは、リリース層104の部分を露出する開口部を形成する。パターニングは、誘電体層108が感光性材料である場合に誘電体層108を光に露光および現像することによる、または異方性エッチングなどを使用するエッチングによる、許容可能なプロセスによるものであり得る
次に、メタライゼーションパターン106が形成される。メタライゼーションパターン106は、誘電体層108の主表面に沿って延在し、誘電体層108を通って延在してリリース層104と物理的に接触する導電性要素を含む。メタライゼーションパターン106は、誘電体層108上および誘電体層108を通って延びる開口部にシード層(別個に図示されていない)を堆積させることによって形成することができる。いくつかの実施形態では、シード層は金属層であり、これは、異なる材料で形成された単一の層または複数の副層を含む複合層であり得る。いくつかの実施形態では、シード層は、チタン層およびチタン層上の銅層を含む。シード層は、例えば、物理蒸着(PVD)などを使用して形成することができる。次に、フォトレジストが形成され、シード層上にパターニングされる。フォトレジストは、スピンコーティングなどによって形成することができ、パターン化のために光に露光することができる。フォトレジストのパターンは、メタライゼーションパターン106に対応する。パターン化により、フォトレジストに開口部が形成され、シード層が露出する。次に、導電性材料がフォトレジストの開口部およびシード層の露出部分に形成される。導電性材料は、電気めっきまたは無電解めっきなどのめっきによって形成することができる。導電性材料は、銅、チタン、タングステン、アルミニウムなどの金属を含み得る。導電性材料とシード層の下にある部分との組み合わせは、メタライゼーションパターン106を形成する。フォトレジストおよび導電性材料が形成されていないシード層の部分が除去される。フォトレジストは、酸素プラズマなどを使用するなど、許容可能なアッシングまたはストリッピングプロセスによって除去することができる。フォトレジストが除去されると、ウェットエッチングまたはドライエッチングなどの許容可能なエッチングプロセスを使用することなどによって、シード層の露出部分が除去される。
次に、誘電体層112およびメタライゼーションパターン110が、誘電体層108およびメタライゼーションパターン106上に交互に形成される。誘電体層112は、誘電体層108について以上で説明したものと同様または同じプロセスによって、材料から形成することができる。メタライゼーションパターン110は、メタライゼーションパターン106について以上で説明したものと同様または同じプロセスによって、材料から形成することができる。
次に、UBM116は、相互接続構造114の最上部誘電体層112および最上部のメタライゼーションパターン110上に形成される。UBM116は、相互接続構造114への外部接続のために使用され得る。UBM116は、最上部誘電体層112の主表面上に、それに沿って、そして最上部誘電体層112を通って延びる部分を介して延びるバンプ部分を含み得る。ビア部分は、最上部のメタライゼーションパターン110と物理的に接触し、電気的に結合することができる。UBM116は、メタライゼーションパターン106について以上で説明したものと同様または同じプロセスによって、材料から形成することができる。いくつかの実施形態では、UBM116は、メタライゼーションパターン106および110とは異なるサイズを有し得る。
図2Aでは、第1の集積回路ダイ122および第2の集積回路ダイ124は、導電性コネクタ118を介して相互接続構造114に結合されている。導電性コネクタ118は、UBM116上に形成される。導電性コネクタ118は、ボールグリッドアレイ(BGA)コネクタ、はんだボール、金属ピラー、制御された崩壊チップ接続(C4)バンプ、マイクロバンプ、無電解ニッケル-無電解パラジウム浸漬金技術(ENEPIG)形成バンプなどであり得る。導電性コネクタ118は、はんだ、銅、アルミニウム、金、ニッケル、銀、パラジウム、スズなど、またはそれらの組み合わせなどの導電性材料を含み得る。いくつかの実施形態では、導電性コネクタ118は、最初に、蒸発、電気めっき、印刷、はんだ転写、ボール配置などによってはんだの層を形成することによって形成される。はんだの層が形成されたら、材料を所望のバンプ形状に成形するためにリフローを実行することができる。いくつかの実施形態では、導電性コネクタ118は、スパッタリング、印刷、電気めっき、無電解めっき、CVDなどによって形成され得る金属柱(銅柱など)を備える。金属柱は、はんだを含まなくてもよく、実質的に垂直な側壁を有することができる。いくつかの実施形態では、金属キャップ層が金属柱の上部に形成される。金属キャップ層は、ニッケル、スズ、スズ鉛、金、銀、パラジウム、インジウム、ニッケル-パラジウム-金、ニッケル-金など、またはそれらの組み合わせを含み得、めっきプロセスによって形成され得る。
図2Aに示されるように、単一の第1の集積回路ダイ122および単一の第2の集積回路ダイ124は、相互接続構造114に結合され得る。しかしながら、任意の数の第1の集積回路ダイ122、第2の集積回路ダイ124、および/または2つ以上のダイまたは2つ未満のダイなどの他のダイを相互接続構造114に結合することができる。第1の集積回路ダイ122および第2の集積回路ダイ124は同じ高さを有するように示されているが、第1の集積回路ダイ122および第2の集積回路ダイ124は異なる高さを有し得る。
図2Bは、第1の集積回路ダイ122および/または第2の集積回路ダイ124に使用することができる集積回路ダイの断面図を示している。集積回路ダイ122/124は、その後の処理でパッケージ化されて、集積回路パッケージを形成する。集積回路ダイ122/124は、論理ダイ(例えば、中央処理ユニット(CPU)、グラフィックス処理ユニット(GPU)、システムオンチップ(SOC)、アプリケーションプロセッサ(AP)、マイクロコントローラー、アプリケーション固有の集積回路(ASIC)ダイなど)、メモリダイ(例えば、動的ランダムアクセスメモリ(DRAM)ダイ、静的ランダムアクセスメモリ( SRAM)ダイ、高帯域幅メモリ(HBM)ダイなど)、電力管理ダイ(たとえば、電力管理集積回路(PMIC)ダイ)、無線周波数(RF)ダイ、センサーダイ、マイクロ -電気機械システム(MEMS)ダイ。 信号処理ダイ(例えば、デジタル信号処理(DSP)ダイなど)、フロントエンドダイ(例えば、アナログフロントエンド(AFE)ダイ)など、またはそれらの組み合わせである得る。いくつかの実施形態では、第1の集積回路ダイ122は、SOCであり得、第2の集積回路ダイ124は、HBMダイなどのメモリダイであり得る。
集積回路ダイ122/124は、ウェーハ内に形成することができ、これは、複数の集積回路ダイを形成するために後続のステップで単一化する異なるデバイス領域を含むことができる(。集積回路ダイ122/124は、適用可能な製造プロセスに従って処理されて、集積回路を形成することができる。例えば、集積回路ダイ122/124は、ドープされたまたはドープされていないシリコンなどの半導体基板52、または半導体オン絶縁体(SOI)基板の活性層を含む。半導体基板52は、ゲルマニウムなどの他の半導体材料、炭化ケイ素、ガリウムヒ素、リン化ガリウム、リン化インジウム、ヒ化インジウム、および/またはアンチモン化インジウムを含む化合物半導体、SiGe、GaAsP、AlInAs、AIGaAs、GalnAs、GalnP、および/またはGalnAsPを含む合金半導体、またはそれらの組み合わせを含み得る。多層または勾配基板などの他の基板も使用することができる。半導体基板52は、表面と呼ばれることもある活性表面(例えば、図2Bで上向きの表面)と、裏面と呼ばれることもある非活性表面(例えば、図2Bで下向きの表面)を有する。
デバイス54(トランジスタによって表される)は、半導体基板52の活性表面に形成され得る。デバイス54は、アクティブデバイス(例えば、トランジスタ、ダイオードなど)、コンデンサ、抵抗器などであり得る。 層間誘電体(ILD)56は、半導体基板52の活性表面上にある。ILD56は、デバイス54を囲み、カバーする場合がある。ILD56は、ホスホシリケートガラス(PSG)、ボロシリケートガラス(BSG)、ホウ素ドープホスホシリケートガラス(BPSG)、非ドープシリケートガラス(USG)などの材料で形成された1つまたは複数の誘電体層を含み得る
導電性プラグ58は、ILD56を通って延在し、デバイス54を電気的および物理的に結合する。例えば、デバイス54がトランジスタである場合、導電性プラグ58は、トランジスタのゲートおよびソース/ドレイン領域を結合することができる。 導電性プラグ58は、タングステン、コバルト、ニッケル、銅、銀、金、アルミニウムなど、またはそれらの組み合わせで形成することができる。相互接続構造60は、ILD56および導電性プラグ58の上にある。相互接続構造60は、デバイス54を相互接続して、集積回路を形成する。相互接続構造60は、例えば、ILD56上の誘電体層のメタライゼーションパターンによって形成することができる。メタライゼーションパターンには、1つまたは複数の低k誘電体層に形成された金属ラインとビアが含まれる。相互接続構造60のメタライゼーションパターンは、導電性プラグ58によってデバイス54に電気的に結合されている。
集積回路ダイ122/124は、外部接続が行われるアルミニウムパッドなどのパッド62をさらに含む。パッド62は、相互接続構造60内および/または上など、集積回路ダイ122/124のアクティブ側にある。1つまたは複数のパッシベーションフィルム64は、相互接続構造60およびパッド62の一部などの集積回路ダイ122/124上にある。開口部は、パッシベーションフィルム64を通ってパッド62まで延びる。導電性柱(例えば、銅などの金属で形成されている)などのダイコネクタ66は、パッシベーションフィルム64の開口部を通って延在し、パッド62のそれぞれのものに物理的および電気的に結合されている。ダイコネクタ66は、例えば、めっき等により形成することができる。ダイコネクタ66は、集積回路ダイ122/124のそれぞれの集積回路を電気的に結合する。
任意選択で、はんだ領域(例えば、はんだボールまたははんだバンプ)をパッド62上に配置することができる。はんだボールは、集積回路ダイ122/124でチッププローブ(CP)試験を実行するために使用され得る。CP試験は、集積回路ダイ122/124に対して実行されて、集積回路ダイ122/124が既知の良好なダイ(KGD)であるかどうかを確認することができる。したがって、KGDである集積回路ダイ122/124のみが後続の処理を受けてパッケージ化され、CPテストに失敗したダイはパッケージ化されない。 テスト後、はんだ領域は後続の処理ステップで削除される場合がある。
誘電体層68は、パッシベーションフィルム64およびダイコネクタ66などの集積回路ダイ122/124のアクティブ側にあり得る(またはない)。誘電体層68は、ダイコネクタ66を横方向にカプセル化し、集積回路ダイ122/124と横方向に隣接している。最初に、誘電体層68は、誘電体層68の最上面がダイコネクタ66の最上面の上にあるように、ダイコネクタ66を埋めることができる。はんだ領域がダイコネクタ66上に配置されているいくつかの実施形態では、誘電体層68は、はんだ領域も埋めることができる。あるいは、誘電体層68を形成する前に、はんだ領域を除去することができる。
誘電体層68は、PBO、ポリイミド、BCBなどのポリマー、窒化ケイ素などの窒化物、酸化ケイ素、PSG、BSG、BPSGなどの酸化物など、またはそれらの組み合わせであり得る 誘電体層68は、例えば、スピンコーティング、積層、化学蒸着(CAV)などによって形成することができる。いくつかの実施形態では、ダイコネクタ66は、集積回路ダイ122/124の形成中に誘電体層68を通して露出される。いくつかの実施形態では、ダイコネクタ66は、埋め込まれたままであり、集積回路ダイ122/124をパッケージ化するための後続のプロセス中に露出される。ダイコネクタ66を露出させることにより、ダイコネクタ66上に存在し得るはんだ領域を除去することができる。
いくつかの実施形態では、集積回路ダイ122/124は、複数の半導体基板52を含む積み重ねられたデバイスである。例えば、集積回路ダイ122/124は、ハイブリッドメモリキューブ(HMC)モジュール、高帯域幅メモリ(HBM)モジュールなどの、複数のメモリダイを含むメモリデバイスであり得る。そのような実施形態では、集積回路ダイ122/124は、貫通基板ビア(TSV)によって相互接続された複数の半導体基板52を含む。半導体基板52のそれぞれは、相互接続構造60を有する(または有さない)ことができる
第1の集積回路ダイ122および第2の集積回路ダイ124は、ダイコネクタ66、導電性コネクタ118、およびUBM116を介して、相互接続構造114に機械的および電気的に結合され得る。第1の集積回路ダイ122および第2の集積回路ダイ124は、相互接続構造114上に配置されることができ、リフロープロセスが実行されて、導電性コネクタ118をリフローし、導電性コネクタ118を介してダイコネクタ66をUBM116に結合する。
図3では、アンダーフィル126が、第1の集積回路ダイ122と第2の集積回路ダイ124と、UBM116、導電性コネクタ118、およびダイコネクタ66を取り囲む相互接続構造114との間に形成される。アンダーフィル126は、応力を低減し、導電性コネクタ118のリフローから生じる接合部を保護することができる。アンダーフィル126は、第1の集積回路ダイ122および第2の集積回路ダイ124が取り付けられた後に毛管流プロセスによって形成されることができるか、第1の集積回路ダイ122および第2の集積回路ダイ124が取り付けられる前に、適切な堆積方法によって形成されることができる。 図3に示されるように、アンダーフィル126の上面は、第1の集積回路ダイ122および第2の集積回路ダイ124の上面と同じ高さであり得る。いくつかの実施形態では、アンダーフィル126の上面は、第1の集積回路ダイ122および第2の集積回路ダイ124の上面の下に配置されることができる。アンダーフィル126の側面は、第1の集積回路ダイ122および第2の集積回路ダイ124の側面から相互接続構造114の上面(例えば、最上部誘電体層112の上面)まで延びることができる。
図4では、封止材128は、相互接続構造114およびアンダーフィル126上に形成され、第1の集積回路ダイ122、第2の集積回路ダイ124、およびアンダーフィル126を取り囲む。形成後、封止材128は、第1の集積回路ダイ122、第2の集積回路ダイ124、およびアンダーフィル126をカプセル化する。 封止材128は、成形コンパウンド、エポキシなどであり得る。封止材128は、圧縮成形、転写成形などによって適用されることができ、第1の集積回路ダイ122および/または第2の集積回路ダイ124が埋め込まれるかまたは覆われるように、相互接続構造114上に形成されることができる。いくつかの実施形態では、封止材128は、第1の集積回路ダイ122と第2の集積回路ダイ124との間のギャップ領域にさらに形成されることができる。封止材128は、液体または半液体の形態で適用され、その後、硬化されることができる。
平坦化プロセスを封止材128に対して実行して、第1の集積回路ダイ122および第2の集積回路ダイ124を露出させることができる。平坦化プロセスはまた、アンダーフィル126を露出させることができる。平坦化プロセスは、第1の集積回路ダイ122、第2の集積回路ダイ124および/またはアンダーフィル126が露出するまで、第1の集積回路ダイ122、第2の集積回路ダイ124、および/またはアンダーフィル126の材料を除去することができる。第1の集積回路ダイ122、第2の集積回路ダイ124、アンダーフィル126、および封止材128の上面は、プロセス変動内で、平坦化プロセス後、実質的に同一平面上(例えば、水平)であり得る。平坦化プロセスは、例えば、化学機械研磨(CMP)、粉砕プロセスなどであり得る。いくつかの実施形態では、例えば、第1の集積回路ダイ122および/または第2の集積回路ダイ124がすでに露出している場合、平坦化を省略してもよい。
図5では、キャリア基板の剥離が実行されて、キャリア基板102が相互接続構造114から切り離され(または「剥離」され)、デバイスが反転され、第2のキャリア基板150は、第1の集積回路ダイ122、第2の集積回路ダイ124、アンダーフィル126、および封止材128に結合されている。いくつかの実施形態では、剥離は、リリース層104が光の熱の下で分解し、キャリア基板102を除去することができるように、リリース層104上にレーザー光またはUV光などの光を投射することを含む。図5に示されるように、誘電体層108およびメタライゼーションパターン106の表面は、キャリア基板102およびリリース層104を除去した後に露出されることができる。デバイスは、第1の集積回路ダイ122および第2の集積回路ダイ124の裏側が下を向くように反転することができる。
第2のキャリア基板150は、第2のリリース層152を介して、第1の集積回路ダイ122、第2の集積回路ダイ124、アンダーフィル126、および封止材128に結合されることができる。第2のキャリア基板150は、ガラスキャリア基板、セラミックキャリア基板などであり得る。第2のキャリア基板150は、複数のパッケージが第2のキャリア基板150上で同時に処理されることができるように、ウェーハであり得る。第2の第2のリリース層152は、ポリマーベースの材料で形成することができ、これは、後続のステップで形成される上にある構造から第2のキャリア基板150と共に除去することができる。152は、ポリマーベースの材料で形成することができ、これは、後続のステップで形成される上にある構造から第2のキャリア基板150と共に除去することができる。いくつかの実施形態では、第2のリリース層152は、エポキシベースの熱放出材料であり、これは、光から熱への変換(LTHC)放出コーティングなど、加熱されるとその接着特性を失う。他の実施形態では、第2のリリース層152は、UV光に曝されるとその接着特性を失う紫外線(UV)接着剤であり得る。第2のリリース層152は、液体として分配されて硬化されてもよく、第2のリリース層152上に積層されたラミネートフィルムであってもよく、または同様のものであり得る。第2のリリース層152の上面は、平らにすることができ、高度の平面性を有することができる。
図6Aから6Iは、いくつかの実施形態による、相互接続構造114上にUBM130が形成された後のデバイスの様々な図を示している。図6Bから6Eは、図6Aの領域132の詳細な上面図を示している。領域132は、第1の集積回路ダイ122の側壁と整列させることができる。図6F、6H、および6Iは、図6Aの領域131の詳細な断面図を示している。図6Cは、図6Aの領域131の詳細な上面図を示している。
図6Aから6Iでは、UBM130は、相互接続構造114上に形成されている。 UBM130は、メタライゼーションパターン106上に形成され、誘電体層108の表面に沿って延在し、メタライゼーションパターン106に電気的に結合されることができる。UBM130は、相互接続構造114への外部接続のために使用されることができる。UBM130は、メタライゼーションパターン106について以上で説明したものと同様または同じプロセスによって、材料から形成することができる。 いくつかの実施形態では、UBM130は、メタライゼーションパターン106および110ならびにUBM116とは異なるサイズを有することができる。
図6Aから6Hに示される実施形態では、UBM130の中心線C(例えば、UBM130の中心を通って延びる仮想線)は、メタライゼーションパターン106のビア部分の中心線C(例えば、ビア部分の中心を通って延びる仮想線)からオフセットされ、部分を介して誘電体層108を通って延びる。図6Aおよび6Bに示される実施形態では、メタライゼーションパターン106のビア部分の中心線Cは、UBM130の中心線Cよりも第1の集積回路ダイ122の中心線と整列した点P1からさらに離れて配置される。UBM130、メタライゼーションパターン106、および誘電体層108の間で発生する亀裂および他の欠陥の可能性は、第1の集積回路ダイ122のエッジで最大であり、点Pからの距離が減少するにつれて減少することができる。他方、UBM130の中心線Cがメタライゼーションパターン106のビア部分の中心線Cからオフセットされるか、または中心線Cとずれている距離が増加するにつれて、UBM130のプロセスウィンドウは減少する。したがって、UBM130の中心線Cが、メタライゼーションパターン106のビア部分の中心線Cからオフセットされるか、またはそれとずれている距離は、点Pからの距離が増加するにつれて増加する。例えば、図6Aに示されるように、UBM130Aは、UBM130Bよりも点Pに近い可能性がある。UBM130Aの中心線Cとメタライゼーションパターン106のビア部分の中心線Cとの間の距離Dは、UBM130Bの中心線Cとメタライゼーションパターン106のビア部分の中心線Cとの間の距離Dよりも小さくてもよい。距離Dは、約1μmから約10μmの範囲であってもよいし、距離Dは、約5μmから約30μmの範囲であってもい。これにより、亀裂などが減少し、デバイスの欠陥が減少し、デバイスのパフォーマンスが向上し、UBM130のプロセスウィンドウが維持される。
図6Bを参照すると、UBM130Aおよび点Pに最も近いメタライゼーションパターン106の場合、点Pに最も近いUBM130Aのエッジと、点Pに最も近いメタライゼーションパターン106のビア部分のエッジとの間の内側距離Din1は、 点Pから最も遠いUBM130Aのエッジと、点Pから最も遠いメタライゼーションパターン106のビア部分のエッジとの間の外側距離Dout1よりも大きくてもよい。点PからのUBM130およびメタライゼーションパターン106の距離が増加するにつれて、内側距離と外側距離との間の差が増加する可能性がある。例えば、UBM130Bおよび点Pから最も遠いメタライゼーションパターン106の場合、点Pに最も近いUBM130Bのエッジと、点Pに最も近いメタライゼーションパターン106のビア部分のエッジとの間の内側距離Din2は、点Pから最も遠いUBM130Bのエッジと、点Pから最も遠いメタライゼーションパターン106のビア部分のエッジとの間の外側距離Dout2よりも大きくてもよい。距離Din2と距離Dout2の差は、距離Din1と距離Din1の差よりも大きい場合がある。内側距離と外側距離との間の差は、約3μmから約30μmの範囲であってもよい。図6Bに示されるように、UBM130は、領域132の誘電体層108の表面全体に均一に分布されることができる。
図6Cに示される実施形態では、点Pに最も近いUBM130Aのエッジと、点Pに最も近いメタライゼーションパターン106のビア部分のエッジとの間の内側距離Din3は、点Pから最も遠いUBM130Aのエッジと、点Pから最も遠いメタライゼーションパターン106のビア部分のエッジとの間の外側距離Dout3よりも大きくてもよい。内側距離Din3、外側距離Dout3、および内側距離と外側距離との間の差は、すべてのUBM130およびメタライゼーションパターン106について同じであってもよいが、実施形態はそれに限定されない。一貫した内部距離Din3、外部距離Dout3、およびUBM130の内部距離と外部距離との間の差を維持することは、レイアウトの考慮を単純化する。内側距離と外側距離との差は、約3μmから約30μmの範囲であり得る。図6Cに示されるように、UBM130は、領域132の誘電体層108の表面全体に均一に分布されることができる。
図6Dおよび6Eに示される実施形態では、図6Aおよび6Bに示される実施形態と同様に、点PからのUBM130およびメタライゼーションパターン106の距離が増加するにつれて、内側距離と外側距離との間の差が増加する。例えば、UBM130Aおよび点Pに最も近いメタライゼーションパターン106の場合、点Pに最も近いUBM130Aのエッジと、点Pに最も近いメタライゼーションパターン106のビア部分のエッジとの間の内側距離Din4は、点Pから最も遠いUBM130Aのエッジと、点Pから最も遠いメタライゼーションパターン106のビア部分のエッジとの間の外側距離Dout4よりも大きくてもよい。図6Dにおいて、UBM130Bおよび点Pから最も遠いメタライゼーションパターン106の場合、点Pに最も近いUBM130Bのエッジと、点Pに最も近いメタライゼーションパターン106のビア部分のエッジとの間の内側距離Din5は、点Pから最も遠いUBM130Bのエッジと、点Pから最も遠いメタライゼーションパターン106のビア部分のエッジとの間の外側距離Dout5よりも大きくてもよい。同様に、図6Eでは、点Pから最も遠いUBM130Bおよびメタライゼーションパターン106の場合、点Pに最も近いUBM130Bのエッジと、点Pに最も近いメタライゼーションパターン106のビア部分のエッジとの間の内側距離Din6は、点Pから最も遠いUBM130Bのエッジと、点Pから最も遠いメタライゼーションパターン106のビア部分のエッジとの間の外側距離Dout6よりも大きくてもよい。 距離Din5と距離Dout5との間の差は、距離Din4と距離Din4との間の差よりも大きく、距離Din6と距離Dout6との間の差は、距離Din4と距離Din4との間の差よりも大きい場合がある。内側距離と外側距離との間の差は、約3μmから約30μmの範囲であり得る。
さらに、図6Dおよび6Eに示される実施形態では、UBM130は、領域132の誘電体層108の表面全体に不均一に分布されることができる。例えば、UBM130は、領域132の周辺領域においてより高い密度を有することができる。図6Eに示される実施形態は、領域132のコーナー領域においていくつかのUBM130が省略されていることを除いて、図6Dに示される実施形態と同じである。
図6Bから6Eに示される実施形態は、UBM130のレイアウトと、第1の集積回路ダイ122上に配置されたメタライゼーションパターン106とを示し、説明する。いくつかの実施形態では、第2の集積回路ダイ124上のUBM130およびメタライゼーションパターン106は、上記のレイアウトのいずれかを有し、レイアウトは、点Pではなく、第2の集積回路ダイ124の中心線と整列した点Pを中心とする。いくつかの実施形態では、相互接続構造114全体にわたるUBM130およびメタライゼーションパターン106は、相互接続構造114の中心線と整列した点Pを中心とするレイアウトで、上記のレイアウトのいずれかを有することができる。
UBM130およびメタライゼーションパターン106の両方は、誘電体層108および112などの周囲の材料のCTEと一致しない熱膨張係数(CTE)を有し得る金属で形成されることができる。メタライゼーションパターン106のビア部分の中心線CをUBM130の中心線Cと位置合わせすると、結果として生じる構造に高い応力が生じ、誘電体層108および112に亀裂が生じる可能性がある。しかしながら、UBM130の中心線Cがメタライゼーションパターン106のビア部分の中心線Cからオフセットされるか、またはそれとずれるように、メタライゼーションパターン106上にUBM130を形成することによって、結果として得られる構造の応力が減少し、亀裂の可能性が減少し、デバイスの欠陥が減少する。
図6Fおよび6Gに示される実施形態では、メタライゼーションパターン106のビア部分の中心線Cは、メタライゼーションパターン110のビア部分の中心線CからUBM130の中心線Cの反対側に配置される。UBM130の中心線Cとメタライゼーションパターン106のビア部分の中心線Cとの間の距離Dは、図6Aに関して以上で論じた距離DまたはDと同じであり得る。UBM130の中心線Cとメタライゼーションパターン110のビア部分の中心線Cとの間の距離Dは、約3μmから約30μmの範囲であり得る。UBM130の中心線Cとメタライゼーションパターン106のビア部分の中心線Cとの間の距離と同様であり、UBM130の中心線Cとメタライゼーションパターン110のビア部分の中心線Cとの間の距離は、点Pからの距離が増加するにつれて増加するか、または点Pからの距離が増加するにつれて一定のままであり得る。中心線CおよびCを中心線Cの反対側に配置すると、中心線CとCとの間の誘電体層112からの誘電体材料の量が増加し、結果として生じる構造の応力をさらに低減し、デバイスの欠陥を低減することができる。
図6Hに示される実施形態では、メタライゼーションパターン110のビア部分の中心線Cは、UBM130の中心線Cと位置合わせされ、メタライゼーションパターン106のビア部分の中心線Cは、UBM130の中心線Cおよびメタライゼーションパターン110のビア部分の中心線Cからオフセットされているか、またはそれらとずれている。メタライゼーションパターン106のビア部分の中心線CとUBM130の中心線Cとメタライゼーションパターン110のビア部分の中心線Cとの間の距離Dは、図6Aに関して以上で論じた距離DまたはDと同じであり得る。
図61に示される実施形態では、UBM130は、誘電体層108を通って延びるビア部分を含む、UBM130.iによって置き換えられる。UBM130.iのビア部分は、メタライゼーションパターン106と物理的に接触し、電気的に結合されることができる。誘電体層108を通って延びるメタライゼーションパターン106のビア部分は省略され得、開口部は、キャリア基板102を剥離した後、UBM130.iを形成する前に、誘電体層108を通してパターン化されることができる。UBM 130.iは、UBM 130について前述したものと同様または同じプロセスによって、材料から形成することができる。UBM130.iは、誘電体層108の上面の上に配置されたUBM130.iの上部の中心線Cが、 誘電体層108の上面の下に配置されたUBM130.iのビア部分の中心線Cからオフセットされるか、またはそれとずれて形成されることができる。UBM130.iの上部の中心線CとUBM130.iのビア部分の中心線Cとの間の距離Dは、図6Aに関して上で論じた距離DまたはDと同じであり得る。
UBM130.iおよびメタライゼーションパターン106の両方は、誘電体層108などの周囲の材料のCTEと一致しない熱膨張係数(CTE)を有し得る金属で形成されることができる。UBM130.iの上部の中心線CとUBM130.iのビア部分の中心線Cとを整列させると、結果として生じる構造に高い応力が生じ、誘電体層108に亀裂が生じる可能性がある。しかしながら、UBM130.iの上部の中心線Cが、UBM130.iのビア部分の中心線Cからオフセットされるか、またはそれとずれるようにUBM130.iを形成することによって、結果として生じる構造の応力が低減され、亀裂の可能性が減少し、デバイスの欠陥が減少する。
図7では、導電性コネクタ134がUBM130上に形成されている。導電性コネクタ134は、ボールグリッドアレイ(BGA)コネクタ、はんだボール、金属ピラー、制御された崩壊チップ接続(C4)バンプ、マイクロバンプ、無電解ニッケル-無電解パラジウム浸漬金技術(ENEP1G)形成バンプなどであり得る。導電性コネクタ134は、はんだ、銅、アルミニウム、金、ニッケル、銀、パラジウム、スズなど、またはそれらの組み合わせなどの導電性材料を含み得る。いくつかの実施形態では、導電性コネクタ134は、最初に、蒸発、電気めっき、印刷、はんだ転写、ボール配置などによってはんだの層を形成することによって形成される。はんだの層が形成されたら、材料を所望のバンプ形状に成形するためにリフローを実行することができる。いくつかの実施形態では、導電性コネクタ134は、スパッタリング、印刷、電気めっき、無電解めっき、CVDなどによって形成され得る金属柱(銅柱など)を備える。金属柱は、はんだを含まなくてもよく、実質的に垂直な側壁を有することができる。いくつかの実施形態では、金属キャップ層が金属柱の上部に形成される。金属キャップ層は、ニッケル、スズ、スズ鉛、金、銀、パラジウム、インジウム、ニッケル-パラジウム-金、ニッケル-金など、またはそれらの組み合わせを含み得、めっきプロセスによって形成されることができる。
図8において、キャリア基板剥離は、第1の集積回路ダイ122、第2の集積回路ダイ124、アンダーフィル126、および封止材128から第2のキャリア基板150をデタッチ(または「剥離」)するために実行され、デバイスが反転する。いくつかの実施形態では、剥離は、第2のリリース層152が光の熱の下で分解し、第2のキャリア基板150を除去できるように、第2のリリース層152上にレーザー光またはUV光などの光を投射することを含む。図8に示されるように、第1の集積回路ダイ122、第2の集積回路ダイ124、アンダーフィル126、および封止材128の表面は、第2のキャリア基板150および第2のリリース層152を除去した後に露出されることができる。デバイスは、第1の集積回路ダイ122および第2の集積回路ダイ124の裏側が上を向くように反転することができる。第2のキャリア基板150および第2のリリース層152が除去された後、得られたデバイスは、第1のパッケージ構成要素100と呼ばれることができる。
図9では、基板140が第1のパッケージ構成要素100に結合されている。基板140は、シリコン、ゲルマニウム、ダイアモンドなどの半導体材料でできていてもよい。いくつかの実施形態では、シリコンゲルマニウム、炭化ケイ素、ガリウム砒素、インジウム砒素、インジウムリン化物、シリコンゲルマニウム炭化物、ガリウム砒素リン化物、ガリウムインジウムリン化物、これらの組み合わせなどの複合材料も使用できる。さらに、基板140は、シリコンオンインシュレータ(SOI)基板であり得る。一般に、SOI基板は、エピタキシャルシリコン、ゲルマニウム、シリコンゲルマニウム、SOI、シリコンゲルマニウムオンインシュレータ(SGOI)、またはそれらの組み合わせなどの半導体材料の層を含む。いくつかの実施形態では、基板140は、ガラス繊維強化樹脂コアなどの絶縁コアに基づくことができる。いくつかの実施形態では、コア材料は、FR4などのガラス繊維樹脂であり得る。いくつかの実施形態では、コア材料は、ビスマレイミド-トリアジン(BT)樹脂、他のプリント回路基板(PCB)材料、または他のフィルムを含み得る。味の素ビルドアップフィルム(ABF)または他のラミネートなどのビルドアップフィルムを基板140に使用することができる。
基板140は、能動デバイスおよび受動デバイス(別個に図示されていない)を含み得る。トランジスタ、コンデンサ、抵抗器、これらの組み合わせなどの多種多様なデバイスが含まれることができる。デバイスは、任意の適切な方法を使用して形成することができる。 基板140はまた、メタライゼーション層(図示せず)を含み得る。メタライゼーション層は、アクティブデバイスとパッシブデバイスの上に形成することができ、さまざまなデバイスを接続して機能回路を形成するように設計されている。メタライゼーション層は、導電性材料の層を相互接続するビアを備えた、誘電体材料(例えば、低k誘電体材料)と導電性材料(例えば、銅)の交互の層から形成され得る。タライゼーション層は、任意の適切なプロセス(堆積、ダマシン、デュアルダマシンなど)によって形成することができる。いくつかの実施形態では、基板140は、アクティブおよびパッシブデバイスを実質的に含まない。
基板140は、第1のパッケージ構成要素100に面する基板140の第1の側に形成されたボンドパッド142を含み得る。いくつかの実施形態では、ボンドパッド142は、基板140の第1の側で誘電体層(別個に図示されていない)に凹部(別個に図示されていない)を形成することによって形成されることができる。ボンドパッド142が誘電体層に埋め込まれることを可能にするために、凹部を形成することができる。いくつかの実施形態では、凹部は省略され、ボンドパッド142は誘電体層上に形成され得る。いくつかの実施形態では、ボンドパッド142は、銅、チタン、ニッケル、金、パラジウムなど、またはそれらの組み合わせで作られた薄いシード層(別個に図示されていない)を含む。ボンドパッド142の導電性材料は、薄いシード層上に堆積されることができる。導電性材料は、電気化学的めっきプロセス、無電解めっきプロセス、CVD、原子層堆積(ALD)、PVDなど、またはそれらの組み合わせによって形成することができる。一実施形態では、ボンドパッド142の導電性材料は、銅、タングステン、アルミニウム、銀、金など、またはそれらの組み合わせを含む。
いくつかの実施形態では、ボンドパッド142は、チタンの層、銅の層、およびニッケルの層などの導電性材料の3つの層を含むUBMである。クロム/クロム-銅合金/銅/金の配置、チタン/チタンタングステン/銅の配置、または銅/ニッケル/金の配置など、材料および層の他の配置を、ボンドパッド142の形成に利用することができる ボンドパッド142に使用することができる任意の適切な材料または材料の層は、本出願の範囲内に含まれることが完全に意図されている。
基板140は、ボンドパッド142、導電性コネクタ134、およびUBM130を介して、第1のパッケージ構成要素100に機械的および電気的に結合することができる。基板140は、第1のパッケージ構成要素100の上に配置され得、リフロープロセスが実行されて、導電性コネクタ134をリフローし、導電性コネクタ134を介してボンドパッド142をUBM130に結合する。
次に、アンダーフィル144が、第1のパッケージ構成要素100と基板140との間に形成され、ボンドパッド142、UBM130、および導電性コネクタ134を取り囲む。アンダーフィル144は、応力を低減し、導電性コネクタ134のリフローから生じる接合部を保護することができる。アンダーフィル144は、第1のパッケージ構成要素100が基板140に取り付けられた後に毛細管流動プロセスによって形成されることができるか、または第1のパッケージ構成要素100が取り付けられる前に適切な堆積方法によって形成されることができる。
実施形態は、様々な利点を達成できる。たとえば、メタライゼーションパターンのビア部分の中心線がUBMの中心線からオフセットされるか、またはUBMの中心線とずれるように、メタライゼーションパターン上にUBMを形成すると、結果として得られる構造の応力が減少する。これにより、周囲の誘電体層に亀裂が発生する可能性が低くなり、デバイスの欠陥が減少する。
一実施形態によれば、半導体デバイスは、第1の集積回路ダイと、第1の集積回路ダイに結合され、第1の誘電体層を通って延びる第1のビア部分を含む第1のメタライゼーションパターンを含む相互接続構造と、 第1の集積回路ダイの反対側の第1の誘電体層の上にある第2の誘電体層と、第1のメタライゼーションパターンに結合され、第1の誘電体層のライン部分と、第2の誘電体層を通って延びる第2のビア部分とを含む第2のメタライゼーションパターンと、第2のメタライゼーションパターンおよび第2の誘電体層上にあり 第2のメタライゼーションパターンに結合されるアンダーバンプメタライゼーション(UBM)と、を含み、第1のビア部分の第1の中心線および第2のビア部分の第2の中心線は、UBMの第3の中心線とずれており、第1の中心線および第2の中心線は、第3の中心線の反対側にある。一実施形態では、半導体デバイスは、UBMに結合され、UBMと物理的に接触している導電性バンプをさらに含む。一実施形態では、半導体デバイスは、相互接続構造に結合された第2の集積回路ダイ、チップ上のシステムを含む第1の集積回路ダイ、高帯域幅メモリダイを含む第2の集積回路ダイをさらに含む。一実施形態では、第2の誘電体層の主表面に平行な第1の方向における第2の中心線と第3の中心線との間の距離は、3μmから30μmである。一実施形態では、UBMは、第1の集積回路ダイの側壁と整列した境界を有する第1の領域に配置され、第3の中心線は、第2の中心線よりも第1の領域の第4の中心線に近い。一実施形態では、半導体デバイスは、第2のUBMをさらに含み、第2のメタライゼーションパターンは、第2の誘電体層を通って延びる第3のビア部分をさらに含み、第3のビア部分は第2のUBMに結合され、第2のUBMの第5の中心線は、UBMの第3の中心線よりも第1の領域の第4の中心線からさらに離れて配置され、第2の誘電体層の主表面に平行な第1の方向の第2の中心線と第3の中心線との間の第1の距離は、第1の方向の第3のビア部分の第5の中心線と第6の中心線との間の第2の距離未満である。一実施形態では、半導体デバイスは、第2のUBMをさらに含み、第2のメタライゼーションパターンは、第2の誘電体層を通って延びる第3のビア部分をさらに含み、第3のビア部分は第2のUBMに結合され、 第2のUBMの第5の中心線は、UBMの第3の中心線よりも第1の領域の第4の中心線からさらに離れて配置され、第2の誘電体層の主表面に平行な第1の方向の第2の中心線と第3の中心線との間の第1の距離は、第1の方向の第3のビア部分の第5の中心線と第6の中心線との間の第2の距離に等しい。
別の実施形態によれば、半導体デバイスは、1つまたは複数の誘電体層に配置された1つまたは複数のメタライゼーションパターンを含む相互接続構造に結合された集積回路ダイと、 1つまたは複数のメタライゼーションパターンおよび1つまたは複数の誘電体層の上にある上部誘電体層と、1つまたは複数のメタライゼーションパターンに電気的に結合され、上部誘電体層を通って延びるビア部分を含み、ビア部分の上面は、上部誘電体層の上面と同じ高さであるトップメタライゼーションパターンと、アンダーバンプメタライゼーションであって、上部誘電体層の上面および上部メタライゼーションパターンのビア部分の上面に沿って延び、第1の距離は、集積回路ダイの中心線に最も近いアンダーバンプメタライゼーションのエッジと集積回路ダイの中心線に最も近いビア部分のエッジとの間で測定され、第2の距離は、集積回路ダイの中心線から最も遠いアンダーバンプメタライゼーションのエッジと集積回路ダイの中心線から最も遠いビア部分のエッジとの間で測定され、第1の距離と第2の距離との間の第1の差は正であるアンダーバンプメタライゼーションと、アンダーバンプメタライゼーションに結合された導電性接点と、を含む。一実施形態では、集積回路ダイは、システムオンチップダイを含む。一実施形態では、半導体デバイスは、上部誘電体層の上面に沿って延びる第2のアンダーバンプメタライゼーションと、上部メタライゼーションパターンの第2のビア部分の上面とをさらに含み、第2のアンダーバンプメタライゼーションは、アンダーバンプメタライゼーションよりも集積回路ダイの中心線から離れており、第3の距離は、集積回路ダイの中心線に最も近い第2のアンダーバンプメタライゼーションのエッジと、集積回路ダイの中心線に最も近い第2のビア部分のエッジとの間で測定され、第3の距離が第1の距離より大きい。 一実施形態では、半導体デバイスは、上部誘電体層の上面および上部メタライゼーションパターンの第2のビア部分の上面に沿って延びる第2のアンダーバンプメタライゼーションをさらに含み、第2のアンダーバンプメタライゼーションは、アンダーバンプメタライゼーションよりも集積回路ダイの中心線から離れて、第3の距離は、集積回路ダイの中心線に最も近い第2のアンダーバンプメタライゼーションのエッジと、集積回路ダイの中心線に最も近い第2のビア部分のエッジとの間で測定され、第3の距離が第1の距離と等しい。一実施形態では、半導体デバイスは、複数の第1のアンダーバンプメタライゼーションをさらに含み、第1のアンダーバンプメタライゼーションは、アンダーバンプメタライゼーションを含み、 集積回路ダイの側壁と整列した領域において、互いに対して等間隔に配置される。一実施形態では、半導体デバイスは、複数の第1のアンダーバンプメタライゼーションをさらに含み、第1のアンダーバンプメタライゼーションは、集積回路ダイの側壁と整列した領域に配置され、この領域は、第2の部分に囲まれた第1の部分を含み、第1の部分の第1のアンダーバンプメタライゼーションの密度は、第2の部分の第1のアンダーバンプメタライゼーションの密度よりも小さい。一実施形態では、半導体デバイスは、複数の第1のアンダーバンプメタライゼーションをさらに含み、第1のアンダーバンプメタライゼーションは、集積回路ダイの側壁と整列した領域に配置され、この領域均等に分布している。
さらに別の実施形態によれば、方法は、第1のキャリア上に相互接続構造を形成すること、第1のダイを相互接続構造に結合すること、相互接続構造から第1のキャリアを除去し、相互接続構造の第1のメタライゼーションパターンの第1のビア部分が第1のキャリアを除去した後に露出している第1のダイに反対すること、及び第1のビア部分の上に、そして第1のビア部分と物理的に接触して、第1のUBMを形成し、第1のUBMの中心線が第1のビア部分の中心線からオフセットされること、を含む。一実施形態では、この方法は、第1の複数のUBMと、第1の複数のUBMを取り囲む第2の複数のUBMとを形成し、第1の複数のUBMの密度は、第2の複数のUBMの密度よりも小さいこと、及び第1の複数のUBMおよび第2の複数のUBMを形成することは、第1のUBMを形成することを含むこと、をさらに含む。一実施形態では、この方法は、相互接続構造と第1のダイおよび第2のダイのそれぞれとの間にアンダーフィルを形成することをさらに含み、アンダーフィルは、第1のダイおよび第2のダイの上面と同じ高さまで延びる。一実施形態では、この方法は、第1のダイ、第2のダイ、およびアンダーフィルを取り囲む封止剤を形成すること、及び封止剤、アンダーフィル、第1のダイ、および第2のダイを平坦化することをさらに含む。実施形態では、この方法は、第1のメタライゼーションパターンの第2のビア部分の上に、そして第2のビア部分と物理的に接触して第2のUBMを形成することをさらに含み、第1のUBMの中心線と、相互接続構造の主表面に平行な第1の方向の第1のダイの中心線との間の距離は、第2のUBMの中心線と第1の方向における第1のダイの中心線との間の距離よりも小さく、そして、第2のUBMの中心線は、第2のビア部分の中心線から、第1のUBMの中心線が第1のビア部分の中心線からオフセットされている距離よりも大きい距離だけオフセットされている。一実施形態では、この方法は、第1のメタライゼーションパターンの第2のビア部分の上に、そして第2のビア部分と物理的に接触して第2のUBMを形成することをさらに含み、第1のUBMの中心線と、相互接続構造の主表面に平行な第1の方向の第1のダイの中心線との間の距離は、第2のUBMの中心線と第1の方向における第1のダイの中心線との間の距離より小さく、そして、第2のUBMの中心線は、第2のビア部分の中心線から、第1のUBMの中心線が第1のビア部分の中心線からオフセットされる距離に等しい距離だけオフセットされる。
前述は、当業者が本開示の態様をよりよく理解できるように、いくつかの実施形態の特徴を概説している。当業者は、本明細書で紹介した実施形態の同じ目的を実行するため、および/または同じ利点を達成するために、他のプロセスおよび構造を設計または修正するための基礎として本開示を容易に使用できることを理解すべきである。当業者はまた、そのような同等の構造が本開示の精神および範囲から逸脱せず、本開示の精神および範囲から逸脱することなく、本明細書において様々な変更、置換、および変更を行うことができることを理解すべきである。

Claims (20)

  1. 半導体デバイスであって、
    第1の集積回路ダイと、
    第1の誘電体層を通って延びる第1のビア部分を含む第1のメタライゼーションパターン、
    前記第1の集積回路ダイに反対する前記第1の誘電体層上の第2の誘電体層、及び
    前記第1のメタライゼーションパターンに結合され、前記第1の誘電体層のライン部分と、前記第2の誘電体層を通って延びる第2のビア部分とを含む第2のメタライゼーションパターンを含む相互接続構造と、
    前記第2のメタライゼーションパターンと前記第2の誘電体層上のアンダーバンプメタライゼーション(UBM)であって、前記第2のメタライゼーションパターンに結合されており、前記第1のビア部分の第1の中心線および前記第2のビア部分の第2の中心線は、前記UBMの第3の中心線とずれており、前記第1の中心線および前記第2の中心線は、前記第3の中心線の反対側にあるアンダーバンプメタライゼーションと、を含む、半導体デバイス。
  2. 前記UBMに結合され、前記UBMと物理的に接触している導電性バンプをさらに含む、請求項1に記載の半導体デバイス。
  3. 前記相互接続構造に結合された第2の集積回路ダイをさらに含み、前記第1の集積回路ダイはシステムオンチップを含み、前記第2の集積回路ダイは高帯域幅メモリダイを含む、請求項1に記載の半導体デバイス。
  4. 前記第2の誘電体層の主表面に平行な第1の方向における前記第2の中心線と前記第3の中心線との間の距離は、3μmから30μmである、請求項1に記載の半導体デバイス。
  5. 前記UBMは、前記第1の集積回路ダイの側壁と整列した境界を有する第1の領域に配置され、前記第3の中心線は、前記第2の中心線よりも前記第1の領域の第4の中心線に近い、請求項1に記載の半導体デバイス。
  6. 第2のUBMをさらに含み、前記第2のメタライゼーションパターンは、前記第2の誘電体層を通って延びる第3のビア部分をさらに含み、前記第3のビア部分は、前記第2のUBMに結合されており、前記第2のUBMの第5の中心線は、前記UBMの前記第3の中心線よりも前記第1の領域の前記第4の中心線からさらに離れて配置され、前記第2の誘電体層の主表面に平行な第1の方向の前記第2の中心線と前記第3の中心線との間の第1の距離は、前記第1の方向の前記第3のビア部分の前記第5の中心線と第6の中心線との間の第2の距離未満である、請求項5に記載の半導体デバイス。
  7. 第2のUBMをさらに含み、前記第2のメタライゼーションパターンは、前記第2の誘電体層を通って延びる第3のビア部分をさらに含み、前記第3のビア部分は、前記第2のUBMに結合されており、前記第2のUBMの第5の中心線は、前記UBMの前記第3の中心線よりも前記第1の領域の第4の中心線からさらに離れて配置され、前記第2の誘電体層の主表面に平行な第1の方向の前記第2の中心線と前記第3の中心線との間の第1の距離は、前記第1の方向の前記第3のビア部分の前記第5の中心線と第6の中心線との間の第2の距離に等しい、請求項5に記載の半導体デバイス。
  8. 半導体デバイスであって、
    相互接続構造に結合された集積回路ダイと、前記相互接続構造は、
    1つまたは複数の誘電体層に配置された1つまたは複数のメタライゼーションパターン、
    前記1つまたは複数のメタライゼーションパターンおよび前記1つまたは複数の誘電体層上の上部誘電体層、及び
    前記1つまたは複数のメタライゼーションパターンに電気的に結合され、前記上部誘電体層を通って延びるビア部分を含み、前記ビア部分の上面は、前記上部誘電体層の上面と同じ高さである上部メタライゼーションパターン、を含み、
    前記上部誘電体層の前記上面および前記上部メタライゼーションパターンの前記ビア部分の前記上面に沿って延びるアンダーバンプメタライゼーションであって、第1の距離は、前記集積回路ダイの中心線に最も近い前記アンダーバンプメタライゼーションのエッジと、前記集積回路ダイの前記中心線に最も近い前記ビア部分のエッジとの間で測定され、第2の距離は、前記集積回路ダイの前記中心線から最も遠い前記アンダーバンプメタライゼーションのエッジと、前記集積回路ダイの前記中心線から最も遠い前記ビア部分のエッジとの間で測定され、前記第1の距離と前記第2の距離との間の第1の差は正であるアンダーバンプメタライゼーションと、
    アンダーバンプメタライゼーションに結合された導電性接点と、を含む半導体デバイス。
  9. 前記集積回路ダイは、システムオンチップダイを含む、請求項8に記載の半導体デバイス。
  10. 前記上部誘電体層の前記上面に沿って延びる第2のアンダーバンプメタライゼーションと、前記上部メタライゼーションパターンの第2のビア部分の上面と、をさらに含み、前記アンダーバンプメタライゼーションは、前記アンダーバンプメタライゼーションよりも集積回路ダイの前記中心線から離れて、第3の距離は、前記集積回路ダイの前記中心線に最も近い前記第2のアンダーバンプメタライゼーションのエッジと、前記集積回路ダイの前記中心線に最も近い前記第2のビア部分のエッジとの間で測定され、前記第3の距離は前記第1の距離よりも大きい、請求項8に記載の半導体デバイス。
  11. 前記上部誘電体層の前記上面に沿って延びる第2のアンダーバンプメタライゼーションと、前記上部メタライゼーションパターンの第2のビア部分の上面とをさらに含み、前記第2のバンプメタライゼーションは、アンダーバンプメタライゼーションよりも前記集積回路ダイの前記中心線から離れて、第3の距離は、前記集積回路ダイの前記中心線に最も近い前記第2のアンダーバンプメタライゼーションのエッジと、前記集積回路ダイの前記中心線に最も近い前記第2のビア部分のエッジとの間で測定され、前記第3の距離は前記第1の距離に等しい請求項8に記載の半導体デバイス。
  12. 前記アンダーバンプメタライゼーションを含む複数の第1のアンダーバンプメタライゼーションをさらに含み、前記第1のアンダーバンプメタライゼーションは、前記集積回路ダイの側壁と整列した領域において、互いに対して等間隔に配置されている、請求項8に記載の半導体デバイス。
  13. 前記集積回路ダイの側壁と整列した領域に配置される複数の第1のアンダーバンプメタライゼーションをさらに含み、前記領域は、第2の部分に囲まれた第1の部分を含み、前記第1の部分における前記第1のアンダーバンプメタライゼーションの密度は、前記第2の部分における前記第1のアンダーバンプメタライゼーションの密度よりも小さい請求項8に記載の半導体デバイス。
  14. 前記集積回路ダイの側壁と整列した領域に配置され、前記領域に均一に分布する複数の第1のアンダーバンプメタライゼーションをさらに含む、請求項8に記載の半導体デバイス。
  15. 方法であって、
    第1のキャリア上に相互接続構造を形成すること、
    第1のダイを前記相互接続構造に結合すること、
    前記相互接続構造から前記第1のキャリアを除去し、前記第1のダイの反対側の前記相互接続構造の第1のメタライゼーションパターンの第1のビア部分は、前記第1のキャリアを除去した後に露出されること、
    第1のUBMを形成し、前記第1のビア部分と物理的に接触し、前記第1のUBMの中心線は、前記第1のビア部分の中心線からオフセットされること、を含む方法。
  16. 第1の複数のUBMと、前記第1の複数のUBMを取り囲む第2の複数のUBMとを形成することをさらに含み、前記第1の複数のUBMの密度は、前記第2の複数のUBMの密度よりも小さく、前記第1の複数のUBMおよび前記第2の複数のUBMを形成することは、前記第1のUBMを形成することを含む請求項15に記載の方法。
  17. 前記相互接続構造と前記第1のダイおよび前記第2のダイのそれぞれとの間にアンダーフィルを形成することをさらに含み、前記アンダーフィルは、前記第1のダイおよび前記第2のダイの上面と同じ高さまで延びる、請求項16に記載の方法。
  18. 前記第1のダイ、前記第2のダイ、および前記アンダーフィルを取り囲む封止材を形成すること、及び前記封止材、前記アンダーフィル、前記第1のダイ、および前記第2のダイを平坦化することをさらに含む、請求項18に記載の方法。
  19. 前記第1のメタライゼーションパターンの第2のビア部分の上に、そして第2のビア部分と物理的に接触して第2のUBMを形成することをさらに含み、前記第1のUBMの前記中心線と、前記相互接続構造の主表面に平行な第1の方向の前記第1のダイの中心線との間の距離は、前記第2のUBMの中心線と前記第1の方向の前記第1のダイの前記中心線との間の距離よりも小さく、前記第2のUBMの前記中心線は、前記第2のビア部分の中心線から、前記第1のUBMの前記中心線が前記第1のビア部分の前記中心線からオフセットされた距離よりも大きい距離だけオフセットされている請求項15に記載の方法。
  20. 前記第1のメタライゼーションパターンの第2のビア部分の上に、そして第2のビア部分と物理的に接触して第2のUBMを形成することをさらに含み、前記第1のUBMの前記中心線と、前記相互接続構造の主表面に平行な第1の方向の前記第1のダイの中心線との間の距離は、前記第2のUBMの中心線と前記第1の方向の前記第1のダイの前記中心線との間の距離よりも小さく、前記第2のUBMの前記中心線は、前記第2のビア部分の中心線から、前記第1のUBMの前記中心線が前記第1のビア部分の前記中心線からオフセットされた距離に等しい距離だけオフセットされている、請求項15に記載の方法。
JP2021126126A 2020-07-31 2021-07-30 半導体パッケージ及び製造方法 Pending JP2022027738A (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063059226P 2020-07-31 2020-07-31
US63/059,226 2020-07-31
US17/139,775 US11652037B2 (en) 2020-07-31 2020-12-31 Semiconductor package and method of manufacture
US17/139,775 2020-12-31

Publications (1)

Publication Number Publication Date
JP2022027738A true JP2022027738A (ja) 2022-02-14

Family

ID=77431103

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021126126A Pending JP2022027738A (ja) 2020-07-31 2021-07-30 半導体パッケージ及び製造方法

Country Status (7)

Country Link
US (2) US11652037B2 (ja)
EP (1) EP3945568A1 (ja)
JP (1) JP2022027738A (ja)
KR (1) KR102661237B1 (ja)
CN (1) CN113675163A (ja)
DE (1) DE102021100257B4 (ja)
TW (1) TWI801938B (ja)

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006202991A (ja) * 2005-01-20 2006-08-03 Sony Corp 回路基板及びその製造方法、並びに半導体パッケージ及びその製造方法
US8759964B2 (en) 2007-07-17 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer level package structure and fabrication methods
US7812438B2 (en) * 2008-01-07 2010-10-12 International Business Machines Corporation Via offsetting to reduce stress under the first level interconnect (FLI) in microelectronics packaging
JP5185885B2 (ja) * 2009-05-21 2013-04-17 新光電気工業株式会社 配線基板および半導体装置
US9985150B2 (en) 2010-04-07 2018-05-29 Shimadzu Corporation Radiation detector and method of manufacturing the same
US9048233B2 (en) 2010-05-26 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Package systems having interposers
US8361842B2 (en) 2010-07-30 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Embedded wafer-level bonding approaches
US8390119B2 (en) 2010-08-06 2013-03-05 Mediatek Inc. Flip chip package utilizing trace bump trace interconnection
US9064879B2 (en) * 2010-10-14 2015-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging methods and structures using a die attach film
US8884431B2 (en) 2011-09-09 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging methods and structures for semiconductor devices
US8829676B2 (en) 2011-06-28 2014-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure for wafer level package
US8441131B2 (en) * 2011-09-12 2013-05-14 Globalfoundries Inc. Strain-compensating fill patterns for controlling semiconductor chip package interactions
US9000584B2 (en) 2011-12-28 2015-04-07 Taiwan Semiconductor Manufacturing Company, Ltd. Packaged semiconductor device with a molding compound and a method of forming the same
US8680647B2 (en) 2011-12-29 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. Packages with passive devices and methods of forming the same
US8703542B2 (en) 2012-05-18 2014-04-22 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer-level packaging mechanisms
US9991190B2 (en) 2012-05-18 2018-06-05 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging with interposer frame
US8809996B2 (en) 2012-06-29 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Package with passive devices and method of forming the same
US8785299B2 (en) 2012-11-30 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Package with a fan-out structure and method of forming the same
US8803306B1 (en) 2013-01-18 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out package structure and methods for forming the same
US8778738B1 (en) 2013-02-19 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Packaged semiconductor devices and packaging devices and methods
US9263511B2 (en) 2013-02-11 2016-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Package with metal-insulator-metal capacitor and method of manufacturing the same
US9048222B2 (en) 2013-03-06 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating interconnect structure for package-on-package devices
US9368460B2 (en) 2013-03-15 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out interconnect structure and method for forming same
US8877554B2 (en) 2013-03-15 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Packaged semiconductor devices, methods of packaging semiconductor devices, and PoP devices
US9324698B2 (en) * 2013-08-13 2016-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-chip structure and method of forming same
KR101579673B1 (ko) * 2014-03-04 2015-12-22 앰코 테크놀로지 코리아 주식회사 반도체 패키지 제조 방법 및 이를 이용한 반도체 패키지
KR20160080965A (ko) * 2014-12-30 2016-07-08 앰코 테크놀로지 코리아 주식회사 반도체 디바이스 및 그 제조 방법
US10515889B2 (en) * 2017-10-13 2019-12-24 Advanced Semiconductor Engineering, Inc. Semiconductor package device and method of manufacturing the same
KR102019355B1 (ko) 2017-11-01 2019-09-09 삼성전자주식회사 반도체 패키지
US10510634B2 (en) * 2017-11-30 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method
KR102467030B1 (ko) * 2018-01-17 2022-11-14 삼성전자주식회사 반도체 패키지 및 그 패키지를 포함한 반도체 장치
US11424197B2 (en) * 2018-07-27 2022-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Package, package structure with redistributing circuits and antenna elements and method of manufacturing the same
KR102145204B1 (ko) 2018-08-30 2020-08-18 삼성전자주식회사 반도체 패키지
US10658348B2 (en) * 2018-09-27 2020-05-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices having a plurality of first and second conductive strips
US11164754B2 (en) 2018-09-28 2021-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out packages and methods of forming the same
US11430739B2 (en) 2018-10-30 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of package structure with fan-out structure
US11309242B2 (en) * 2020-06-29 2022-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Package component, semiconductor package and manufacturing method thereof

Also Published As

Publication number Publication date
KR20220015911A (ko) 2022-02-08
DE102021100257B4 (de) 2024-03-28
US11652037B2 (en) 2023-05-16
CN113675163A (zh) 2021-11-19
TWI801938B (zh) 2023-05-11
TW202207366A (zh) 2022-02-16
EP3945568A1 (en) 2022-02-02
US20230253303A1 (en) 2023-08-10
DE102021100257A1 (de) 2022-02-03
US20220037247A1 (en) 2022-02-03
KR102661237B1 (ko) 2024-04-25

Similar Documents

Publication Publication Date Title
US11018125B2 (en) Multi-chip package with offset 3D structure
KR102647008B1 (ko) 팬 아웃 패키지 및 이의 형성 방법
US11749644B2 (en) Semiconductor device with curved conductive lines and method of forming the same
US20240021597A1 (en) Molded Dies in Semiconductor Packages and Methods of Forming Same
TWI807618B (zh) 封裝結構及其製造方法
KR102524244B1 (ko) 반도체 패키지들에서의 방열 및 그 형성 방법
TWI775443B (zh) 半導體封裝及其形成方法
JP2022027738A (ja) 半導体パッケージ及び製造方法
KR102473590B1 (ko) 반도체 디바이스 및 방법
TWI841187B (zh) 半導體封裝及方法
TWI824395B (zh) 封裝結構及其製造方法
KR102596105B1 (ko) 패키지 구조체 및 방법
US20230420331A1 (en) Semiconductor package and method
US20230223357A1 (en) Interconnect Structure of Semiconductor Package and Method of Forming the Same
TW202347680A (zh) 半導體元件及其形成方法
TW202410216A (zh) 半導體封裝體及其形成方法