TW202314796A - 倍縮光罩外殼及其處置方法 - Google Patents

倍縮光罩外殼及其處置方法 Download PDF

Info

Publication number
TW202314796A
TW202314796A TW111128849A TW111128849A TW202314796A TW 202314796 A TW202314796 A TW 202314796A TW 111128849 A TW111128849 A TW 111128849A TW 111128849 A TW111128849 A TW 111128849A TW 202314796 A TW202314796 A TW 202314796A
Authority
TW
Taiwan
Prior art keywords
base
layer
reticle
gel material
cover
Prior art date
Application number
TW111128849A
Other languages
English (en)
Other versions
TWI831314B (zh
Inventor
許倍誠
連大成
李信昌
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202314796A publication Critical patent/TW202314796A/zh
Application granted granted Critical
Publication of TWI831314B publication Critical patent/TWI831314B/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/66Containers specially adapted for masks, mask blanks or pellicles; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Laminated Bodies (AREA)

Abstract

一種倍縮光罩外殼包括:包括一第一表面的一基座;一蓋,該蓋包括一第二表面且安置於該基座上,其中該基座及該蓋在之間形成一內部空間以包括一倍縮光罩;及一彈性體或凝膠材料層,該彈性體或凝膠材料層安置於該第一表面及該第二表面中的至少一者上,其中該彈性體或凝膠材料層安置於該基座與該蓋之間且接觸該基座或該蓋。

Description

微影術系統的倍縮光罩外殼
微影術設備將圖案自圖案化裝置(例如,光罩)投影於設置於半導體基板上之輻射敏感材料(抗蝕劑)層上。當光罩並不使用(或儲存)或自儲存器轉移至微影術設備,諸如步進器或掃描儀時,光罩藉由置放於罩幕殼體(艙)中而恰當地受到保護從而免受諸如灰塵或粒子的污染物影響。
應理解,以下揭示內容提供用於實施本揭露之不同特徵的許多不同實施例或實例。下文描述組件及配置之特定實施例或實例以簡化本揭露。當然,這些組件及配置僅為實例且並非意欲為限制性的。舉例而言,元件之尺寸不限於所揭示之範圍或值,而是可取決於處理條件及/或裝置的所要性質。此外,在以下描述中第一特徵於第二特徵上方或上的形成可包括第一及第二特徵直接接觸地形成的實施例,且亦可包括額外特徵可經形成從而插入於第一特徵與第二特徵之間使得第一特徵及第二特徵可不直接接觸的實施例。各種特徵為了簡單及清楚可以不同比例尺來任意地繪製。在隨附圖式中,一些層/特徵可為了簡單被省略。
另外,空間相對術語,諸如「……下面」、「下方」、「下部」、「……上方」、「上部」及類似者本文中可出於易於描述而使用以描述如諸圖中圖示的一個元素或特徵與另一(些)元素或特徵之關係。空間相對術語意欲涵蓋裝置之使用或操作中除了諸圖中描繪之定向外的不同定向。裝置可以其他方式定向(旋轉90度或處於其他定向),且本文中使用之空間相對描述詞可同樣經因此解譯。此外,術語「由……製成」可意謂「包含」或「由……組成」。另外,在以下製造製程中,在所描述之操作中間可存在一或多個額外操作,且操作之次序可經改變。在本揭露中,片語「A、B及C中之至少一者」意謂A、B、C、A+B、A+C、B+C,或A+B+C中的一者,且並不意謂來自A的一者、來自B的一者及來自C的一者,除非以其他方式解釋。
本揭露大體上係關於遠紫外線(extreme ultraviolet,EUV)微影術系統及方法。本文中所揭示之實施例係針對用於運輸且儲存光罩或坯料基板之遠紫外線(extreme ultraviolet,EUV)倍縮光罩艙的改良式設計,且更具體而言係針對包括內部艙之EUV倍縮光罩艙,該內部艙經設計以減小歸因於內部艙蓋與內部艙基座之間的摩擦產生之粒子。因此,含有於EUV倍縮光罩艙內之光罩(倍縮光罩)至顆粒物質的暴露被減小,且遠紫外線微影術系統(例如,曝光工具的)由顆粒物質的污染受到限制。
使用光微影術(例如,遠紫外線光微影術或將13.5 nm波長用於圖案化的EUV光微影術)圖案化的半導體晶片需要罩幕或光罩(亦被稱作「倍縮光罩」),該罩幕或光罩含有於標準化載體中從而傳送至一潔淨室中的不同位置或用於不同製程之不同潔淨室。舉例而言,坯料基板使用手動或機械方法在標準化載體中傳送至不同方位或潔淨室用於清洗及罩幕製造。所製造之罩幕亦在標準化載體內傳送至不同方位或潔淨室用於光微影術製程,或在使用之前或之後儲存。罩幕載體(亦被稱作罩幕容器、罩幕艙或罩幕盒)包括內部艙及外部艙內。內部艙接觸坯料基板或罩幕,且包括內部艙蓋及內部艙基座。內部艙的內部艙蓋及內部艙基座經設計而以高準確度彼此擬合。
內部艙蓋及內部艙基座由諸如鋁合金之金屬材料製成。鋁合金包括例如鋁-鎂(Al-Mg)合金。雖然為緊固於內部艙內之罩幕或坯料基板提供所要求之支撐及保護,但鋁合金構造使得內部艙重量輕且因此內部艙以手動方式或使用機器人設備相對容易地進行處置。然而,鋁合金具有差的機械性質且可易於受到損害。為了改良內部艙的機械性質,鋁合金結構塗佈有鎳、鎳合金、鈷,或鈷合金。
用於塗層中之材料為相對硬的材料。內部艙蓋與內部艙基座之間的接觸例如在開啟或封閉內部艙時引起內部艙蓋與內部艙基座之間的摩擦。同時,處置內部艙之機器人設備可發生故障,從而使得內部艙傾斜,且藉此使內部艙蓋在內部艙基座上方滑動(且引起摩擦)。摩擦產生粒子,該些粒子可掉落於內部艙基座及/或緊固於內部艙基座上的罩幕或坯料基板上。這些粒子一般而言污染內部艙的環境及微影術系統的環境,且可損害罩幕或坯料基板上的圖案或阻斷EUV輻射,從而引起製造誤差。罩幕或坯料基板在具有粒子之內部艙內的平移、旋轉或摩擦可嚴重地損害罩幕或坯料基板。受到損害之罩幕增大生產成本、增大製造時間且要求昂貴的系統用於檢查罩幕中的缺陷。
保護顆粒物不污染所關注物件(例如,罩幕)的需要在許多應用領域中被需要,該些應用包括諸如遠紫外線微影術之半導體製造中的應用。微影術設備將圖案自圖案化裝置(例如,光罩)投影於設置於半導體基板上之輻射敏感材料(抗蝕劑)層上。由微影術設備使用以將圖案投影於基板上的輻射波長判定可形成於該基板上之特徵的最小大小。相較於習知光微影術設備(其可例如使用具有193 nm之波長的電磁輻射),使用遠紫外線輻射的微影術設備可用以在基板上形成較小特徵,遠紫外線輻射係具有在範圍4至20 nm內之波長的電磁輻射。
圖案化裝置(光罩或倍縮光罩)由薄皮保護免受粒子污染物影響。雖然薄皮限制污染物到達倍縮光罩之印刷或圖案化表面,但歸因於內部艙蓋與內部艙基座之間的摩擦產生之粒子(污染物)可仍進入EUV罩幕艙環境。這些粒子可行進脫離EUV罩幕艙且分散於微影術系統中,且污染微影術系統之環境並將缺陷引入至投影於半導體基板上之圖案中。所要的是限制這些污染物的產生。應注意,儘管本文中參看EUV微影術系統來論述實施例,但實施例並不在此方面受限。根據本文中所論述之實施例,罩幕艙可用於其他類型之光微影術系統(例如,深紫外線(deep ultraviolet,DUV)微影術系統)中而不偏離本揭露的範疇。
第1A圖為EUV微影術系統101之示意性且圖解視圖。EUV微影術系統101包括用以產生EUV光的EUV輻射源設備100、諸如掃描儀的曝光工具200,及激發雷射源設備300。如第1A圖中所繪示,在一些實施例中,EUV輻射源設備100及曝光工具200安設於潔淨室的主地板MF上,同時激發雷射源設備300安設於定位於主地板下方的基座地板BF上。EUV輻射源設備100及曝光工具200中的每一者分別經由減震器DP1及DP2置放於機架板PP1及PP2上。EUV輻射源設備100及曝光工具200由耦接機構耦接至彼此,該耦接機構可包括聚焦單元。
微影術系統為遠紫外線(extreme ultraviolet,EUV)微影術系統,該遠紫外線微影術系統經設計以由EUV光(或EUV輻射)暴露蝕刻劑層。抗蝕劑層為對於EUV光敏感的材料。EUV微影術系統使用EUV輻射源設備100來產生EUV光,諸如具有範圍為約1 nm與約100 nm之波長的EUV光。在一個特定實例中,EUV輻射源設備100產生EUV光,該EUV光具有中心為約13.5 nm的波長。在本實施例中,EUV輻射源設備100利用雷射產生電漿(laser-produced plasma,LPP)機制來產生EUV輻射。
曝光工具200包括諸如凸面/凹入/平坦鏡面的各種反射光學組件、包括罩幕載物台的罩幕固持機構及晶圓固持機構。藉由EUV輻射源設備100產生的EUV輻射EUV由反射光學組件導引於緊固在罩幕載物台上的罩幕上。在一些實施例中,罩幕載物台包括靜電卡盤(electrostatic chuck、e-chuck)以緊固罩幕。因為氣體分子吸收EUV光,所以EUV微影術圖案化的微影術系統維持於真空或低壓力環境以避免EUV強度損耗。
第1B圖為根據本揭露之實施例的曝光工具200之簡化示意圖,從而繪示經光阻劑塗佈之基板211藉由EUV光之圖案化射束的曝光。曝光工具200為積體電路微影術工具,諸如步進器,掃描儀,步進及掃描系統,直寫系統,例如藉由EUV光射束照射諸如倍縮光罩205c之圖案化光學件以產生圖案化射束的具備一或多個光學件205a、205b的使用觸點及/或近接罩幕等的裝置,及用於將圖案化射束投影於基板211上的一或多個減小投影光學件205d、205e。一或多個光學件205a、205b提供具有所要橫截面形狀及所要角形分佈的EUV光束。倍縮光罩205c由薄皮保護,該薄皮由薄皮框架固持於適當位置。倍縮光罩205c反射且圖案化EUV光束。
簡潔地參看第1C圖,相對詳細地圖示安設於倍縮光罩205c上的示意性薄皮總成250。薄皮總成250包括薄皮252及薄皮框架254。倍縮光罩205c具有圖案化表面256。薄皮框架254圍繞薄皮252之周邊部分且支撐薄皮252,且可移除地吸附至倍縮光罩205c。
薄皮252將污染物,例如污染物粒子258固持於距倍縮光罩205c之圖案化表面256的一距離,使得污染物粒子258並非在EUV輻射射束之焦平面中,且因此不成像至基板211上(第1B圖)。
回看第1B圖,在自倍縮光罩反射之後,EUV光之圖案化射束經提供至一或多個光學件205a、205b,且又投影至由機械總成(例如,基板載物台)固持的基板211上。在一些實施例中,一或多個光學件205a、205b將減小因數應用至輻射射束,從而形成具有特徵的影像,該些特徵小於倍縮光罩上之對應特徵。機械總成可經提供用於在基板211與倍縮光罩205c之間產生控制相對移動。
EUV微影術系統可例如以掃描模式使用,其中卡盤及機械總成(例如,基板台)經同步掃描,同時賦予至輻射射束之圖案經投影至基板211上(亦即,動態曝光)。基板台相對於卡盤之速率及方向由曝光工具200之縮倍及影像翻轉特性來判定。入射於基板211上之EUV輻射之圖案化射束包含輻射帶。輻射帶被稱作曝光狹縫。在掃描曝光期間,基板台及卡盤之移動係使得曝光狹縫在基板211之曝光場上方行進。如第1B圖中進一步繪示,EUV工具包括EUV輻射源設備100,該EUV輻射源設備100包括腔室105中激發區域ZE發射EUV光的電漿,該激發區域ZE發射EUV光由收集器110沿著路徑收集並反射至曝光工具200中以照射基板211。
如本文中所使用,術語「光學件」意謂經廣泛建構以包括且並非有必要限於反射及/或透射入射光及/或對入射光操作的一或多個組件,且包括但不限於一或多個透鏡、視窗、濾光器、楔形物、稜鏡、稜鏡光柵、光柵、透射纖維、校準器、漫射器、均質器、偵測器及其他儀器組件、孔、軸稜鏡及鏡面,該些鏡面包括多層鏡、正入射鏡、臨界入射鏡、鏡面反射器、漫射反射器及其組合。此外,除非以其他方式指定,否則術語「光學件」如本文中所使用並非意謂限於如下組件:單獨地在一或多個特定波長範圍內,諸如以EUV輸出光波長、輻射雷射波長、適合於度量衡之波長或任何其他特定波長操作。
在本揭露中,術語罩幕、光罩及倍縮光罩互換地使用。在本實施例中,罩幕為反射罩幕。罩幕之一個例示性結構包括具有合適材料,諸如低熱膨脹材料的材料或熔融石英的基板。在各種實例中,材料包括經TiO2摻雜的SiO2,或具有低熱膨脹係數的其他合適材料。罩幕包括沈積於基板上的多個反射多層。多個層包括複數個膜對,諸如鉬-矽(Mo/Si)膜對(例如,每一膜對中之矽層上方或下方的鉬層)。替代地,多個層可包括鉬-鈹(Mo/Be)膜對,或可組態以高度反射EUV光的其他合適材料。罩幕可進一步包括安置於ML上用於保護的頂蓋層,諸如釕(Ru)。罩幕進一步包括沈積於多個層上方的吸收層,諸如硼氮化鉭(TaBN)層。吸收層經圖案化以界定積體電路(integrated circuit,IC)的層。替代地,另一反射層可沈積於多個層上方,且經圖案化以界定積體電路的層,藉此形成EUV相轉移罩幕。
在本實施例中,半導體基板為半導體晶圓,諸如矽晶圓或待圖案化的其他類型之晶圓。本實施例中,半導體基板塗佈有對EUV光敏感的抗蝕劑層。包括上文描述之那些的各種組件整合在一起且可操作以執行微影術曝光製程。
微影術系統可進一步包括其他模組,或整合有其他模組(或與其他模組耦接)。如第1A圖中所繪示,EUV輻射源設備100包括由腔室105圍封的靶材液滴產生器115及LPP收集器110。靶材液滴產生器115產生複數個靶材液滴DP。在一些實施例中,靶材液滴DP為錫(Sn)液滴。在一些實施例中,錫液滴各自具有約30微米(µm)的直徑。在一些實施例中,錫液滴DP以約50個液滴/秒的速率產生,且以約70公尺/秒的速度引入至激發區域ZE中。其他材料亦可用於靶材液滴,例如含有液體材料的錫,該液體材料係諸如含有錫或鋰(Li)的共晶合金。
由激發雷射源設備300產生的激發雷射LR2為脈衝雷射。在一些實施例中,激發層包括預加熱雷射及主雷射。預加熱雷射脈衝用以加熱(或預加熱)靶材液滴以產生低密度靶材羽流,該低密度靶材羽流由主雷射脈衝隨後加熱(或重新加熱),從而產生EUV光的增大之發射。在各種實施例中,預加熱雷射脈衝具有約100 µm或以下的點大小,且主雷射脈衝具有約200至300 µm的點大小。
雷射脈衝LR2由激發雷射源設備300產生。激發雷射源設備300可包括雷射產生器310、雷射導引光學件320及聚焦設備330。在一些實施例中,雷射產生器310包括二氧化碳(CO2)或摻雜有釹之釔鋁石榴石(Nd:YAG)雷射源。由雷射產生器310產生之雷射光LR1由雷射導引光學件320導引,且由聚焦設備330聚焦至激發雷射LR2中,且接著引入至EUV輻射源設備100中。
雷射光LR2經由視窗(或透鏡)導引至激發區域ZE中。視窗採用對於雷射射束大體透明的合適材料。脈衝雷射之產生與靶材液滴之產生同步。隨著靶材液滴移動通過激發區域,預脈衝加熱靶材液滴且將靶材液滴變換成低密度靶材羽流。預脈衝與主脈衝之間的延遲經控制以允許靶材羽流形成且擴展至最佳大小及幾何形狀。當主脈衝加熱靶材羽流時,產生高溫度電漿。電漿發射EUV輻射EUV,該EUV輻射藉由收集器110收集。收集器110具有反射且聚焦EUV輻射用於光微影術曝光製程的反射表面。在一些實施例中,液滴捕獲器120與靶材液滴產生器115相對地安設。液滴捕獲器120用於捕獲額外靶材液滴。舉例而言,一些靶材液滴可由雷射脈衝故意漏失。
收集器110包括恰當塗層材料及形狀以充當鏡用EUV收集、反射及聚焦。在一些實施例中,收集器110經設計以具有橢圓體幾何形狀。在一些實施例中,收集器110之塗層材料類似於EUV罩幕的反射多層。在一些實例中,收集器110之塗層材料包括多個層(諸如複數個Mo/Si膜對),且可進一步包括塗佈於多個層上以大體上反射EUV光的頂蓋層(諸如,Ru)。在一些實施例中,收集器110可進一步包括經設計以使所導引之雷射射束有效地散射至收集器110上的光柵結構。舉例而言,氮化矽層塗佈於收集器110上,且經圖案化以在一些實施例中具有光柵圖案。
在此類EUV輻射源設備中,由雷射應用引起之電漿產生實體殘渣,諸如液滴的離子、氣體及原子,以及所要EUV輻射。有必要的是防止材料積聚於收集器110上,且又防止實體殘渣脫離腔室105且進入曝光工具200。
如第1A圖中所繪示,在一些實施例中,緩衝器氣體經由收集器110中的孔自第一緩衝器氣體供應源130供應,脈衝雷射由該孔遞送至錫液滴中。在一些實施例中,緩衝器氣體為H 2、He、Ar、N 2或另一惰性氣體。在某些實施例中,使用H 2,此係由於由緩衝器氣體之離子化產生的H自由基可用於清洗用途。緩衝器氣體亦可經由一或多個第二緩衝器氣體供應源135朝向收集器110及/或圍繞收集器110之邊緣提供。另外,腔室105包括一或多個氣體出口140,使得緩衝器氣體經排氣至腔室105外部。
氫氣對於EUV輻射具有低吸收。到達收集器110之塗佈表面的氫氣與液滴之金屬進行化學反應,從而形成氫化物,例如金屬氫化物。當錫(Sn)用作液滴時,形成為EUV產生製程之氣態副產物的錫烷(SnH 4)。氣態SnH 4接著經由出口140泵汲出。然而,難以將所有氣態SnH 4自腔室排氣且防止SnH 4進入曝光工具200。
為了捕捉SnH 4或其他殘渣,一或多個殘渣收集機構或裝置150用於腔室105中。如第1A圖中所繪示,一或多個殘渣收集機構或裝置150沿著光軸A1在激發區域ZE與EUV輻射源設備100的輸出埠160之間安置。
第2圖圖示根據實施例的倍縮光罩處置系統201之不同載物台(或台)的佈局。在一些實施例中,倍縮光罩處置系統201用於遠紫外線(extreme ultraviolet,EUV)微影術系統中。倍縮光罩處置系統201結合曝光工具200 (第1B圖)使用,從而收納且儲存倍縮光罩205c,該倍縮光罩205c接著提供至曝光工具200從而暴露至EUV輻射射束。如所圖示,倍縮光罩處置系統201包括載入埠202、非真空機器人(out of vacuum robot,OVR) 204、倍縮光罩背側檢測(reticle backside inspection,RBI)載物台206、條碼讀取器208、真空機器人(in-vacuum robot,IVR) 210、真空庫(in-vacuum library,IVL) 212、快速交換裝置(rapid exchange device,RED) 214及倍縮光罩載物台(reticle stage,RS)216 (例如,包括倍縮光罩205c)。載入埠202及非真空機器人(out of vacuum robot,OVR) 204定位於環境壓力環境中,同時倍縮光罩背側檢測(reticle backside inspection,RBI)載物台206、條碼讀取器208、真空機器人(in-vacuum robot,IVR) 210、真空庫(in-vacuum library,IVL) 212、快速交換裝置(rapid exchange device,RED) 214及倍縮光罩載物台(reticle stage,RS) 216定位於真空環境中。真空環境與周圍壓力環境之間的存取由載入鎖定腔室218控制。舉例而言,載入鎖定腔室218定位於非真空機器人(out of vacuum robot,OVR) 204與真空庫(in-vacuum library,IVL) 212之間。
載入埠202充當至倍縮光罩處置系統201的入口點,倍縮光罩(例如,第1B圖中之倍縮光罩205c)經由入口點被引入至倍縮光罩處置系統201中。在實施例中,包括薄皮252之倍縮光罩205c包封於潔淨濾光器艙(clean filter pod,CFP)中,該艙又圍封於亦稱作倍縮光罩標準製造介面 (「reticle standard manufacturing interface,SMIF」)艙或RSP的倍縮光罩載體中。倍縮光罩標準製造介面艙准許例如在周圍環境中在倍縮光罩處置系統201外部處置且運輸倍縮光罩205c。倍縮光罩標準製造介面艙經塑形且設定大小以(或以其他方式用以)收納於載入埠202中。
在一些實施中,相鄰於載入埠202為RSP庫。在一些實施例中,倍縮光罩標準製造介面艙由載入埠202置放於RSP庫中。RSP庫為針對各自包括倍縮光罩之多個倍縮光罩標準製造介面艙的貯藏或儲存。當需要特定倍縮光罩時,自RSP庫擷取含有所要求倍縮光罩的倍縮光罩標準製造介面艙。
在一些實施例中,非真空機器人(out of vacuum robot,OVR) 204擷取倍縮光罩標準製造介面艙,該標準製造介面艙含有所要求之倍縮光罩從而提供倍縮光罩至載入鎖定腔室218。在一些實施例中,非真空機器人(out of vacuum robot,OVR) 204亦用以開啟倍縮光罩標準製造介面艙且移除包括倍縮光罩的潔淨濾光器艙。真空泵連接至載入鎖定腔室218,且在載入鎖定腔室218內部形成真空環境。載入鎖定腔室218由用於控制載入鎖定腔室218中之壓力的兩個閥包夾。載入鎖定腔室218提供處於環境壓力之倍縮光罩處置系統201之載物台與處於真空之倍縮光罩處置系統201之載物台之間的介面,使得遠紫外線微影術罩幕可在真空壓力條件與真空條件之間傳送。
倍縮光罩背側檢測(reticle backside inspection,RBI)載物台206相鄰於載入鎖定腔室218定位,且包括裝備以量測且清洗倍縮光罩205c的背側,從而限制倍縮光罩205c之背側上的粒子。在實施例中,倍縮光罩背側檢測(reticle backside inspection,RBI)載物台206包括以下各者中的一或多者:用於偵測倍縮光罩205c之背側上之粒子的光學系統、用於量測粒子之大小的量測系統、用於清洗倍縮光罩205c之背側的清洗系統,及用於檢測倍縮光罩205c之背側的檢測系統。倍縮光罩205c在附接至卡盤時保持為非常平坦的。因此,亦重要的是防止相對大的粒子(例如,1 μm)或較小粒子層遷移至倍縮光罩205c的背側,該背側為倍縮光罩205c的接觸卡盤之表面。背側上之粒子可使倍縮光罩205c失真,且引起聚焦及疊對誤差。背側粒子亦可在曝光期間遷移至緊固倍縮光罩205c的支撐結構,且引起由支撐結構固持之所有後續倍縮光罩的類似問題。條碼讀取器208識別倍縮光罩205c及形成於上面的圖案。
真空機器人(in-vacuum robot,IVR) 210相鄰於載入鎖定腔室218定位,且操作以將倍縮光罩205c (或根據具體狀況,包括潔淨濾光器艙或潔淨濾光器艙的倍縮光罩標準製造介面在由非真空機器人204移除情況下)自載入鎖定腔室218運輸至真空庫(in-vacuum library,IVL) 212從而在使用之前臨時儲存倍縮光罩205c。在一些實施例中,當需要特定倍縮光罩時,真空機器人(in-vacuum robot,IVR) 210自真空庫(in-vacuum library,IVL) 212擷取所要倍縮光罩,且將倍縮光罩提供至快速交換裝置(rapid exchange device,RED) 214。在一些其他實施例中,快速交換裝置(rapid exchange device,RED) 214自真空庫(in-vacuum library,IVL) 212擷取所要倍縮光罩。
在使用微影術設備製造積體電路期間,不同倍縮光罩用以產生不同電路圖案以形成於積體電路的不同層上。因此,在製造積體電路之不同層期間,必須改變不同倍縮光罩。快速交換裝置(rapid exchange device,RED) 214 (亦被稱作倍縮光罩交換裝置)用以在最小可能時間量中在微影術製程期間改變倍縮光罩。
倍縮光罩載物台216包括支撐結構,該支撐結構例如為用於在微影術製程期間將倍縮光罩205c緊固於適當位置的卡盤。薄皮252定位於倍縮光罩205c上方,從而保護倍縮光罩205c不受粒子、灰塵、損害及/或污染影響。
第3A圖為倍縮光罩艙350的透視圖之分解視圖。第3B圖為倍縮光罩艙350的示意性橫截面圖。由倍縮光罩艙350,例如SMIF艙將倍縮光罩(例如,倍縮光罩205c)儲存、運輸且載入至微影術系統中。倍縮光罩艙350為包括一者在另一者內部的兩個艙,該兩個艙保護倍縮光罩不受污染影響。倍縮光罩艙350具有圍封內部艙的外部艙。內部艙直接固持倍縮光罩。包括倍縮光罩之雙艙經提供至倍縮光罩處置系統201(第2圖)。在接收到時,倍縮光罩處置系統201初始地開啟外部艙以擷取包括倍縮光罩的內部艙。含有倍縮光罩的內部艙經臨時儲存,直至倍縮光罩被需要以供使用。
如所圖示,倍縮光罩205c儲存於內部艙354中。內部艙354包括內部艙基座356及內部艙蓋355。倍縮光罩205c面向下儲存於內部艙354中。更具體而言,倍縮光罩205c之經印刷或圖案化表面365 (亦被稱作前部面)面向內部艙基座356,且倍縮光罩205c之背側表面367面向內部艙蓋355。為了圖示清楚,薄皮並未繪示於圖案化表面365上方。然而,應注意,薄皮(例如,薄皮252)安設於一或多個約束機構361內的倍縮光罩205c上。內部艙基座356包括一或多個約束機構361以減小倍縮光罩205c的滑動或移動,且藉此將倍縮光罩205c緊固於內部艙354中。替代地或另外,內部艙蓋355包括一或多個約束機構361以將倍縮光罩205c緊固於適當位置。藉助於實例,約束機構361包括夾鉗、槽、銷、固定區塊及彈簧。內部艙蓋355耦接至內部艙基座356以界定倍縮光罩艙350的內部空間311或內部環境。倍縮光罩205c定位於內部艙基座356與內部艙蓋355之間的內部空間311中。
參看第3B圖,內部艙蓋355包括大體水平的頂部部分380及通常自頂部部分380垂直延伸且形成頂部部分380之邊緣(側壁)或輪緣的側向部分382。如所圖示,側向部分382具有水平(或徑向)寬度W,且表面371形成內部艙蓋355之側向部分382的下表面(或其至少一部分)。表面373形成內部艙基座356的上表面(或其至少一部分)。當內部艙蓋355定位於內部艙基座356上方時,表面371及表面373面向彼此。當內部艙蓋355定位於內部艙基座356上時,表面371及表面373彼此接觸。
在一些實施例中,內部艙蓋355且內部艙基座356由經塗佈鎳的鋁合金製成。在一些實施例中,鎳塗層為Ni-P或Ni-Cr。Ni-P或Ni-Cr粒子可由內部艙蓋355及內部艙基座356接觸之方位中的摩擦產生。若摩擦產生之粒子掉落於內部艙基座356上的倍縮光罩205c上,則粒子在倍縮光罩205c用於光微影術時可引起遮蔽影像誤差。
內部艙354緊固地含有於外部艙351內。在一些實施例中,外部艙為倍縮光罩標準製造介面 (「reticle standard manufacturing interface,SMIF」)艙(RSP)內。外部艙351包括外部基座353及外部蓋352。類似於內部艙蓋355及內部艙基座356,外部基座353耦接至外部蓋352,從而將內部艙354有效地包夾於外部基座353與外部蓋352之間。內部艙354牢固地停置於外部基座353上,其中外部蓋352藉由外部基座353封閉。
內部艙354及外部艙351以促進其開啟、封閉及一般操縱的方式來設計。舉例而言,內部艙354及外部艙351經設計以包括機械臂處置凸緣、插銷孔、銷、輸送帶軌道、端效器排斥體積、次級側機械臂處置凸緣及主要側機械臂處置凸緣、用於倍縮光罩對準及資料矩陣識別的特徵、定位銷(registration pin)特徵、與運動學耦合銷配合的特徵,及類似者。
本揭露之實施例係針對內部艙,該內部艙包括內部艙蓋及內部艙基座之表面上的塗層。塗層限制內部艙蓋與內部艙基座之間的摩擦。因此,內部艙蓋與內部艙基座之表面的剝離經最小化,且產生之粒子被減少。
第4A圖為根據本揭露之實施例的圖示包括塗佈材料層402之內部艙354的示意圖。為了圖示,內部艙蓋355及內部艙基座356繪示為彼此分離的。
為了重量控制,內部艙蓋355及內部艙基座356由諸如鋁合金之金屬材料製成。鋁合金,例如鋁-鎂(Al-Mg)合金具有差的機械性質,且可易於受到損害。為了改良機械性質,內部艙蓋355及內部艙基座356部分或完全塗佈有比鋁合金硬的材料,例如,包括Ni-P或Ni-Cr的鎳塗層。在其他個例中,內部艙蓋355及內部艙基座356塗佈有鉻(Cr)。Ni-P或Ni-Cr粒子可由內部艙蓋355與內部艙基座356接觸之處的摩擦產生。若摩擦產生之粒子掉落於內部艙基座356上的倍縮光罩205c上,則粒子在罩幕用於光微影術時可引起遮蔽影像誤差。
在一些實施例中,為了限制由摩擦產生之粒子的形成且防止奈米規模罩幕圖案中的影像誤差,內部艙蓋355及內部艙基座356的表面371及373 (包括Ni、Ni-P或Cr)塗佈有相較於Ni塗層更軟的撓性材料,例如彈性體層402。在其他實施例中,層402包括凝膠材料或海綿類型材料。彈性體及凝膠材料具有撓性及彈性。這些材料可易於拉伸,但在力或應力經移除時返回至其初始形狀。因此,當內部艙蓋355及內部艙基座356的表面371及373彼此接觸時,層402限制粒子的產生。彈性體之實例包括天然橡膠、苯乙烯-丁二烯嵌段共聚物、聚異戊二烯、聚丁二烯、乙烯丙烯橡膠、乙烯丙烯二烯橡膠、矽橡膠彈性體、氟橡膠、聚氨酯彈性體及丁腈橡膠。然而,為軟且撓性的其他類型之彈性體亦係在本揭露之範疇內。在一些實施例中,凝膠材料包括明膠。
在一些實施例中,內部艙基座356及內部艙蓋355上的層402由相同彈性體或凝膠材料製成。在其他實施例中,內部艙基座356及內部艙蓋355上的層402由不同彈性體或凝膠材料製成。
第4B圖圖示第4A圖中箭頭A之方向上內部艙蓋355的視圖。第4C圖圖示第4A圖中箭頭B之方向上內部艙基座356的平面圖。在一些實施例中,且如第4A圖及第4B圖中所圖示,層402塗佈於表面371上,且形成內部艙蓋355的周邊。如第4A圖及第4C圖中所圖示,層402亦沿著內部艙基座356的周邊區塗佈於表面373上。如所圖示,表面373上的層402與內部艙基座356的外部邊緣313平齊。在一些實施例中,表面373上的層402與內部艙基座356的外部邊緣313隔開。
在一些實施例中,替代內部艙蓋355的整個周邊區,層402塗佈於周邊區的僅一部分上。層402接著沿著內部艙基座356之周邊區在數個部分中塗佈於表面373上,使得當內部艙蓋355置放於內部艙基座356上時,內部空間311經界定、由層402包圍。換言之,內部艙蓋355及內部艙基座356上的層402彼此互補。
第4D圖圖示包括沿著左側邊緣之層402及沿著周邊區之底部邊緣的內部艙蓋355的表面371。第4E圖圖示包括沿著底部邊緣之層402及沿著周邊區之右側邊緣的內部艙基座356的表面373。因此,參看第4A圖中內部艙蓋355及內部艙基座356的定向,內部艙蓋355及內部艙基座356上的層402彼此互補,且當內部艙蓋355置放於內部艙基座356上時,層402協作地界定圍封倍縮光罩205c的內部空間311。
第4F圖圖示內部艙蓋355之表面371,該表面371包括沿著內部艙蓋355之周邊區之多個離散方位安置之層402。第4G圖圖示內部艙基座356之表面373,該表面373包括沿著內部艙基座356之周邊區之多個離散方位安置之層402。因此,層402形成交錯/互鎖圖案。當內部艙蓋355置放於內部艙基座356上時,內部艙蓋355中的每一層402在內部艙基座356上在層402內、相鄰於層402收納。因此,參看第4A圖中內部艙蓋355及內部艙基座356的定向,當內部艙蓋355置放於內部艙基座356上時,層402協作地界定圍封倍縮光罩205c的內部空間311。
在第4A圖及第4B圖中,層402圖示為延伸達表面371的整個寬度W。然而,在其他實施例中,層402延伸小於表面371的寬度W。
第4H圖為根據本揭露之實施例的圖示延伸小於表面371之寬度W的層402之示意圖。
在一些實施中,層402可移除地附接至內部艙蓋355及內部艙基座356的表面。因此,例如,在損害狀況下或當不同材料的層402將安設於表面上時,易於替換層402。層402可作為旋塗塗佈塗覆。在一些實施例中,層402之厚度T(第4A圖)係在約100 nm至約5 μm的範圍內,且在其他實施例中係在約500 nm至約1 μm的範圍內。在一些實施例中,內部艙蓋355之層402及內部艙基座356上層402的厚度相同。在其他實施例中,厚度不同。在一些實施例中,內部艙蓋355上之層402及內部艙基座356上之層402具有互補厚度輪廓。舉例而言,參看第4A圖,內部艙蓋355上之層402的厚度自內部艙蓋355之左端至內部艙蓋355的右端減低(例如,漸變厚度梯度)。因此,層402在左端處最厚,且在右端處最薄。以互補方式,內部艙基座356上的層402在右端處最厚,且在左端處最薄。因此,當內部艙蓋355置放於內部艙基座356上時,內部艙蓋355大體上調平(水平)。
當層402之厚度小於約100 nm時,層歸因於內部艙蓋355及內部艙基座356的移動而易於受到損害。當層402之厚度大於約5 nm時,層402可剛性過大(撓性較低),且歸因於內部艙蓋355及內部艙基座356的移動可存在增大之摩擦。
在本揭露之一些實施例中,層402的彈性體或凝膠經選擇以允許內部艙蓋355及內部艙基座356在彼此上方平滑地滑動。因此,內部艙蓋355與內部艙基座356之間的摩擦被減小。
在一些實施例中,且如第4I圖中所圖示,僅內部艙基座356的表面373塗佈有層402,且內部艙蓋355無層402。在一些實施例中,且如第4J圖中所圖示,僅內部艙蓋355的表面371塗佈有層402,且內部艙基座356無層402。
在一些其他實施例中,除了內部艙蓋355及/或內部艙基座356外,當置放於外部基座353上之外部蓋352塗佈有塗佈材料的層402時,外部艙351的外部基座353及/或外部蓋352的表面彼此接觸。第5圖圖示塗佈有層402的外部艙351之外部基座353及外部蓋352的表面。在一些其他實施例中,僅外部基座353之表面或僅外部蓋352的表面並非兩者塗佈有層402。應注意,在其他實施例中,外部艙351之表面上的層402及內部艙354之表面上的層402包括不同材料。在其他實施例中,外部艙351之表面及內部艙354之表面上的層402包括不同材料。
應注意,儘管實施例揭示安置於內部艙354及外部艙351的表面上之單一層402,但實施例不限於此,且其他實施例包括安置於表面上的複數個層402。舉例而言,複數個層402可包括堆疊於彼此上方的多個層402。多個層402可包括相同材料層或不同材料層。
第6圖圖示根據一些實施例的處置倍縮光罩外殼之方法600的流程圖。應理解,額外操作可在第6圖中論述之製程之前、期間且之後提供,且下文描述之操作中的一些針對方法之額外實施例可被替換或消除。操作/製程的次序可為可互換的,且操作/製程中之至少一些可以不同序列執行。至少兩個或兩個以上操作/製程可時間上重疊或幾乎同時地執行。
方法600包括提供第一倍縮光罩外殼的操作S610,該第一倍縮光罩外殼包括第一基座及安置於第一基座上的第一蓋。第一彈性體或凝膠材料層安置於第一基座及第一蓋中的至少一者上。在操作S620處,第一基座及第一蓋經分離。在操作S630中,將倍縮光罩置放於第一基座上。在操作S640中,藉由將第一蓋置放於該第一基座上來封閉第一倍縮光罩外殼,使得第一彈性體或凝膠材料層安置於第一基座與第一蓋之間,且接觸第一基座或第一蓋。
本揭露之實施例係針對減小歸因於內部艙蓋355與內部艙基座356之間的摩擦產生之粒子。藉由減小所產生之粒子,EUV微影術系統101、倍縮光罩處置系統201及/或其他關聯組件的污染被減小。
應理解,並非所有優勢本文中有必要予以論述,對於所有實施例或實例不要求特定優勢,且其他實施例或實例可給予不同優勢。
根據本揭露之一些實施例,一種倍縮光罩外殼包括:包括一第一表面的一基座;一蓋,該蓋包括一第二表面且安置於該基座上,其中該基座及該蓋在之間形成一內部空間,該內部空間包括一倍縮光罩;及一彈性體或凝膠材料層,該彈性體或凝膠材料層安置於該第一表面及該第二表面中的至少一者上。該彈性體或凝膠材料層安置於該基座與該蓋之間且接觸該基座或該蓋。在一實施例中,該彈性體或凝膠材料層安置於該第一表面或該第二表面之一周邊區的僅一部分中。在一實施例中,該彈性體或凝膠材料層安置於僅該第一表面上。在一實施例中,該彈性體或凝膠材料層安置於僅該第二表面上。在一實施例中,該彈性體或凝膠材料層以一交錯圖案安置於該第一表面及該第二表面上。在一實施例中,該彈性體或凝膠材料層覆蓋該第二表面的一整個寬度。在一實施例中,該彈性體或凝膠材料層部分覆蓋該第二表面之一寬度的僅一部分。在一實施例中,該層包括一彈性體,該彈性體包括選自由以下各者組成之群的一或多者:天然橡膠、苯乙烯-丁二烯嵌段共聚物、聚異戊二烯、聚丁二烯、乙烯丙烯橡膠、乙醇丙烯二烯橡膠、矽樹脂彈性體、氟橡膠、聚氨酯彈性體,及丁腈橡膠。在一實施例中,該第一表面及該第二表面各自包括該彈性體或凝膠材料層。在一實施例中,該第一表面及該第二表面上的該些層各自包括一相同材料。在一實施例中,該第一表面及該第二表面上的該些層包括不同材料。
根據本揭露之一些實施例,一種處置倍縮光罩的方法包括:提供一外部倍縮光罩外殼,該外部倍縮光罩外殼包括一外部基座及一外部蓋;提供一內部倍縮光罩外殼,該內部倍縮光罩外殼包括一內部基座及一內部蓋;及將一倍縮光罩置放於該內部基座上。一第一彈性體或凝膠材料層安置於該外部基座上,且一第二彈性體或凝膠材料層安置於該外部蓋上。一第三彈性體或凝膠材料層安置於該內部基座上,且一第四彈性體或凝膠材料層安置於該內部蓋上。該方法進一步包括:將一倍縮光罩置放於該內部基座上。該方法進一步包括:將該內部蓋置放於該內部基座上,使得該第三彈性體或凝膠材料層接觸該第四彈性體或凝膠材料層;將該內部倍縮光罩外殼置放於該外部基座上;及將該外部蓋置放於該外部基座上,使得該第一彈性體或凝膠材料層接觸該第二彈性體或凝膠材料層。在一實施例中,該第一彈性體或凝膠材料層、該第二彈性體或凝膠材料層、該第三彈性體或凝膠材料層及該第四彈性體或凝膠材料層各自包括一相同材料。在一實施例中,該第一彈性體或凝膠材料層、該第二彈性體或凝膠材料層、該第三彈性體或凝膠材料層及該第四彈性體或凝膠材料層中的至少一者包括不同於剩餘層的一材料。
根據本揭露之一些實施例,一種處置倍縮光罩的方法包括:提供一第一倍縮光罩外殼,該第一倍縮光罩外殼包括一第一基座及安置於該第一基座上的一第一蓋,其中一第一彈性體或凝膠材料層安置於該第一基座及該第一蓋中的至少一者上;分離該第一基座與該第一蓋;將一倍縮光罩置放於該第一基座上;及藉由將該第一蓋置放於該第一基座上來封閉該第一倍縮光罩外殼,使得該第一彈性體或凝膠材料層安置於該第一基座與該第一蓋之間,且接觸該第一基座或該第一蓋。在一實施例中,該第一倍縮光罩外殼圍封於一第二倍縮光罩外殼中,該第二倍縮光罩外殼包括一第二基座及一第二蓋,且該方法包括:分離該第二倍縮光罩外殼的該第二基座與該第二蓋;及自該第二倍縮光罩外殼移除該第一倍縮光罩外殼。在一實施例中,一第二彈性體或凝膠材料層安置於該第二倍縮光罩外殼之該第二基座及該第二蓋中之至少一者上,且該方法進一步包括:將該經封閉之第一倍縮光罩外殼置放於該第二倍縮光罩外殼的該第二基座上;及藉由將該第二蓋置放於該第二基座上來封閉該第二倍縮光罩外殼,使得該第二彈性體或凝膠材料層安置於該第二基座與該第二蓋之間,且接觸該第二基座或該第二蓋。在一實施例中,該第一彈性體或凝膠材料層及該第二彈性體或凝膠材料層中的至少一者包括一彈性體,該彈性體包括選自由以下各者組成之群的一或多者:天然橡膠、苯乙烯-丁二烯嵌段共聚物、聚異戊二烯、聚丁二烯、乙烯丙烯橡膠、乙醇丙烯二烯橡膠、矽樹脂彈性體、氟橡膠、聚氨酯彈性體,及丁腈橡膠。在一實施例中,該第一彈性體或凝膠材料層及該第二彈性體或凝膠材料層包括一相同材料或不同材料。在一實施例中,該第一彈性體或凝膠材料層及該第二彈性體或凝膠材料層具有一相同厚度或不同厚度。在一實施例中,該第一蓋及該第一基座各自包括該第一彈性體或凝膠材料層。在一實施例中,將該倍縮光罩置放於該第一基座上的步驟包括以下步驟: 將該倍縮光罩置放於該第一基座上的一或多個第一約束機構上,且封閉該第一倍縮光罩外殼的步驟包括以下步驟:將該第一蓋置放於該第一基座上,使得該第一蓋上之一或多個第二約束機構接觸該倍縮光罩,藉此將該倍縮光罩緊固於該第一倍縮光罩外殼中。
前述內容概述若干實施例或實例之特徵,使得熟習此項技術者可更佳地理解本揭露之態樣。熟習此項技術者應瞭解,其可易於使用本揭露作為用於設計或修改用於實施本文中引入之實施例或實例之相同目的及/或達成相同優勢之其他製程及結構的基礎。熟習此項技術者亦應認識到,此類等效構造並不偏離本揭露之精神及範疇,且此類等效構造可在本文中進行各種改變、取代及替代而不偏離本揭露的精神及範疇。
100:遠紫外線(EUV)輻射源設備 101:遠紫外線(EUV)微影術系統 105:腔室 110:雷射產生電漿(LPP)收集器/收集器 115:靶材液滴產生器 120:液滴捕獲器 130:第一緩衝器氣體供應源 135:第二緩衝器氣體供應源 140:出口 150:殘渣收集機構或裝置 160:輸出埠 200:曝光工具 201:倍縮光罩處置系統 202:載入埠 204:非真空機器人(OVR) 205a:光學件 205b:光學件 205c:倍縮光罩 205d:減小投影光學件 205e:減小投影光學件 206:倍縮光罩背側檢測(RBI)載物台 208:條碼讀取器 210:真空機器人(IVR) 211:基板 212:真空庫(IVL) 214:快速交換裝置(RED) 216:倍縮光罩載物台(RS) 218:載入鎖定腔室 250:示意性薄皮總成 252:薄皮 254:薄皮框架 256:圖案化表面 258:污染物粒子 300:激發雷射源設備 310:雷射產生器 311:內部空間 313:外部邊緣 320:雷射導引光學件 330:聚焦設備 350:倍縮光罩艙 351:外部艙 352:外部蓋 353:外部基座 354:內部艙 355:內部艙蓋 356:內部艙基座 361:約束機構 365:經印刷或圖案化表面 371:表面 373:表面 380:頂部部分 382:側向部分 402:層 600:處置倍縮光罩外殼之方法 A:箭頭 A1:光軸 B:箭頭 BF:基座地板 DP:靶材液滴 DP1:減震器 DP2:減震器 LR2:激發雷射 LR1:雷射光 MF:主地板 PP1:機架板 PP2:機架板 S610:操作 S620:操作 S630:操作 S640:操作 T:厚度 W:寬度 ZE:激發區域
本揭露之態樣在與隨附圖式一起研讀時自以下詳細描述內容來最佳地理解。應注意,根據行業中之標準慣例,各種特徵未按比例繪製。實際上,各種特徵之尺寸可為了論述清楚經任意地增大或減小。 第1A圖為根據本揭露之一些實施例構建的遠紫外線(extreme ultraviolet,EUV)微影術系統的示意圖,該微影術系統具有雷射產生電漿(laser produced plasma,LPP) EUV輻射源設備。 第1B圖為根據本揭露之實施例的EUV微影術系統曝光工具的示意圖。 第1C圖圖示安設在置放於第1A圖之EUV微影術系統中之倍縮光罩上的示意性薄皮總成。 第2圖圖示不同載物台(或台)與遠紫外線微影術系統之佈局的俯視圖。 第3A圖為示意性分解視圖,且第3B圖為圖示EUV倍縮光罩艙的橫截面圖。 第4A圖為根據本揭露之實施例的圖示包括塗佈材料層之內部艙的示意圖。 第4B圖圖示第4A圖中箭頭A之方向上內部艙蓋的視圖。 第4C圖圖示第4A圖中箭頭B之方向上內部艙基座的平面圖。 第4D圖圖示包括沿著左側邊緣之層及沿著周邊區之底部邊緣的內部艙蓋的表面。 第4E圖圖示包括沿著底部邊緣之層及沿著周邊區之右側邊緣的內部艙基座的表面。 第4F圖圖示包括內部艙蓋中沿著周邊區之多個離散方位安置之層的內部艙蓋之表面。 第4G圖圖示包括沿著內部艙基座之周邊區的多個離散方位安置之層的內部艙基座之表面。 第4H圖為根據本揭露之實施例的圖示延伸小於蓋之寬度的層之示意圖。 第4I圖圖示根據本揭露之實施例的僅塗佈有層之內部艙基座的表面。 第4J圖圖示根據本揭露之實施例的僅塗佈有層之內部艙蓋之表面。 第5圖圖示根據本揭露之實施例的塗佈有層的外部艙之外部基座及外部蓋的表面。 第6圖圖示根據本揭露之實施例的處置倍縮光罩外殼之方法的流程圖。
600:處置倍縮光罩外殼之方法
S610:操作
S620:操作
S630:操作
S640:操作

Claims (20)

  1. 一種倍縮光罩外殼,包含: 包括一第一表面的一基座; 一蓋,該蓋包括一第二表面且安置於該基座上,其中該基座及該蓋在之間形成一內部空間以包括一倍縮光罩;及 一彈性體或凝膠材料層,該彈性體或凝膠材料層安置於該第一表面及該第二表面中的至少一者上,其中該彈性體或凝膠材料層安置於該基座與該蓋之間且接觸該基座或該蓋。
  2. 如請求項1所述之倍縮光罩外殼,其中該彈性體或凝膠材料層安置於該第一表面或該第二表面之一周邊區的僅一部分中。
  3. 如請求項1所述之倍縮光罩外殼,其中該彈性體或凝膠材料層以一交錯圖案安置於該第一表面及該第二表面上。
  4. 如請求項1所述之倍縮光罩外殼,其中該彈性體或凝膠材料層覆蓋該第二表面的一整個寬度。
  5. 如請求項1所述之倍縮光罩外殼,其中該彈性體或凝膠材料層部分覆蓋該第二表面之一寬度的僅一部分。
  6. 如請求項1所述之倍縮光罩外殼,其中該彈性體或凝膠材料層包括一彈性體,該彈性體包括選自由以下各者組成之群的一或多者:天然橡膠、苯乙烯-丁二烯嵌段共聚物、聚異戊二烯、聚丁二烯、乙烯丙烯橡膠、乙醇丙烯二烯橡膠、矽樹脂彈性體、氟橡膠、聚氨酯彈性體,及丁腈橡膠。
  7. 如請求項1所述之倍縮光罩外殼,其中該第一表面及該第二表面各自包括該彈性體或凝膠材料層。
  8. 如請求項7所述之倍縮光罩外殼,其中該第一表面及該第二表面上的該些彈性體或凝膠材料層包括一相同材料或不同材料。
  9. 如請求項7所述之倍縮光罩外殼,其中該第一表面及該第二表面上的該些彈性體或凝膠材料層具有一相同厚度或不同厚度。
  10. 一種方法,包含以下步驟: 提供一外部倍縮光罩外殼,該外部倍縮光罩外殼包括一外部基座及一外部蓋,其中一第一彈性體或凝膠材料層安置於該外部基座上,且一第二彈性體或凝膠材料層安置於該外部蓋上; 提供一內部倍縮光罩外殼,該內部倍縮光罩外殼包括一內部基座及一內部蓋,其中一第三彈性體或凝膠材料層安置於該內部基座上,且一第四彈性體或凝膠材料層安置於該內部蓋上; 將一倍縮光罩置放於該內部基座上; 將該內部蓋置放於該內部基座上,使得該第三彈性體或凝膠材料層接觸該第四彈性體或凝膠材料層; 將該內部倍縮光罩外殼置放於該外部基座上;及 將該外部蓋置放於該外部基座上,使得該第一彈性體或凝膠材料層接觸該第二彈性體或凝膠材料層。
  11. 如請求項10所述之方法,其中該第一彈性體或凝膠材料層、該第二彈性體或凝膠材料層、該第三彈性體或凝膠材料層及該第四彈性體或凝膠材料層各自包括一相同材料。
  12. 如請求項10所述之方法,其中該第一彈性體或凝膠材料層、該第二彈性體或凝膠材料層、該第三彈性體或凝膠材料層及該第四彈性體或凝膠材料層中的至少一者包括不同於剩餘層的一材料。
  13. 一種方法,包含以下步驟: 提供一第一倍縮光罩外殼,該第一倍縮光罩外殼包括一第一基座及安置於該第一基座上的一第一蓋,其中一第一彈性體或凝膠材料層安置於該第一基座及該第一蓋中的至少一者上; 分離該第一基座與該第一蓋; 將一倍縮光罩置放於該第一基座上;及 藉由將該第一蓋置放於該第一基座上來封閉該第一倍縮光罩外殼,使得該第一彈性體或凝膠材料層安置於該第一基座與該第一蓋之間,且接觸該第一基座或該第一蓋。
  14. 如請求項13所述之方法,其中該第一倍縮光罩外殼圍封於一第二倍縮光罩外殼中,該第二倍縮光罩外殼包括一第二基座及一第二蓋,且該方法包含以下步驟: 分離該第二倍縮光罩外殼的該第二基座與該第二蓋;及 自該第二倍縮光罩外殼移除該第一倍縮光罩外殼。
  15. 如請求項14所述之方法,其中一第二彈性體或凝膠材料層安置於該第二倍縮光罩外殼之該第二基座及該第二蓋中之至少一者上,且該方法進一步包含以下步驟: 將該經封閉之第一倍縮光罩外殼置放於該第二倍縮光罩外殼的該第二基座上;及 藉由將該第二蓋置放於該第二基座上來封閉該第二倍縮光罩外殼,使得該第二彈性體或凝膠材料層安置於該第二基座與該第二蓋之間,且接觸該第二基座或該第二蓋。
  16. 如請求項15所述之方法,其中該第一彈性體或凝膠材料層及該第二彈性體或凝膠材料層中的至少一者包括一彈性體,該彈性體包括選自由以下各者組成之群的一或多者:天然橡膠、苯乙烯-丁二烯嵌段共聚物、聚異戊二烯、聚丁二烯、乙烯丙烯橡膠、乙醇丙烯二烯橡膠、矽樹脂彈性體、氟橡膠、聚氨酯彈性體,及丁腈橡膠。
  17. 如請求項15所述之方法,其中該第一彈性體或凝膠材料層及該第二彈性體或凝膠材料層包括一相同材料或不同材料。
  18. 如請求項15所述之方法,其中該第一彈性體或凝膠材料層及該第二彈性體或凝膠材料層具有一相同厚度或不同厚度。
  19. 如請求項13所述之方法,其中該第一蓋及該第一基座各自包括該第一彈性體或凝膠材料層。
  20. 如請求項13所述之方法,其中將該倍縮光罩置放於該第一基座上的步驟包括以下步驟: 將該倍縮光罩置放於該第一基座上的一或多個第一約束機構上,且封閉該第一倍縮光罩外殼的步驟包括以下步驟: 將該第一蓋置放於該第一基座上,使得該第一蓋上之一或多個第二約束機構接觸該倍縮光罩,藉此將該倍縮光罩緊固於該第一倍縮光罩外殼中。
TW111128849A 2021-08-30 2022-08-01 倍縮光罩外殼及其處置方法 TWI831314B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/461,392 2021-08-30
US17/461,392 US20230066653A1 (en) 2021-08-30 2021-08-30 Reticle enclosure for lithography systems

Publications (2)

Publication Number Publication Date
TW202314796A true TW202314796A (zh) 2023-04-01
TWI831314B TWI831314B (zh) 2024-02-01

Family

ID=84696551

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111128849A TWI831314B (zh) 2021-08-30 2022-08-01 倍縮光罩外殼及其處置方法

Country Status (3)

Country Link
US (1) US20230066653A1 (zh)
CN (1) CN115524921A (zh)
TW (1) TWI831314B (zh)

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5232690A (en) * 1975-09-08 1977-03-12 Fujitsu Ltd Ic chip mounting body capable of wiring change
JPH0320740A (ja) * 1989-06-16 1991-01-29 Matsushita Electron Corp ペリクルカバーのフレーム
JPH07175206A (ja) * 1994-04-14 1995-07-14 Hitachi Ltd ペリクル
JP4173239B2 (ja) * 1999-02-05 2008-10-29 信越化学工業株式会社 リソグラフィー用ペリクル
JP2002236352A (ja) * 2001-02-09 2002-08-23 Umc Japan ペリクル
US6841312B1 (en) * 2001-04-11 2005-01-11 Dupont Photomasks, Inc. Method and apparatus for coupling a pellicle assembly to a photomask
JP2005123292A (ja) * 2003-10-15 2005-05-12 Canon Inc 収納装置、当該収納装置を用いた露光方法
KR20060116152A (ko) * 2005-05-09 2006-11-14 미쓰이 가가쿠 가부시키가이샤 오염이 적은 펠리클
SG10201400835QA (en) * 2005-09-27 2014-07-30 Entegris Inc Reticle Pod
JP2008158116A (ja) * 2006-12-22 2008-07-10 Asahi Kasei Electronics Co Ltd ペリクルフレーム
US8268514B2 (en) * 2009-01-26 2012-09-18 Taiwan Semiconductor Manufacturing Co., Ltd. Pellicle mounting method and apparatus
JP5436296B2 (ja) * 2010-03-26 2014-03-05 信越化学工業株式会社 リソグラフィー用ペリクル
US9412632B2 (en) * 2012-10-25 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Reticle pod
US20150131071A1 (en) * 2013-11-08 2015-05-14 Samsung Electronics Co., Ltd. Semiconductor device manufacturing apparatus
JP6347741B2 (ja) * 2014-12-25 2018-06-27 信越化学工業株式会社 ペリクル
EP3504591B1 (en) * 2016-08-27 2022-05-11 Entegris, Inc. Reticle pod having side containment of reticle
EP3404485B1 (en) * 2017-05-15 2019-07-03 IMEC vzw A lithographic reticle system
US11106127B2 (en) * 2017-11-08 2021-08-31 Taiwan Semiconductor Manufacturing Co., Ltd. Structure of pellicle-mask structure with vent structure
US11508592B2 (en) * 2018-10-29 2022-11-22 Gudeng Precision Industrial Co., Ltd Reticle retaining system
US11104496B2 (en) * 2019-08-16 2021-08-31 Gudeng Precision Industrial Co., Ltd. Non-sealed reticle storage device
US20210109439A1 (en) * 2019-10-10 2021-04-15 Entegris, Inc. Reticle pod with window

Also Published As

Publication number Publication date
CN115524921A (zh) 2022-12-27
US20230066653A1 (en) 2023-03-02
TWI831314B (zh) 2024-02-01

Similar Documents

Publication Publication Date Title
US7804583B2 (en) EUV reticle handling system and method
US7428958B2 (en) Substrate conveyor apparatus, substrate conveyance method and exposure apparatus
JP4313666B2 (ja) マスク用コンテナ、リソグラフ・マスクをコンテナ内へ移送する方法及びコンテナ内のマスクを走査する方法
EP1806767B1 (en) Substrate transfer apparatus, substrate transfer method and exposure apparatus
TWI247337B (en) Transfer method for a mask or substrate, storage box, device or apparatus adapted for use in such method, and device manufacturing method comprising such a method
US20080024751A1 (en) Reticle holding member, reticle stage, exposure apparatus, projection-exposure method and device manufacturing method
JP2014527291A (ja) リソグラフィ装置及びデバイス製造方法
US20070211232A1 (en) Thermophoretic Techniques for Protecting Reticles from Contaminants
TW201728992A (zh) 用於半導體微影製程的保護膜設備
US20060197935A1 (en) Processing unit, exposure apparatus having the processing unit, and protection unit
TW535200B (en) X-ray reflection mask, method for protecting the mask, x-ray exposure system and method for manufacturing semiconductor device
TWI831314B (zh) 倍縮光罩外殼及其處置方法
US11385538B2 (en) Cleaning method for photo masks and apparatus therefor
US20230064383A1 (en) Reticle enclosure for lithography systems
US11415879B1 (en) Reticle enclosure for lithography systems
KR102613748B1 (ko) 포토 마스크를 위한 세정 방법 및 장치
JP2008021730A (ja) レチクル・カバー、レチクル搬送方法および投影露光方法
JP2002299225A (ja) レチクル保護ケース及びそれを用いた露光装置
JP5263274B2 (ja) 露光装置及び方法
JP2006173245A (ja) 露光装置及びデバイスの製造方法