TW202305153A - 電漿處理裝置 - Google Patents

電漿處理裝置 Download PDF

Info

Publication number
TW202305153A
TW202305153A TW111137161A TW111137161A TW202305153A TW 202305153 A TW202305153 A TW 202305153A TW 111137161 A TW111137161 A TW 111137161A TW 111137161 A TW111137161 A TW 111137161A TW 202305153 A TW202305153 A TW 202305153A
Authority
TW
Taiwan
Prior art keywords
film
gas
plasma
hole
processing
Prior art date
Application number
TW111137161A
Other languages
English (en)
Inventor
田端雅弘
久松亨
木原嘉英
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW202305153A publication Critical patent/TW202305153A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • H01L21/02332Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen into an oxide layer, e.g. changing SiO to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3088Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3344Problems associated with etching isotropy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • H01L21/28132Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects conducting part of electrode is difined by a sidewall spacer or a similar technique, e.g. oxidation under mask, plating

Abstract

本發明提供一種方法,係在被處理體上之圖案形成中,為了高積體所伴隨之微型化,而以高精度抑制臨界尺寸(最小線寬)之變動的方法。 依本發明之一實施態樣的方法係為被處理體之處理方法,於該被處理體之表面設有複數孔洞。此被處理體之處理方法具備包含下述步驟之第一程序:第一步驟,對孔洞之內面形成膜;及第二步驟,等向性地蝕刻膜。第一步驟,係包含使用電漿CVD法的成膜處理,且該膜含有矽。

Description

電漿處理裝置
本發明之實施態樣係關於一種被處理體之處理方法。
在電子元件的製造過程中,係將遮罩形成於被處理層上,並為了將該遮罩的圖案轉印至該被處理層而進行蝕刻。該蝕刻可採用電漿蝕刻。用於電漿蝕刻之遮罩,係藉由光微影技術而形成。因此,形成於被處理層之圖案的極限尺寸,係取決於藉由光微影技術所形成之遮罩的解析度。遮罩之圖案的解析度,具有解析極限。對於電子元件之高積體化的需求日益增高,因而要求形成尺寸小於解析極限的圖案。因此,如專利文獻1等提出一種技術,其調整圖案的尺寸形狀,並縮小該圖案開口之寬度。 ﹝習知技術文獻﹞ ﹝專利文獻﹞
專利文獻1:美國專利申請公開第2016/0379824號說明書
﹝發明所欲解決之問題﹞
圖案形成,例如藉由在SiO 2層等被處理層形成高度精細的孔洞而實現。在形成具有尺寸小於遮罩圖案之解析極限之圖案的情況下,必需要控制圖案之孔洞之高度精細的臨界尺寸(CD:Critical Dimension)。圖案越精密,則臨界尺寸的變動影響越大。特別是在EUV(極紫外光:Extreme Ultra Violet)微影的情況下,可降低初始的LCDU(局部臨界尺寸均勻度:local CD Uniformity)。因此,吾人期望實現一種方法,例如在具有SiO 2等被處理層之被處理體上之圖案形成中,為了高積體化所伴隨之微型化,而高精度地抑制臨界尺寸之變動。 ﹝解決問題之技術手段﹞
在本發明之一態樣中,提供一種被處理體之處理方法,於該被處理體之表面設有複數孔洞。此方法具備包含下述步驟之第一程序:第一步驟,對孔洞之內面形成膜;及第二步驟,等向性地蝕刻膜;第一步驟,係包含使用電漿CVD法的成膜處理,且該膜含有矽。
在上述方法中,由於第一步驟包含採用電漿CVD(plasma-enhanced Chemical Vapor Deposition,電漿輔助化學氣相沉積)法的成膜處理,故可對於孔洞寬度較窄的孔洞形成膜厚較薄的膜,而對於孔洞寬度較寬的孔洞形成膜厚較厚的膜。因此,即使在複數孔洞中,於孔洞寬度產生變動,亦可藉由第一步驟的成膜處理降低該變動。再者,由於在第二步驟中,等向性地蝕刻藉由第一步驟所形成的膜,故可在維持藉由以第一步驟所形成的膜使孔洞寬度之變動降低的狀態下,調節孔洞寬度。
在本發明之一實施態樣中,重複執行第一程序。 如此,由於重複執行第一程序,故可藉由在第一步驟中形成膜厚較薄的膜並重複執行第一程序,而形成最後所期望之膜厚的膜。藉此,可充分避免在孔洞寬度較窄的孔洞中,孔洞之開口被藉由第一步驟所形成的膜堵塞之狀況。
在本發明之一實施態樣中,第二步驟係重複執行第二程序,並藉由逐一原子層地去除膜進而等向性地蝕刻膜,該第二程序包含下述步驟:第三步驟,在收納有被處理體之電漿處理裝置的處理容器內產生第一氣體的電漿,並於孔洞內面的原子層,等向性地形成含有「被包含於第一氣體之電漿之離子」的混合層;第四步驟,在第三步驟執行後,吹淨處理容器內的空間;第五步驟,在第四步驟執行後,在處理容器內產生第二氣體的電漿,並藉由被第二氣體的電漿所包含之自由基,將混合層去除;第六步驟,在第五步驟執行後,吹淨處理容器內的空間;其中,第一氣體係含有氮,第二氣體係含有氟,在第五步驟中所產生的第二氣體的電漿,係含有將包含矽之氮化物之混合層予以去除的自由基。如此,由於藉由與ALE(原子層蝕刻:Atomic Layer Etching)法相同的方法,即等向性地改質藉由第一步驟所形成之膜的表面,而於膜的表面等向性地形成混合層後,再將該混合層全部去除,故可藉由在第二步驟中所執行之蝕刻,等向性且均勻地去除在第一步驟中所形成的膜。
在本發明之一實施態樣中,第二氣體可為:包含NF 3氣體及O 2氣體的混合氣體、包含NF 3氣體、O 2氣體、H 2氣體及Ar氣體的混合氣體、及包含CH 3F氣體、O 2氣體及Ar氣體的混合氣體。如此,可實現含有氟的第二氣體。
在本發明之一實施態樣中,膜包含第一膜及第二膜,第一步驟包含:第七步驟,對孔洞之內面形成第一膜、及第八步驟,在第一膜上形成第二膜;對於在第二步驟中所執行之蝕刻的蝕刻耐受性,第一膜係低於第二膜。 即使在孔洞寬度較窄並且以第一步驟形成膜厚較薄的膜之孔洞(稱為第一孔洞)中,以第二步驟去除第二膜,但此時,在孔洞寬度較寬並且以第一步驟形成膜厚較厚的膜之孔洞(稱為第二孔洞)中,仍殘留有第二膜的一部分。由於從此狀態,進一步繼續進行第二步驟中之蝕刻的情況下,第一膜的蝕刻耐受性會低於第二膜的蝕刻耐受性,故第一孔洞的蝕刻會進行得比第二孔洞更快。因此,可藉由使用蝕刻耐受性較低的第一膜與蝕刻耐受性較高的第二膜,進一步有效地降低第一孔洞與第二孔洞間之孔洞寬度的變動。
在本發明之一實施態樣中,第七步驟係藉由重複執行第三程序而形成第一膜,第八步驟,係使用電漿CVD法而形成第二膜,該第三程序係包含下述步驟:第九步驟,將第三氣體供給至收納有被處理體之電漿處理裝置的處理容器內;第十步驟,在第九步驟執行後,吹淨處理容器內的空間;第十一步驟,在第十步驟執行後,在處理容器內產生第四氣體的電漿;第十二步驟,在十一步驟執行後,吹淨處理容器內的空間;其中,第三氣體,係含有胺基矽烷系氣體,第四氣體,是含有包含氧原子的氣體,第九步驟,不會產生第三氣體的電漿。如此,由於藉由與ALD(原子層沉積:Atomic Layer Deposition)法相同的方法而形成第一膜,故可在第七步驟中保形地形成膜厚較薄的第一膜。因此,即使第二膜係藉由電漿CVD法而形成,亦可有效地控制具備第一膜及第二膜之膜的整體膜厚。
在本發明之一實施態樣中,第三氣體係包含單胺基矽烷。如此,可使用包含單胺基矽烷的第三氣體進行矽之反應前驅物的形成。
在本發明之一實施態樣中,第三氣體之胺基矽烷系氣體,可包含具有1~3個矽原子的胺基矽烷。第三氣體之胺基矽烷系氣體,可包含具有1~3個胺基的胺基矽烷。如此,可在第三氣體之胺基矽烷系氣體中,使用包含1~3個矽原子的胺基矽烷。又,可在第三氣體之胺基矽烷系氣體中,使用包含1~3個胺基的胺基矽烷。 ﹝對照先前技術之功效﹞
如以上之說明,本發明係提供一種方法,在被處理體上之圖案形成中,以高精度抑制臨界尺寸的變動。
以下,參照圖式詳細說明各種實施態樣。又,針對各圖式中相同或是相當的部分賦與相同的符號。圖1係顯示依本發明之一實施態樣之方法(以下,稱為方法MT)之一部分的流程圖。圖1所示之方法MT,係用來處理被處理體(以下,稱為晶圓W)之方法的一實施態樣。圖2係將圖1所示之方法MT之應用對象亦即晶圓W加以例示的剖面圖。
圖2所示之晶圓W可包含:被處理層EL、設於被處理層EL上(被處理層EL之表面EL1)的遮罩MK、設於遮罩MK的孔洞(所謂的孔洞(hole),係例如孔洞HL1,孔洞HL2等,在本實施態樣中,可包含孔、洞、槽及凹部等其他類似形狀。以下相同。)。於晶圓W的表面設有複數孔洞。在本實施態樣中,雖將孔洞設於遮罩MK,但並不限定於將孔洞設於遮罩MK之構成。
被處理層EL,係例如Si抗反射膜,亦即SiARC(抗反射塗膜:Anti Reflection Coating)膜。遮罩MK的材料,在本發明之一實施態樣中係包含光阻劑。在遮罩MK係藉由光微影,而形成提供開口之圖案的孔洞(與設於晶圓W之表面的孔洞相同涵意)。遮罩MK的孔洞,係大致遍佈晶圓W的整體表面而形成。圖2所示之晶圓W的孔洞HL1、孔洞HL2,其孔洞寬度相互不同。孔洞HL1具有孔洞寬度WW1a,而孔洞HL2具有孔洞寬度WW1b。在圖2所示之孔洞HL1、孔洞HL2中,孔洞寬度WW1a的值小於孔洞寬度WW1b的值。
方法MT(被處理體之處理方法),係藉由電漿處理裝置10所執行。圖3係顯示可用於執行圖1所示之方法之電漿處理裝置之一例的圖式。在圖3係概略的顯示可使用在處理晶圓W之方法MT的各種實施態樣的電漿處理裝置10之剖面構造。圖3所示之電漿處理裝置10,包含ICP(感應藕合式電漿:Inductively Coupled Plasma)型的電漿源。電漿處理裝置10,具備金屬製(在本發明之一實施態樣中,例如為鋁製)而形成為筒狀(在本發明之一實施態樣中,例如為圓筒狀)的處理容器192。處理容器192,區劃出進行電漿處理的處理空間Sp。處理容器192的形狀並不限定於圓筒狀,在本發明之一實施態樣中,亦可例如為箱狀等方筒狀。電漿處理裝置10的電漿源,並不限定於ICP型,亦可為例如ECR(電子迴旋加速器共振:Electron Cyclotron Resonance)型、CCP(電容耦合電漿:Capacitively Coupled Plasma)型或利用微波等者。
在處理容器192的底部,設有用於載置晶圓W的載置台PD。載置台PD包含靜電吸盤ESC及底部電極LE。底部電極LE包含第一板片18a及第二板片18b。
支撐部14係在處理容器192的內側中,設於處理容器192之底部上。支撐部14在本發明之一實施態樣中,例如為具有略圓筒狀的形狀。支撐部14在本發明之一實施態樣中,例如係由絕緣材料所構成。構成支撐部14的絕緣材料可含有氧,如石英。支撐部14係在處理容器192內,從處理容器192的底部往垂直方向(從載置於靜電吸盤ESC上之晶圓W表面朝向處理容器192之頂棚側(具體而言例如板狀介電體194側)的方向)延伸。
載置台PD係設於處理容器192內。載置台PD係藉由支撐部14所支撐。載置台PD係在載置台PD之頂面固持晶圓W。晶圓W、即被處理體。載置台PD包含底部電極LE及靜電吸盤ESC。
底部電極LE包含第一板片18a及第二板片18b。第一板片18a及第二板片18b,在本發明之一實施態樣中,例如由鋁等金屬所構成。第一板片18a及第二板片18b,在本發明之一實施態樣中,例如為具有略圓盤狀的形狀。第二板片18b係設於第一板片18a上。第二板片18b係與第一板片18a電性連接。
靜電吸盤ESC係設於第二板片18b上。靜電吸盤ESC係包含下述構造:在一對絕緣層之間,或是一對絕緣片之間,配置有導電膜之電極。直流電源22係透過開關23與靜電吸盤ESC的電極電性連接。靜電吸盤ESC,係藉由來自直流電源22的直流電壓所產生的靜電力,以吸附晶圓W。藉此,靜電吸盤ESC可固持晶圓W。
對焦環FR,係以包圍晶圓W之邊緣及靜電吸盤ESC的方式,配置於第2板片18b的周緣部上。對焦環FR係為了使蝕刻的均勻性提高而設置。對焦環FR係根據蝕刻對象之膜的材料而選自適當的材料構成,在本發明之一實施態樣中例如,可由石英構成。
冷媒通道24係設於第二板片18b的內部。冷媒通道24係構成調溫機構。從設於處理容器192外部的急冷器單元透過配管26a,將冷媒供給至冷媒通道24。供給至冷媒通道24的冷媒,係透過配管26b回到急冷器單元。如此,在冷媒通道24係以循環的方式供給冷媒。藉由控制此冷媒的溫度,而控制藉由靜電吸盤ESC所支撐之晶圓W的溫度。氣體供給管線28係將來自傳熱氣體供給機構的傳熱氣體,在本發明之一實施態樣中,例如He氣,供給至靜電吸盤ESC的頂面與晶圓W的背面之間。
在電漿處理裝置10中,係設有調節晶圓W溫度的溫度調節部HT。溫度調節部HT係內建於靜電吸盤ESC。溫度調節部HT係與加熱器電源HP連接。藉由從加熱器電源HP將電力供給至溫度調節部HT,以調節靜電吸盤ESC的溫度,進而調節載置於靜電吸盤ESC上之晶圓W的溫度。又,溫度調節部HT係埋設於第二板片18b內。
溫度調節部HT包含發熱的複數加熱元件及複數溫度感測器,其分別偵測該複數加熱元件之每一個的周圍溫度。
板狀介電體194係在載置台PD的上方,與載置台PD相向配置。底部電極LE與板狀介電體194,係設置成大致上互相平行。在板狀介電體194與底部電極LE之間提供處理空間Sp。處理空間Sp係用於對晶圓W進行電漿處理的空間區域。
在電漿處理裝置10中,沿著處理容器192的內壁設有自由裝卸的防沉積板46。防沉積板46亦設於支撐部14的外圍。防沉積板46,係防止蝕刻副產物(沉積物)附著於處理容器192之部件,其可藉由將Y 2O 3等陶瓷被覆於鋁材而構成。防沉積板除了Y 2O 3之外,在本發明之一實施態樣中,例如亦可由含氧之材料所構成,如石英。
排氣板48係設於處理容器192的底部側,並且設於支撐部14與處理容器192的側壁之間。排氣板48,例如可藉由將Y 2O 3等陶瓷被覆於鋁材而構成。排氣口12e,係設於處理容器192,且設於排氣板48的下方。排氣裝置50係透過排氣管52與排氣口12e連接。排氣裝置50具備渦輪分子泵等真空泵,可將處理容器192內之空間,減壓至所期望的真空度。高頻電源64係用於將離子引入至晶圓W的高頻電力,亦即產生高頻偏壓電力的電源,其產生頻率在400[kHz]~40.68[MHz]範圍內,在本發明之一例中為13[MHz]的高頻偏壓電力。高頻電源64係透過匹配器68與底部電極LE連接。匹配器68,係用於使「高頻電源64的輸出阻抗」與「負載側(底部電極LE側)的輸入阻抗」匹配的電路。
在處理容器192的頂棚部以與載置台PD相向的方式設有板狀介電體194,其在本發明之一實施態樣中,例如以石英玻璃或陶瓷等所構成。具體而言,板狀介電體194,係在本發明之一實施態樣中,例如形成為圓板狀,並以氣密性地安裝方式使形成於處理容器192之頂棚部的開口閉塞。處理空間Sp係藉由電漿源而產生電漿的空間。處理空間Sp係載置晶圓W的空間。
在處理容器192設有氣體供給部120,其供給複數氣體種類的處理氣體(在本發明之一實施態樣中,例如後述之處理氣體G1~處理氣體G8)。氣體供給部120係將各種處理氣體供給至上述處理空間Sp。在處理容器192的側壁部形成有氣體導入口121,並且氣體導入口121係透過氣體供給配管123與氣體供給源122連接。在氣體供給配管123的中段,夾設有控制各種處理氣體之流量的流量控制器(例如,質量流量控制器124及開閉閥126)。依此氣體供給部120,從氣體供給源122輸出的各種處理氣體,係藉由質量流量控制器124控制為預設的流量,再從氣體導入口121供給至處理容器192的處理空間Sp。
又,雖然在圖3中為了簡化說明,呈現的氣體供給部120僅使用一系統的氣體管線,但氣體供給部120係包含供給複數氣體種類之構成。雖然圖3所示之氣體供給部120,就一例而言,係具備從處理容器192的側壁部供給氣體之構成,但氣體供給部120並不限定於圖3所示之構成。例如,氣體供給部120亦可具備從處理容器192的頂棚部供給氣體之構成。在氣體供給部120為具備此構成的情況下,例如,可在板狀介電體194的例如中央部,形成氣體導入口,並從此氣體導入口供給氣體。
在處理容器192的底部係透過排氣管52與「將處理容器192內之環境氣體排出的排氣裝置50」連接。排氣裝置50係藉由例如真空泵所構成,其可使處理容器192內的壓力設為預設壓力。
在處理容器192的側壁部設有晶圓搬出搬入口134,而在晶圓搬出搬入口134設有閘門閥136。例如在將晶圓W搬入時,打開閘門閥136,並藉由未圖示之搬運臂等搬運機構,將晶圓W載置於處理容器192內之載置台PD上後,關閉閘門閥136,才開始進行晶圓W的處理。
在處理容器192的頂棚部,係在板狀介電體194的上側面(外側面),設有平面狀的高頻天線140及罩住高頻天線140的屏蔽構件160。在本發明之一實施態樣中之高頻天線140包含:內側天線元件142A,其配置於板狀介電體194的中央部;及外側天線元件142B,其以包圍內側天線元件142A之外圍的方式配置。在本發明之一實施態樣中,內側天線元件142A及外側天線元件142B之每一個,例如為銅、鋁及不鏽鋼等導體,並具有螺旋線圈狀的形狀。
內側天線元件142A及外側天線元件142B,係一起被複數夾持體144所夾持而成為一體。夾持體144在本發明之一實施態樣中,例如為具有棒狀的形狀。夾持體144,係以從內側天線元件142A的中央附近往外側天線元件142B的外側突出的方式放射狀地配置。
屏蔽構件160包含內側屏蔽壁162A及外側屏蔽壁162B。內側屏蔽壁162A,係以包圍內側天線元件142A的方式,設於內側天線元件142A與外側天線元件142B之間。外側屏蔽壁162B,係以包圍外側天線元件142B的方式設置,並具有筒狀的形狀。因此,板狀介電體194的上側面係被區分為,在內側屏蔽壁162A之內側的中央部(中央區),及在內側屏蔽壁162A與外側屏蔽壁162B之間的周緣部(周緣區)。
在內側天線元件142A上設有圓板狀的內側屏蔽板164A,其使內側屏蔽壁162A的開口閉塞。在外側天線元件142B上設有甜甜圈板狀的外側屏蔽板164B,其使內側屏蔽壁162A與外側屏蔽壁162B之間的開口閉塞。
屏蔽構件160形狀並不限定於圓筒狀。屏蔽構件160的形狀,在本發明之一實施態樣中,例如可為方筒狀等其他形狀,或是能夠與處理容器192的形狀配合的形狀。此處,由於處理容器192在本發明之一實施態樣中,例如為具有略圓筒狀的形狀,因此配合該圓筒形狀,屏蔽構件160亦具有略圓筒狀的形狀。在處理容器192具有略方筒狀之形狀的情況下,屏蔽構件160亦具有略方筒狀的形狀。
內側天線元件142A及外側天線元件142B的每一個,係分別與各別之高頻電源150A及高頻電源150B連接。藉此,可對內側天線元件142A及外側天線元件142B的每一個施加相同頻率或是不同頻率的高頻波。例如,在本發明之一實施態樣中,若從高頻電源150A,將例如27[MHz]等頻率的高頻波,以預設之功率[W]供給至內側天線元件142A,則可藉由在處理容器192內所形成之感應磁場,激發導入至處理容器192內的氣體,進而在晶圓W上的中央部產生甜甜圈型的電漿。又,在本發明之一實施態樣中,若從高頻電源150B,將例如27[MHz]等頻率的高頻波,以預設之功率[W]供給至外側天線元件142B,則可藉由在處理容器192內所形成之感應磁場,激發導入處理容器192內的氣體,進而在晶圓W上的周緣部產生另一個甜甜圈型的電漿。從各別之高頻電源150A及高頻電源150B所輸出的高頻波,並不限定於上述頻率,而是可從各別之高頻電源150A及高頻電源150B供給各式各樣頻率的高頻波。又,依照從各別之高頻電源150A及高頻電源150B所輸出之高頻波,而需要調節內側天線元件142A及外側天線元件142B的電長度。內側屏蔽板164A及外側屏蔽板164B之每一個,係可分別藉由致動器168A及致動器168B調節高度。
控制部Cnt係包含處理器、儲存部、輸入裝置及顯示裝置等的電腦,其控制電漿處理裝置10之後述各部。控制部Cnt係與質量流量控制器124、開閉閥126、高頻電源150A、高頻電源150B、直流電源22、開關23、排氣裝置50、高頻電源64、匹配器68、靜電吸盤ESC、加熱器電源HP及急冷器單元等連接。控制部Cnt,係依照「用於在方法MT之各步驟中,控制電漿處理裝置10之各部分的電腦程式」(基於輸入之處理配方的程式)進行運作,並送出控制訊號。藉由來自控制部Cnt的控制訊號,以控制電漿處理裝置10之各部。控制部Cnt,例如可藉由來自控制部Cnt的控制訊號,控制從氣體供給源122所供給之氣體的選擇及流量、排氣裝置50的排氣、來自高頻電源150A及高頻電源150B的電力供給、來自高頻電源64的電力供給、來自加熱器電源HP的電力供給、及來自急冷器單元的冷媒流量及冷媒溫度等。又,在本說明書中所揭露之方法MT的各步驟,係可藉由控制部Cnt的控制而使電漿處理裝置10之各部運作進而執行。在控制部Cnt的儲存部,儲存有用於執行方法MT的電腦程式及用於執行方法MT的各種資料,並可自由讀出。
回到圖1,以具備電漿處理裝置10之處理系統1中所實施之形態作為例子,詳細說明方法MT。方法MT係調節孔洞寬度之變動的處理方法(被處理體之處理方法)。又,方法MT亦可在與電漿處理裝置10不同之其他電漿處理裝置中執行。方法MT如圖1所示,包含程序SQ1及步驟ST3。程序SQ1包含步驟ST1(第一步驟)及步驟ST2(第二步驟)。首先,在執行步驟ST1前,將晶圓W搬入至電漿處理裝置10的處理容器192內,進而,搬入至電漿處理裝置10之處理容器192內的晶圓W,係進行定位而載置於靜電吸盤ESC上。
步驟ST1係對晶圓W表面之孔洞的內面形成膜。步驟ST1,係使用包含電漿CVD(plasma-enhanced Chemical Vapor Deposition,電漿輔助化學氣相沉積)法的成膜處理。在本發明之一實施態樣中,例如在步驟ST1中係包含,將晶圓W載置於靜電吸盤ESC上後,在收納有晶圓W之電漿處理裝置10的處理容器192內產生處理氣體G1的電漿,並藉由電漿CVD法對晶圓W之表面(遮罩MK之表面MK1及孔洞(包含孔洞HL1、孔洞HL2。以下相同。)的內面(側面及底面))形成膜LA的成膜處理。藉由步驟ST1所形成的膜LA,可含有矽氧化物,在本發明之一實施態樣中,例如含有SiO 2
在步驟ST1中,係在晶圓W載置於靜電吸盤ESC上的狀態下,將處理氣體G1供給至處理容器192內,並產生處理氣體G1的電漿。處理氣體G1含有沉積性優異的氣體種類,在本發明之一實施態樣中,例如含有矽。處理氣體G1在本發明之一實施態樣中,例如可為:SiCl 4及He的混合氣體(本發明之一實施態樣中,氣體流量例如為25[sccm](SiCl 4)、100[sccm](He));及SiCl 4、CH 4、H 2及Ar的混合氣體(在本發明之一實施態樣中,氣體流量例如為20[sccm](SiCl 4)、100[sccm](CH 4)、100[sccm](H 2)、800[sccm](Ar))等。從氣體供給源122之複數氣體源中選擇的氣體源,將處理氣體G1供給至處理容器192內。從高頻電源150A及高頻電源150B供給高頻電力(在本發明之一實施態樣中,例如為60[MHz],300~1000[W]),並藉由使排氣裝置50動作而將處理容器192內之處理空間Sp的壓力設定於預設值(在本發明之一實施態樣中,例如為50[mTorr])。在本發明之一實施態樣中,步驟ST1的執行時間例如為60[s]。由於處理氣體G1含有沉積性優異的氣體種類,故如圖4所示,藉由步驟ST1所形成之膜LA的膜厚,係在孔洞寬度較窄之孔洞HL1的內面中較薄,而在孔洞寬度較寬之孔洞HL2的內面中較厚。圖4係顯示在圖1所示之步驟中,膜形成後之晶圓W之狀態的剖面圖。孔洞HL1的內面所形成之膜LA的膜厚WF1a的值,係小於孔洞HL2的內面所形成之膜LA的膜厚WF1b的值。
在步驟ST1之後的步驟ST2中,係調節膜LA的膜厚。更具體而言,在步驟ST2中,係等向性地蝕刻膜LA。在步驟ST2中,係藉由將膜LA等向性地蝕刻,而調節膜LA的膜厚。在步驟ST2中,係在將晶圓W載置於靜電吸盤ESC上的狀態下,將處理氣體G2供給至處理容器192內,並產生處理氣體G2的電漿。處理氣體G2可包含氟,在本發明之一實施態樣中,例如Cl 2氣體(在本發明之一實施態樣中,氣體流量例如為200[sccm]);及C 4F 8及Ar的混合氣體(在本發明之一實施態樣中,氣體流量例如為40[sccm](C 4F 8)、200[sccm](Ar))等。從氣體供給源122之複數氣體源中選擇的氣體源,將即處理氣體G2供給至處理容器192內。從高頻電源150A及高頻電源150B供給高頻電力(在本發明之一實施態樣中,例如為60[MHz],500[W]),並藉由使排氣裝置50動作而將處理容器192內之處理空間Sp的壓力設定於預設值(在本發明之一實施態樣中,例如為400[mTorr])。在本發明之一實施態樣中,步驟ST2的執行時間例如為30[s]。
對於孔洞寬度較窄的孔洞HL1,在步驟ST1中形成之膜LA的膜厚為較厚的情況下,可能發生孔洞HL1的開口被膜LA所堵塞的情況。為了避免此情況,係使在步驟ST1中形成之膜LA的膜厚形成得夠薄,即不會堵塞孔洞HL1開口之程度,並重複進行步驟ST1及步驟ST2的程序SQ1(第一程序),直到膜LA的膜厚達到所期望的值為止。如此,藉由一方面使在步驟ST1中形成之膜LA的膜厚形成得夠薄,一方面重複進行程序SQ1,可在不使孔洞開口堵塞的情況下,在孔洞的內面形成所期望之膜厚的膜LA。
參照圖5說明在程序SQ1中之孔洞寬度的變化。圖5係示意地顯示在重複執行圖1所示之程序之情況下,所產生之孔洞寬度之變化態樣的圖式。線G1a係表示孔洞HL1之孔洞寬度的變化,線G2a係表示孔洞HL2之孔洞寬度的變化。由於在步驟ST1中膜LA形成的情況,係在孔洞寬度較窄的孔洞HL1中膜LA的膜厚較薄,而在孔洞寬度較寬的孔洞HL2中膜LA的膜厚較厚,故在步驟ST1結束時,孔洞HL2中之孔洞寬度與孔洞HL1中之孔洞寬度的差(差H2a),係小於步驟ST1開始時的該差(差H1a)。由於在步驟ST1之後的步驟ST2中進行等向性的蝕刻,故可使孔洞HL2之孔洞寬度與孔洞HL1之孔洞寬度的差(差H2a)保持不變,並同時蝕刻膜LA。因此,在步驟ST2結束時,孔洞HL2之孔洞寬度與孔洞HL1之孔洞寬度的差,會維持與步驟ST2開始時相同的差H2a。如此,每執行一次程序SQ1,孔洞HL2之孔洞寬度與孔洞HL1之孔洞寬度的差便會逐漸縮小,可藉由複數次執行程序SQ1,而使該差收斂至所期望之範圍內,充分降低晶圓W之孔洞的變動。
接著,說明為了使步驟ST2之蝕刻具有等向性的條件。圖6係顯示在圖1所示之步驟ST2中之蝕刻的等向性與壓力的關係圖。圖6之縱軸係表示蝕刻量[nm],圖6之橫軸係表示處理空間Sp的壓力[mTorr]。圖6之線GRa係表示孔洞底面側(縱向)之蝕刻量的變化,圖6之線GRb係表示孔洞側面側(橫向)之蝕刻量的變化,圖6之線GRc係表示孔洞底面側(縱向)之蝕刻量除以孔洞側面側(橫向)之蝕刻量而得到的值(縱橫比)的變化。如圖6所示,可在處理空間Sp的壓力為200[mTorr]以上之較高的壓力(在本發明之一實施態樣中,例如為400[mTorr]左右)的情況下,在步驟ST2中,充分地實現等向性的蝕刻。
<步驟ST2之變形例>步驟ST2之等向性的蝕刻,係可藉由在本發明之一實施態樣中,例如圖7所示之方法而實現。圖7所示之方法,係藉由與ALE(Atomic Layer Etching,原子層蝕刻)法相同的方法,即在不受孔洞寬度之大小及孔洞之疏密影響的情況下,等向性且均勻地蝕刻膜LA的方法。又,步驟ST2之等向性的蝕刻,並不限定於圖7所示之方法。圖7係顯示包含於圖1所示之方法之步驟ST2之另外一例的流程圖。圖7所示之步驟ST2包含程序SQ2(第二程序)及步驟ST2e。程序SQ2包含:步驟ST2a(第三步驟)、步驟ST2b(第四步驟)、步驟ST2c(第五步驟)及步驟ST2d(第六步驟)。
步驟ST2a,係在收納有晶圓W之電漿處理裝置10的處理容器192內,產生處理氣體G3(第一氣體)的電漿,並對孔洞內面的原子層等向性且均勻地形成含有被處理氣體G3之電漿所包含之離子的混合層MX。在步驟ST2a中,可對膜LA表面的原子層,等向性且均勻地形成含有被處理氣體G3之電漿所包含之離子的混合層MX。在步驟ST2a中,係在將晶圓W載置於靜電吸盤ESC上的狀態下,將處理氣體G3供給至處理容器192內,並產生處理氣體G3之電漿。處理氣體G3係含有氮,在本發明之一實施態樣中,例如可包含N 2氣體(在本發明之一實施態樣中,氣體流量例如為100[sccm])。具體而言,從氣體供給源122之複數氣體源中選擇之氣體源,將處理氣體G3供給至處理容器192內。接著,從高頻電源150A及高頻電源150B供給高頻電力(在本發明之一實施態樣中,例如為60[MHz],600[W]),並藉由使排氣裝置50動作,而將處理容器192內之處理空間Sp的壓力設定於預設值(在本發明之一實施態樣中,例如為400[mTorr])。如此一來,處理氣體G3之電漿便會產生於處理容器192內。在本發明之一實施態樣中,步驟ST2a的執行時間例如為400~600[s]。
如圖6所示,在步驟ST2(特別是步驟ST2a)中之處理空間Sp的壓力設定值,係相對較高的,即在200[mTorr]以上,在本發明之一實施態樣中,可例如為400[mTorr]。處理空間Sp之壓力,在這般較高的情況下,被處理氣體G3之電漿所包含之氮原子的離子(以下,稱為氮離子)係等向性地與膜LA的表面接觸,並且膜LA的表面會藉由氮離子而等向性且均勻地改質,藉此,如圖8所示,厚度均勻(大致相同的)的混合層MX會均勻地形成於膜LA的表面。圖8係顯示藉由圖7所示之方法而表面改質後之晶圓W之狀態的剖面圖。
在步驟ST2a中,係如以上所述,在處理容器192內產生處理氣體G3之電漿,而被處理氣體G3之電漿所包含之氮離子,會藉由高頻偏壓電力之朝垂直方向(從處理容器192之頂棚側(具體而言,例如板狀介電體194側)朝向載置於靜電吸盤ESC上之晶圓W表面的方向)的引入,而與膜LA的表面接觸,進而等向性且均勻地將膜LA的表面改質。如此,在步驟ST2a中,膜LA的表面,係成為涵蓋晶圓W之表面且厚度均勻的(大致相同厚度的)混合層MX。由於處理氣體G3包含氮而膜LA包含矽之氧化物(在本發明之一實施態樣中,例如為SiO 2),故混合層MX的組成,在本發明之一實施態樣中,可例如為SiN/SiO 2(SiON)。
在步驟ST2a中的處理時間,係達到ALE法之自我限制區域之時間以上的時間。圖9係顯示在圖7所示之程序SQ2(特別是步驟ST2a)中之表面改質之自我限制性的圖式。圖9之橫軸係表示表面改質(更具體而言為在步驟ST2a所進行之處理)的處理時間[s],圖9之縱軸係表示蝕刻量[nm](藉由步驟ST2a而表面改質之位置的厚度)。圖9所示之結果,係藉由以下述條件執行步驟ST2a所得到的結果,該條件為:處理空間Sp之壓力為400[mTorr]、高頻電力的值為600[W]、及高頻偏壓電力的值為50[W]。如圖9所示,藉由步驟ST2a而進行之表面改質係伴隨自我限制性。亦即,若花費達到ALE法之自我限制區域之時間以上的時間進行表面改質,則可在不受孔洞寬度之大小及孔洞之疏密影響的情況下,等向性且均勻地進行表面改質,而在晶圓W的表面(遮罩MK的表面MK1及晶圓W的渠溝(包含孔洞HL1及孔洞HL2)的內面)上,均勻地形成等向性且均勻的混合層MX。
圖10包含(a)部、(b)部及(c)部,並且係顯示圖8所示之步驟中之蝕刻原理的圖式。在圖10中,反白的圓(白色圓圈)係表示構成膜LA的原子(在本發明之一實施態樣中,例如為構成SiO 2的原子),塗黑的圓(黑色圓圈)係表示被處理氣體G3之電漿所包含之氮離子,被圓所包圍的「×」係表示被後述處理氣體G4之電漿所包含之自由基。如圖10之(a)部所示,藉由步驟ST2a,將被處理氣體G3之電漿所包含之氮離子(塗黑的圓(黑色圓圈)),等向性地供給至膜LA表面的原子層。如此,藉由步驟ST2a,包含構成膜LA的原子與處理氣體G3的氮原子的混合層MX,會形成於膜LA表面的原子層。
如以上所述,由於處理氣體G3包含氮,故可在步驟ST2a中,將氮原子供給至膜LA表面的原子層(矽之氧化物的原子層),而在膜LA表面的原子層形成含有矽之氮化物的混合層MX(在本發明之一實施態樣中,例如為SiN/SiO 2)。
在步驟ST2a之後的步驟ST2b中,係吹淨處理容器192內的處理空間Sp。具體而言,係將在步驟ST2a中供給的處理氣體G3排出。在步驟ST2a中,作為吹淨氣體,亦可將稀有氣體(在本發明之一實施態樣中,例如Ar氣體等)等鈍性氣體供給至處理容器192。亦即,步驟ST2a的吹淨,亦可係使鈍性氣體於處理容器192內流動的氣體吹淨,或是藉由抽真空而造成的吹淨之任一種。
在步驟ST2b之後的步驟ST2c中,係在處理容器192內產生處理氣體G4(第二氣體)的電漿,並藉由使用被該電漿所包含之自由基的化學蝕刻,而將整個混合層MX去除。藉此,可使膜LA在晶圓W之整體表面上被等向性且均勻地蝕刻(特別是設於所有孔洞之內面的膜LA)。在步驟ST2c中,係將在步驟ST2a中之混合層MX形成後之晶圓W,載置於靜電吸盤ESC上的狀態下,將處理氣體G4供給至處理容器192內,並產生處理氣體G4的電漿。步驟ST2c中所產生之處理氣體G4的電漿,係包含將含有矽之氮化物之混合層MX予以去除的自由基。處理氣體G4含有氟,在本發明之一實施態樣中,可例如為包含NF 3氣體及O 2氣體的混合氣體。又,處理氣體G4亦可為包含NF 3氣體、O 2氣體、H 2氣體及Ar氣體的混合氣體;及包含CH 3F氣體、O 2氣體及Ar氣體的混合氣體等。具體而言,係從氣體供給源122之複數氣體源中選擇之氣體源,將上述處理氣體G4供給至處理容器192內,並從高頻電源150A及高頻電源150B供給高頻電力(在本發明之一實施態樣中,例如為60[MHz],600[W]),且藉由使排氣裝置50動作而將處理容器192內之處理空間Sp的壓力設定於預設值(在本發明之一實施態樣中,例如為400[mTorr])。如此一來,處理氣體G4之電漿便會產生於處理容器192內。在本發明之一實施態樣中,步驟ST2c的執行時間,例如為400~600[s]。
如圖10之(b)部所示,在步驟ST2c中所產生之處理氣體G4之電漿中的自由基(在圖10之(b)部中,被圓所包圍的「×」),可藉由與膜LA表面的混合層MX接觸,並將處理氣體G4之原子的自由基供給至膜LA表面所形成之混合層MX進而對混合層MX進行化學蝕刻,而將其從膜LA去除。如圖10之(c)部所示,在步驟ST2c中,在膜LA表面所形成之整體混合層MX,可藉由被處理氣體G4之電漿所包含之自由基,而從膜LA的表面去除。可在不受孔洞寬度之大小及孔洞之疏密影向的情況下,藉由混合層MX的去除,而使孔洞寬度在晶圓W的整體表面上等向性且均勻地增大。
在步驟ST2c之後的步驟ST2d中,係吹淨處理容器192內的處理空間Sp。具體而言,係將在步驟ST2c中所供給的處理氣體G4排出。在步驟ST2c中,作為吹淨氣體,亦可將稀有氣體(在本發明之一實施態樣中,例如Ar氣體等)等鈍性氣體供給至處理容器192。亦即,步驟ST2a的吹淨,亦可係使鈍性氣體在處理容器192內流動的氣體吹淨,或是藉由抽真空而造成的吹淨之任一種。
在程序SQ2之後的步驟ST2e中,係判斷程序SQ2的執行是否結束。具體而言,係在步驟ST2e中,判斷程序SQ2的執行次數是否達到預設的次數。決定程序SQ2之執行次數,即決定對膜LA之蝕刻量。程序SQ2可重複執行膜LA的蝕刻,直到對膜LA之蝕刻量達到預設值為止。隨著程序SQ2之執行次數的增加,對膜LA之蝕刻量亦會增加(幾乎呈線性增加)。因此,能以「藉由執行1次(單位周期)程序SQ2所蝕刻之膜LA的厚度」(在1次步驟ST2e中所形成之混合層MX的厚度)與「程序SQ2之執行次數」的乘積成為預設值的方式,決定程序SQ2之執行次數。
參照圖11,說明在程序SQ2執行中所產生的「對膜LA之蝕刻量的變化」與「形成於膜LA之混合層MX之厚度的變化」。圖11之線GL1,係表示在程序SQ2執行中所產生的對膜LA之蝕刻量(任意單位)的變化,圖11之線GL2,係表示在程序SQ2執行中所產生之混合層MX之厚度(任意單位)的變化。雖然圖11之橫軸,係表示程序SQ2執行中的時間,但為了簡化圖示而省略步驟ST2b的執行時間及步驟ST2d的執行時間。如圖11所示,在1次(單位周期)程序SQ2的執行中,如線GL2所示,步驟ST2a的執行,係進行至混合層MX之厚度成為預設值TW為止。在步驟ST2a中所形成之混合層MX之厚度的值TW,係可藉由下列各因素而決定:藉由高頻電源64所施加之偏壓電力的值;被處理氣體G3之電漿所包含之氮離子對膜LA之每單位時間的劑量(dose);及步驟ST5c的執行時間。
如圖11所示,在1次(單位周期)程序SQ2的執行中,如線GL1及線GL2所示,步驟ST2c的執行,係進行至將在步驟ST2a所形成之混合層MX全部去除為止。在步驟ST2c的執行中,在到達時間點TI前,藉由化學蝕刻將混合層MX全部去除。時間點TI,可藉由在步驟ST2c所進行之化學蝕刻的蝕刻速率而進行設定。時間點TI,係在步驟ST2c執行中發生。從時間點TI到步驟ST2c結束之期間,混合層MX去除後之矽之氧化物的膜LA,並不會被處理氣體G4之電漿所蝕刻。亦即,在使用被處理氣體G4之電漿所含之自由基的情況下,對構成膜LA之矽之氧化物(例如SiO 2)蝕刻的蝕刻速率,係極小於對被混合層MX所包含之矽之氮化物(例如SiN)蝕刻的蝕刻速率。
在步驟STe中,程序SQ2的執行次數被判斷為未達到預設次數的情況下(步驟ST2e:否),再度重複執行程序SQ2。另一方面,在步驟ST2e中,程序SQ2的執行次數被判斷為達到預設次數的情況下(步驟ST2e:是),步驟ST2結束,並轉移至圖1所示之步驟ST3。
如以上所述,程序SQ2及步驟ST2e之一連串的等向性蝕刻處理,係可藉由與ALE法相同的方法,以逐一原子層地去除膜LA的表面。因此,程序SQ2及步驟ST2e之一連串的等向性蝕刻處理,係藉由重複執行程序SQ2而以逐一原子層地去除膜LA的表面,在不受孔洞寬度之大小及孔洞之疏密影響的情況下,等向性且精密地蝕刻膜LA。亦即,藉由重複執行預設次數的程序SQ2,在不受孔洞寬度之大小及孔洞之疏密影響的情況下,使膜LA在晶圓W的整體表面為等向性且均勻之厚度(大致相同的厚度)下被等向性且精密地蝕刻。
<步驟ST1的變形例>接著,說明步驟ST1之其他實施例(變形例)。圖4所示之膜LA,雖然為一層,但並不限定於此,亦可為兩層。圖12係顯示在圖1所示之成膜步驟中,兩層膜形成後之晶圓W之狀態的剖面圖。圖12所示之膜LA具備兩層的膜,即具備膜LA1(第一膜)及膜LA2(第二膜)。膜LA1,係設於晶圓W的表面(遮罩MK之表面MK1(包含孔洞的內面)),而膜LA2,係設於膜LA1的表面。在孔洞HL1中之膜LA1係具有膜厚WF2a,而在孔洞HL1中之膜LA2係具有膜厚WF3a。在孔洞HL2中之膜LA1係具有膜厚WF2b,而在孔洞HL2中之膜LA2係具有膜厚WF3b。由於孔洞HL1的孔洞寬度WW1a係窄於孔洞HL2的孔洞寬度WW1b,故膜厚WF2a係薄於膜厚WF2b,而膜厚WF3a係薄於膜厚WF3b。膜LA1與膜LA2,皆含有矽氧化物,在本發明之一實施態樣中,可含有例如SiO 2。膜LA2的含量氧係多於膜LA1的含量氧。對於在步驟ST2中所執行之蝕刻的蝕刻耐受性,膜LA1係低於膜LA2。換言之,對於在步驟ST2中所執行之蝕刻之膜LA1的蝕刻速率的值[nm/min],係大於對於在步驟ST2中所執行之蝕刻之膜LA2的蝕刻速率的值[nm/min]。
參照圖13,說明依本變形例之步驟ST1。圖13所示之步驟ST1係包含:步驟ST1a(第七步驟)及步驟ST1b(第八步驟)。步驟ST1a係在孔洞的內面形成膜LA1。步驟ST1b係在膜LA1上形成膜LA2。在本發明之一實施態樣中,例如在步驟ST1a中,對於在步驟ST2所執行之蝕刻的蝕刻耐受性較低的膜LA1,係藉由電漿CVD法而形成,而在步驟ST1b中,對於在步驟ST2中所執行之蝕刻的蝕刻耐受性較高的膜LA2,係藉由電漿CVD法而形成。亦即,在本發明之一實施態樣中,例如步驟ST1a,係使用電漿CVD法而形成膜LA1,並且步驟ST1b,係使用電漿CVD法而形成膜LA2。
矽氧化物膜的蝕刻耐受性,可藉由在成膜時所添加之O 2氣體的流量而變化。圖14係顯示在成膜時,氧的添加量與膜的蝕刻耐受性的相關圖。圖14所示之橫軸,係表示在膜形成時所添加之O 2氣體的流量[sccs],圖14所示之縱軸,係表示蝕刻速率[nm/min]其表示膜的蝕刻耐受性。圖14所示之線GE1所示之結果係使用下述成膜條件及下述蝕刻條件而得之;該成膜條件為:10[mTorr]的壓力、由高頻電源150A及高頻電源150B所供給之60[MHz],1000[W]的高頻電力、(SiCl 4(25[sccs])、He(100[sccs])及O 2(0~100[sccs])的混合氣體、及60[s]的處理時間;該蝕刻條件為:20[mTorr]的壓力、由高頻電源150A及高頻電源150B所供給之60[MHz],500[W]的高頻電力、由高頻電源64所供給之40[MHz],50[W]的高頻電力、Cl 2氣體(200[sccs])、及60[s]的處理時間。圖14所示之線GE2所示之結果,係使用下述成膜條件及蝕刻條件而得之;該成膜條件,係使用與得到線GE1所示之結果之情況相同的成膜條件成膜條件;該蝕刻條件為:20[mTorr]的壓力、由高頻電源150A及高頻電源150B所供給之60[MHz],500[W]的高頻電力、由高頻電源64所供給之40[MHz],100[W]的高頻電力、C 4F 8(40[sccs])及Ar(200[sccs])的混合氣體、及60[s]的處理時間。如圖14所示,可藉由調節氧的添加量(O 2氣體的流量),改變矽氧化物膜的蝕刻耐受性。氧的添加量越少,則蝕刻速率增加越多。例如,圖14所示之情況,可藉由調節氧的添加量,將蝕刻的選擇比控制在1~17的範圍內。
回到圖13進行說明。在步驟ST1a中,係在將晶圓W載置於靜電吸盤ESC上的狀態下,將處理氣體G5供給至處理容器192內,並產生處理氣體G5的電漿。處理氣體G5,係含有沉積性優異的氣體種類,在本發明之一實施態樣中,例如含有矽。處理氣體G5在本發明之一實施態樣中,可例如為SiCl 4、He及O 2的混合氣體(在本發明之一實施態樣中,氣體流量例如為25[sccm](SiCl 4)、100[sccm](He)、0~5[sccm](O 2))等。處理氣體G5包含的O 2氣體相對較少,為0~數[sccm](在本發明之一實施態樣中,例如為0~5[sccm])左右的程度。從氣體供給源122之複數氣體源選擇之氣體源,將處理氣體G5供給至處理容器192內。從高頻電源150A及高頻電源150B供給高頻電力(在本發明之一實施態樣中,例如60[MHz],1000[W]),並藉由使排氣裝置50動作,而使處理容器192內之處理空間Sp的壓力設定於預設值(在本發明之一實施態樣中,例如為10[mTorr])。在本發明之一實施態樣中,步驟ST1a的執行時間,例如為60[s]。由於處理氣體G5含有沉積性優異的氣體種類,故如圖12所示,藉由步驟ST1a所形成之膜LA1的膜厚,在孔洞寬度較窄之孔洞HL1的內面中較薄,而在孔洞寬度較寬之孔洞HL2的內面中較厚。亦即,在孔洞HL1之內面所形成之膜LA1的膜厚WF2a的值,係小於在孔洞HL2之內面所形成之膜LA1的膜厚WF2b的值。
在步驟ST1a之後的步驟ST1b中,係在將晶圓W載置於靜電吸盤ESC上的狀態下,將處理氣體G6供給至處理容器192內,並產生處理氣體G6的電漿。處理氣體G6含有沉積性優異的氣體種類,在本發明之一實施態樣中,例如含有矽。處理氣體G6在本發明之一實施態樣中,例如,可為SiCl 4、He及O 2的混合氣體(在本發明之一實施態樣中,氣體流量例如為25[sccm](SiCl 4)、100[sccm](He)、100[sccm](O 2))等。處理氣體G6包含的O 2氣體相對較多,在本發明之一實施態樣中,例如為100[sccm]左右的程度。從氣體供給源122之複數氣體源中選擇之氣體源,將處理氣體G6供給至處理容器192內。從高頻電源150A及高頻電源150B供給高頻電力(在本發明之一實施態樣中,例如為60[MHz],1000[W]),再藉由使排氣裝置50動作而將處理容器192內之處理空間Sp的壓力設定於預設值(在本發明之一實施態樣中,例如為10[mTorr])。步驟ST1b的執行時間,在本發明之一實施態樣中,例如為60[s]。由於處理氣體G6含有沉積性優異的氣體種類,故如圖12所示,藉由步驟ST1b所形成之膜LA2的膜厚,在孔洞寬度較窄之孔洞HL1的內面中較薄,而在孔洞寬度較寬之孔洞HL2的內面中較厚。亦即,在孔洞HL1之內面所形成之膜LA2的膜厚WF3a的值,係小於孔洞HL2之內面所形成之膜LA2的膜厚WF3b的值。
參照圖15,說明方法MT在包含圖13所示之步驟ST1(形成兩層膜(膜LA1、膜LA2)的步驟)的情況下可能產生之孔洞寬度的變化。圖15係示意地顯示在圖1所示之成膜步驟形成兩層膜的情況下、即重複執行圖1所示之程序的情況下可能產生之孔洞寬度之變化的態樣圖。線G1b,係表示孔洞HL1之孔洞寬度的變化,線G2b,係表示孔洞HL2之孔洞寬度的變化。
步驟ST1包含由區間V11所表示的步驟、及由區間V12所表示的步驟。區間V11係表示形成膜LA1的步驟ST1a,區間V12係表示形成膜LA2的步驟ST1b。在區間V11中,係形成蝕刻耐受性較低的膜LA1,而在區間V11之後的區間V12中,係形成蝕刻耐受性較高的膜LA2。由於在步驟ST1中膜LA形成的情況為,在孔洞寬度較窄的孔洞HL1中,膜LA的膜厚較薄,而在孔洞寬度較寬的孔洞HL2に中,膜LA的膜厚較厚,故在步驟ST1結束時,在孔洞HL2中之孔洞寬度與在孔洞HL1中之孔洞寬度的差(差H2b),會小於步驟ST1開始時的該差(差H1b)。
在步驟ST1之後的步驟ST2中,係進行等向性的蝕刻。步驟ST2包含:由區間V21所表示之步驟、由區間V22所表示之步驟、及由區間V23所表示之步驟。區間V21,係表示從步驟ST2開始,到在孔洞HL1中之膜LA2被蝕刻全部去除的步驟。在區間V21,在孔洞HL1及孔洞HL2之任一個中,皆係對蝕刻耐受性較高的膜LA2進行蝕刻。由於在孔洞HL1中之膜LA2的膜厚WF3a,係比在孔洞HL2中之膜LA2的膜厚WF3b薄,故在孔洞HL1中之膜LA2會比在孔洞HL2中之膜LA2先被蝕刻去除。在區間V21結束的時間點中,雖然在孔洞HL1中之膜LA2全部被蝕刻去除,但在孔洞HL2中之膜LA2尚殘留有一部分。由於在區間V21,在孔洞HL1及孔洞HL2之任一個中,皆係對膜LA2進行等向性的蝕刻,故可一邊將孔洞HL2之孔洞寬度,與孔洞HL1之孔洞寬度的差(差H2b)保持不變,一邊蝕刻膜LA2。因此,在區間V21結束時的孔洞HL2之孔洞寬度與孔洞HL1之孔洞寬度的差,會維持在與區間V21開始時相同的差H2b。
在區間V21之後的區間V22,係表示從孔洞HL1中之膜LA2全部被蝕刻去除(從區間V21結束的時間點),到孔洞HL2中之膜LA2全部被蝕刻去除為止(從晶圓W表面將膜LA2全部去除為止)的步驟。由於在區間V22中,在孔洞HL2中係繼續進行蝕刻耐受性較高的膜LA2的蝕刻,而在孔洞HL1中則進行蝕刻耐受性較低的膜LA1的蝕刻,故在孔洞HL1中所進行之蝕刻會快於在孔洞HL2中所進行之蝕刻。在區間V22結束的時間點中,孔洞HL2中之膜LA2會全部被蝕刻去除。因此,在區間V22中,蝕刻持續進行的同時,孔洞HL2之孔洞寬度與孔洞HL1之孔洞寬度的差亦會變小,在區間V22結束時的孔洞HL2之孔洞寬度與孔洞HL1之孔洞寬度的差(差H3b),係小於區間V22開始時的孔洞HL2之孔洞寬度與孔洞HL1之孔洞寬度的差(差H2b)。
在區間V22之後的區間V23,係表示在孔洞HL1及孔洞HL2中,膜LA1被蝕刻的步驟。由於在區間V22中,孔洞HL1及孔洞HL2之任一個,皆係等向性地蝕刻膜LA1,故可一邊將孔洞HL2之孔洞寬度與孔洞HL1之孔洞寬度的差(差H3b)保持不變,一邊蝕刻膜LA1。因此,在區間V23結束時的孔洞HL2之孔洞寬度與孔洞HL1之孔洞寬度的差,係維持在與區間V23開始時相同的差H3b。
針對「藉由執行一次使用圖13所示之步驟ST1的程序SQ1而改善孔洞寬度的變動」加以說明。在孔洞HL1中,膜LA1的膜厚WF2a的值為K11,而膜LA2的膜厚WF3a的值為K12。在孔洞HL2中,膜LA1的膜厚WF2b的值為K21,而膜LA2的膜厚WF3b的值為K22。在步驟ST2的蝕刻中,膜LA1的蝕刻速率的值為R1,而膜LA2的蝕刻速率的值為R2。在圖15所示之區間V22結束的時間點(從晶圓W表面將膜LA2全部去除的時間點)中,設於孔洞HL2內面之膜LA2的膜厚的值,與設於孔洞HL1內面之膜LA2的膜厚的值的差為K21-(K11-(R1/R2)×(K22-K12))。因此,LCDU(local CD Uniformity)的改善量為(K21-K11)+(R1/R2)×(K22-K12)。由於R1>R2,故R1/R2>1,因此,該改善量的值,係大於僅將「在孔洞HL2中之膜LA1的膜厚WF2b與在孔洞HL1中之膜LA1的膜厚WF2a的差(K21-K11)」,與「在孔洞HL2中之膜LA2的膜厚WF3b與在孔洞HL1中之膜LA2的膜厚WF3a的差(K22-K12)」相加的值,而可預見對於孔洞寬度變動之降低能有效改善。
又,若將圖15所示之差H1b的值(將膜LA形成前之孔洞HL2之孔洞寬度WW1b的值減去膜LA形成前之孔洞HL1之孔洞寬度WW1a的值而得到的值)設為Δ,則圖15所示之差H3b的值為Δ-2×(K21-K11)-2×(R1/R2)×(K22-K12)。因此,程序SQ1執行後之孔洞HL1與孔洞HL2之間的孔洞寬度的差,係比程序SQ1執行前之孔洞HL1與孔洞HL2之間的孔洞寬度的差(Δ),降低了2×(K21-K11)+2×(R1/R2)×(K22-K12)程度的差(Δ1)。由於Δ1係較R1=R2的情況亦即在膜LA只有一層之情況的值---2×(K21-K11)+2×(K22-K12)更大,故若使用依本變形例(膜LA具有兩層、即膜LA1及膜LA2的情況)之步驟ST1,則可更有效地實現藉由執行程序SQ1而使孔洞寬度的變動降低。
又,作為形成具有兩層膜LA的步驟(步驟ST1的變形例),雖然係以形成如圖12所示之具有「氧的添加量相互不同」之兩層的(膜LA1、膜LA2)膜LA之步驟加以例示,但並不限定於此,例如,亦可將含矽膜、含硼膜、金屬膜及碳膜等中二個以上的膜進行組合,而得到與具有膜LA1及膜LA2之膜LA相同的效果
又,雖然在步驟ST1a中,係將電漿CVD法使用於膜LA1之形成,但並不限定於此,亦可藉由與ALD(Atomic Layer Deposition)法相同的方法而使膜LA1保形地形成於晶圓W的表面(特別是孔洞的內面)。參照圖16及圖17,並說明在步驟ST1a中,藉由與ALD法相同的方法而形成膜LA1的方法。圖16係顯示圖13所示之成膜步驟中,步驟ST1a之另外一例的流程圖。圖17係包含(a)部、(b)部及(c)部,並顯示圖16所示之步驟中之膜LA1之形成原理的圖式。
步驟ST1a包含:程序SQ3(第三程序)及步驟ST1ae。程序SQ3及步驟ST1ae之一連串的步驟,係在搬入至處理容器192內的晶圓W之表面(遮罩MK的表面MK1、及遮罩MK之孔洞的內面)形成膜(膜LA1)。程序SQ3包含:步驟ST1aa(第九步驟)、步驟ST1ab(第十步驟)、步驟ST1ac(第十一步驟)及步驟ST1ad(第十二步驟)。在步驟ST1aa中,係將處理氣體G7(第三氣體)供給至處理容器192內。具體而言,在步驟ST1aa中,係如圖17之(a)部所示,將含有矽的處理氣體G7導入至處理容器192內。
處理氣體G7,係包含有機胺基矽烷系氣體。處理氣體G7,可使用胺基數較少之分子構造者作為胺基矽烷系氣體,例如可使用單胺基矽烷(H 3-Si-R(R係也可經取代之有機胺基))。又,使用上述胺基矽烷系氣體作為處理氣體G7,可包含具有1~3個矽原子的胺基矽烷,或是可包含具有1~3個胺基的胺基矽烷。具有1~3個矽原子的胺基矽烷可為:具有1~3個胺基的單矽烷(單胺基矽烷)、具有1~3個胺基的二矽烷、或是具有1~3個胺基的三矽烷。再者,上述胺基矽烷,可具有能被替換之胺基。再者,上述胺基可被下述任一者替換:甲基、乙基、丙基及丁基。再者,上述甲基、乙基、丙基、或是丁基,可被鹵素替換。從氣體供給源122之複數氣體源中選擇之氣體源,將有機胺基矽烷系氣體之處理氣體G7供給至處理容器192內。在步驟ST1aa中的處理時間,係達到ALD法之自我限制區域之時間以上的時間。
如圖17之(b)部所示,處理氣體G7的分子,係作為反應前驅物(層Ly1)附著於晶圓W之表面(遮罩MK之表面MK1、及遮罩MK之孔洞的內面)。在步驟ST1aa中,不會產生處理氣體G7的電漿。處理氣體G7的分子,係藉由基於化學鍵結的化學吸附而附著於晶圓W之表面,並不使用電漿。又,作為處理氣體G7,可使用能夠藉由化學鍵結而附著於晶圓W之表面,並且含有矽之氣體。
另一方面,例如在選擇單胺基矽烷作為處理氣體G7的情況,選擇單胺基矽烷的理由,係進一步歸因於由於單胺基矽烷具有較高的陰電性且包含具有極性的分子構造,而較容易進行化學吸附。藉由使處理氣體G7的分子附著於晶圓W之表面而形成之反應前驅物的層Ly1,為了該附著、即化學吸附而成為接近單分子層(單層)的狀態。由於單胺基矽烷的胺基(R)越小,吸附於晶圓W表面之分子的分子構造亦越小,故可降低起因於分子大小的立體阻礙,因此,可使處理氣體G7的分子均勻地吸附於晶圓W之表面,而可對晶圓W之表面以均勻的膜厚形成層Ly1。
如以上所述,由於處理氣體G7包含有機胺基矽烷系氣體,故藉由步驟ST1aa,可沿著晶圓W表面的原子層形成矽的反應前驅物(層Ly1)。
在步驟ST1aa之後的步驟ST1ab,係吹淨處理容器192內的處理空間Sp。具體而言,係將步驟ST1aa中所供給之處理氣體G7排出。在步驟ST1ab中,作為吹淨氣體,亦可將氮氣或是稀有氣體(例如Ar等)等鈍性氣體供給至處理容器192內。亦即,步驟ST1ab的吹淨,亦可係使鈍性氣體於處理容器192內流動的氣體吹淨,或是藉由抽真空而造成的吹淨之任一種。在步驟ST1ab中,亦可將過多地附著於晶圓W之表面上的分子去除。藉由上述方式,反應前驅物之層Ly1,成為形成於晶圓W之表面之極薄的分子層。
在步驟ST1ab之後的步驟ST1ac中,如圖17之(b)部所示,在處理容器192之處理空間Sp內產生處理氣體G8(第四氣體)的電漿P1。處理氣體G8,係含有包含氧原子之氣體,例如可含有氧氣。從氣體供給源122之複數氣體源中選擇之氣體源,將含有包含氧原子之氣體的處理氣體G8供給至處理容器192內。接著,從高頻電源150A及高頻電源150B供給高頻電力。藉由使排氣裝置50動作而將處理容器192內之處理空間Sp的壓力設定於預設的壓力。如此一來,處理空間Sp內便會產生處理氣體G8的電漿P1。
如圖17之(b)部所示,若產生處理氣體G8的電漿P1,則會產生氧的活性種,例如氧自由基,如圖17之(c)部所示,矽氧化膜、即層Ly2(圖12所示之被膜LA1所包含之層)會作為極薄的分子層而形成。
如以上所述,由於處理氣體G8包含氧原子,故在步驟ST1ac中,係可藉由該氧原子與設於晶圓W表面之矽的反應前驅物(層Ly1)結合,而在晶圓W表面形成矽氧化膜的層Ly2。因此,在程序SQ3中,可藉由與ALD法相同的方法,而在晶圓W之表面形成矽氧化膜的層Ly2。
在步驟ST1ac之後的步驟ST1ad中,係吹淨處理容器192內的處理空間Sp。具體而言,係將在步驟ST1ac中所供給之處理氣體G8排出。在步驟ST1ad中,作為吹淨氣體,亦可將氮氣或是稀有氣體(例如Ar等)等鈍性氣體供給至處理容器192內。亦即,步驟ST1ad的吹淨,亦可係使鈍性氣體於處理容器192內流動的氣體吹淨,或是藉由抽真空而造成的吹淨之任一種。
在程序SQ3之後的步驟ST1ae中,係判斷程序SQ3的重複次數,是否達到預設次數,在判斷為未達該次數的情況下(步驟ST1ae:否),再度執行程序SQ3,而在判斷為達到該次數的情況下(步驟ST1ae:是),則轉移至步驟ST1b。亦即,在步驟ST1ae中,程序SQ3之重複次數在達到預設次數為止,會重複進行程序SQ3的執行,而對晶圓W之表面形成膜LA1。藉由步驟ST1ae所控制之程序SQ3的重複次數,係以下述方式進行設定:在設於晶圓W表面之複數孔洞中孔洞寬度最小的孔洞,不會被藉由程序SQ3等所形成之膜LA1(進一步而言係藉由步驟ST1b所形成之膜LA2)堵塞(至少孔洞的開口不會堵塞)的情況下,具有大於預設之基準寬度的孔洞寬度。
如此,在步驟ST1a中,在藉由與ALD法相同的方法而保形地形成膜LA1的情況下,係藉由重複執行程序SQ3,而在晶圓W之表面(特別是孔洞的內面)保形地形成膜LA1,該程序SQ3包含:步驟ST1aa,首先使用胺基矽烷系氣體,在不使用電漿的情況下,於晶圓W之表面(特別是孔洞的內面)形成含有矽的反應前驅物(層Ly1);及步驟ST1ac,使用包含氧原子之氣體的電漿,使氧原子結合於該反應前驅物,而形成含有矽氧化物的薄膜(層Ly2)。
如以上說明,在依本發明之一實施態樣之方法MT中,由於步驟ST1係使用包含電漿CVD法的成膜處理,故可對孔洞寬度較窄的孔洞HL1形成膜厚較薄的膜LA,而對孔洞寬度較寬的孔洞HL2形成膜厚較厚的膜LA。因此,即使在複數孔洞中於孔洞寬度產生變動,該變動亦可藉由步驟ST1之成膜處理而降低。再者,由於在步驟ST2中,係等向性地蝕刻藉由步驟ST1所形成的膜LA,故可一邊維持藉由步驟ST1所形成的膜LA而使孔洞寬度之變動降低的狀態,一邊進行孔洞寬度的調節。
又,由於重複執行程序SQ1,故可藉由在步驟ST1中,形成膜厚較薄的膜(被膜LA所包含的膜),並重複執行程序SQ1而形成最後所期望之膜厚的膜LA。藉此,可充分避免在孔洞寬度較窄的孔洞HL1中,孔洞HL1的開口被藉由步驟ST1所形成的膜堵塞之狀況。
如圖7所示之步驟ST2,由於藉由與ALE法相同的方法,將藉由步驟ST1所形成之膜LA的表面等向性地改質,而在膜的表面等向性地形成混合層MX後,再將混合層MX全部去除,故可藉由在步驟ST2中所執行之蝕刻,將在步驟ST1中所形成的膜LA等向性且均勻地去除。
又,即使在孔洞寬度較窄並且以步驟ST1形成膜厚較薄的膜之孔洞HL1中,將膜LA2以步驟ST2去除,但此時間點,在孔洞寬度較寬並且以步驟ST1形成膜厚較厚的膜之孔洞HL2中,仍殘留有膜LA2的一部分。從此狀態,進一步繼續進行步驟ST2中之蝕刻的情況下,由於膜LA1的蝕刻耐受性低於膜LA2的蝕刻耐受性,故孔洞HL1的蝕刻進行得比孔洞HL2更快。因此,藉由使用蝕刻耐受性較低的膜LA1與蝕刻耐受性較高的膜LA2,可有效地降低孔洞HL1與孔洞HL2之間,孔洞寬度的變動。
如圖16所示之步驟ST1a,由於係藉由與ALD法相同的方法而形成膜LA1,故可在步驟ST1a中,保形地形成膜厚較薄的膜LA1。因此,即使膜LA2係藉由電漿CVD法而形成,亦可有效地控制具備膜LA1與膜LA2之膜LA的整體膜厚。 在以上的實施態樣中,雖然係以膜LA1及膜LA2為含矽膜加以說明,但並不限定於此,膜LA1及膜LA2,亦可為含矽膜以外的膜,只要係可對孔洞之內面使用電漿CVD法進行成膜,可等向性地蝕刻,並且對於在步驟ST2中所執行之蝕刻的蝕刻耐受性,膜LA1係低於膜LA2的膜即可。例如,膜LA1及膜LA2,亦可分別為含矽膜、含硼膜、金屬膜及含碳膜中之任一種。
以上,雖係在較佳的實施態樣中,將本發明之原理圖示並說明,但該技術領域中具通常知識者,應認知本發明可在不從其原理超脫之情況下變更配置及細節。本發明並不限定於本實施態樣所揭露之特定構成。從而,發明人主張源自專利申請範圍及其精神範圍之全部修正及變更的權利。
1:處理系統 10:電漿處理裝置 120:氣體供給部 121:氣體導入口 122:氣體供給源 123:氣體供給配管 124:質量流量控制器 126:開閉閥 12e:排氣口 134:晶圓搬出搬入口 136:閘門閥 14:支撐部 140:高頻天線 142A:內側天線元件 142B:外側天線元件 144:夾持體 150A,150B:高頻電源 160:屏蔽構件 162A:內側屏蔽壁 162B:外側屏蔽壁 164A:內側屏蔽板 164B:外側屏蔽板 168A,168B:致動器 18a:第一板片 18b:第二板片 192:處理容器 194:板狀介電體 22:直流電源 23:開關 24:冷媒通道 26a,26b:配管 28:氣體供給管線 46:防沉積板 48:排氣板 50:排氣裝置 52:排氣管 64:高頻電源 68:匹配器 Cnt:控制部 EL:被處理層 EL1:表面 ESC:靜電吸盤 FR:對焦環 G1,G2,G3,G4,G5,G6,G7,G8:處理氣體 G1a,G1b,G2a,G2b,GE1,GE2,GL1,GL2:線 H1a,H1b,H2a,H2b,H3b:差 HL1,HL2:孔洞 HP:加熱器電源 HT:溫度調節部 LA:膜 LA1:膜 LA2:膜 LE:底部電極 Ly1:層 Ly2:層 MK:遮罩 MK1:表面 MT:方法 MX:混合層 P1:電漿 PD:載置台 Sp:處理空間 SQ1,SQ2,SQ3:程序 ST1a,ST1b,ST1aa,ST1ab,ST1ac,ST1ad,ST1ae,ST2,ST2a,ST2b,ST2c,ST2d,ST2e,ST3,ST5c:步驟 TW:預設值 TI:時間點 V11,V12,V21,V22,V23:區間 W:晶圓 WF1a:膜厚 WF1b:膜厚 WF2a:膜厚 WF2b:膜厚 WF3a:膜厚 WF3b:膜厚 WW1a:孔洞寬度 WW1b:孔洞寬度
圖1係顯示依本發明之一實施態樣之方法之一部分的流程圖。 圖2係將圖1所示之方法的應用對象、即被處理體加以例示的剖面圖。 圖3係顯示可用於執行圖1所示之方法之電漿處理裝置之一例的圖式。 圖4係顯示在圖1所示之步驟中,膜形成後之被處理體之狀態的剖面圖。 圖5係示意地顯示在重複執行圖1所示之程序之情況中之孔洞寬度之變化態樣的圖式。 圖6係顯示在圖1所示之步驟中,蝕刻的等向性與壓力的關係圖。 圖7係顯示圖1所示之方法所包含之蝕刻步驟之另外一例的流程圖。 圖8係顯示在圖7所示之方法中之表面改質後之被處理體之狀態的剖面圖。 圖9係顯示在圖7所示之程序中之表面改質之自我限制性的圖式。 圖10係包含(a)部、(b)部及(c)部,並顯示圖7所示之步驟中之蝕刻原理的圖式。 圖11係顯示在執行圖7所示之程序中,對膜的蝕刻量與形成於膜的混合層之厚度之變化的圖式。 圖12係顯示在圖1所示之成膜步驟中,兩層膜形成後之被處理體之狀態的剖面圖。 圖13係顯示在圖1所示之成膜步驟中,形成兩層膜之情況之一例的流程圖。 圖14係顯示在成膜時,氧的添加量與膜的蝕刻耐受性的相關圖。 圖15係示意地顯示在圖1所示之成膜步驟形成兩層膜,並且重複執行圖1所示之程序的情況下,可能產生之孔洞寬度之變化態樣的圖式。 圖16係顯示圖13所示之成膜步驟之另外一例的流程圖。 圖17係包含(a)部、(b)部及(c)部,並顯示圖16所示之步驟中之膜的形成原理的圖式。
MT:方法
SQ1:程序
ST1,ST2,ST3:步驟

Claims (19)

  1. 一種電漿處理裝置,用於處理表面上包含複數孔洞的被處理體,其中,該複數孔洞包含小直徑孔洞以及大直徑孔洞,該大直徑孔洞具有比該小直徑孔洞更大的直徑,且其中,該被處理體具有一初始尺寸差,該初始尺寸差為該大直徑孔洞之直徑與該小直徑孔洞之直徑的差; 該電漿處理裝置,包含: 處理容器,用以收納該被處理體,並具備氣體導入口及排氣口,而作為藉由電漿源而產生電漿的空間,以對該被處理體進行電漿處理;及 控制部,對於該被處理體執行第一程序; 該第一程序,包含: 第一步驟,藉由第一處理氣體的電漿,在該複數孔洞之每一者的內面上形成膜,且其中,該第一步驟包含在該小直徑孔洞的內面上形成具有一厚度的膜,該厚度係小於在該大直徑孔洞之內面上所形成之膜的厚度;及 第二步驟,藉由第二處理氣體的電漿,等向性地蝕刻該膜,並且在該第二步驟期間,該小直徑孔洞的該膜被蝕刻至一小孔洞膜內直徑,且該大直徑孔洞的該膜被蝕刻至一大孔洞膜內直徑,且其中,該被處理體具有一蝕刻後尺寸差,該蝕刻後尺寸差為該大孔洞膜內直徑與該小孔洞膜內直徑的差,且在等向性地蝕刻之後,該蝕刻後尺寸差係小於該初始尺寸差。
  2. 如請求項1所述之被電漿處理裝置,其中, 該第一步驟包含使用電漿CVD法的成膜處理;且 該膜含有矽。
  3. 如請求項1所述之電漿處理裝置,其中, 該控制部係重複執行該第一程序。
  4. 如請求項1所述之電漿處理裝置,其中, 該控制部係重複執行該第一程序,且在每一程序中,該蝕刻後尺寸差係小於該初始尺寸差,以使該大孔洞與該小孔洞的尺寸差在重複執行該第一程序時逐漸變小。
  5. 一種電漿處理裝置,用於處理表面上具有複數孔洞之被處理體; 該電漿處理裝置,包含: 處理容器,用以收納該被處理體,並具備氣體導入口及排氣口,而作為藉由電漿源而產生電漿的空間,以對該被處理體進行電漿處理;及 控制部,對於該被處理體執行第一程序; 該第一程序,包含: 第一步驟,藉由處理氣體的電漿,對各該孔洞之內面形成膜;及 第二步驟,藉由包含氮之第一氣體的電漿及包含氟之第二氣體的電漿,等向性地蝕刻該膜, 其中,在該第二步驟中,該控制部係藉由重複執行第二程序,逐一原子層地去除該膜,藉以等向性地蝕刻該膜,且該第二程序的每一次執行係包含下述步驟: 在收納有該被處理體之該處理容器內,產生該第一氣體的電漿,並於該孔洞之該內面的原子層,等向性地形成含有被該第一氣體之電漿所包含之離子的混合層,且其中,該混合層包含矽之氮化物; 第一吹淨步驟,於產生該第一氣體之電漿並且等向性地形成該混合層後,吹淨該處理容器內的空間; 於該第一吹淨步驟後,在該處理容器內產生該第二氣體之電漿,並藉由包含於該第二氣體之電漿中之自由基,將包含矽之氮化物的該混合層去除;及 第二吹淨步驟,於產生該第二氣體之電漿並且去除包含矽之氮化物的該混合層後,吹淨該處理容器內的空間。
  6. 如請求項5所述之電漿處理裝置,其中, 該第二氣體,係包含NF 3氣體及O 2氣體的混合氣體。
  7. 如請求項5所述之電漿處理裝置,其中, 該第二氣體,係包含NF 3氣體、O 2氣體、H 2氣體及Ar氣體的混合氣體。
  8. 如請求項5所述之電漿處理裝置,其中, 該第二氣體,係包含CH 3F氣體、O 2氣體及Ar氣體的混合氣體。
  9. 如請求項5所述之電漿處理裝置,其中, 在以該第一氣體產生電漿的期間,該處理容器係處於200mTorr以上的壓力,且該膜的一原子層表面係藉由該電漿的氮離子所改質,以形成一改質的原子層表面,其中,該改質的原子層表面包含矽之氮化物,並且以該第二氣體的電漿將該改質的原子層表面去除。
  10. 如請求項9所述之電漿處理裝置,其中, 以該第一氣體產生電漿的處理時間超過自我限制處理時間,以便在不受孔洞尺寸影響的情況下均勻地進行該改質之原子層表面的形成。
  11. 一種電漿處理裝置,用於處理表面上具有複數孔洞之被處理體; 該電漿處理裝置,包含: 處理容器,用以收納該被處理體,並具備氣體導入口及排氣口,而作為藉由電漿源而產生電漿的空間,以對該被處理體進行電漿處理;及 控制部,對於該被處理體執行第一程序; 該第一程序,包含: 第一步驟,藉由第一處理氣體的電漿,對各該孔洞之內面形成膜;及 第二步驟,藉由第二處理氣體的電漿,等向性地蝕刻該膜, 其中,該第一步驟包含於該孔洞之內面形成第一膜;以及於該第一膜上形成第二膜;並且在形成該第二膜後,執行用於等向性地蝕刻的該第二步驟;且 其中,在該第二步驟中所執行之蝕刻的期間,該第一膜的蝕刻耐受性係低於該第二膜的蝕刻耐受性。
  12. 如請求項11所述之電漿處理裝置,其中, 該控制部藉由重複執行第一膜形成程序而形成該第一膜; 該第一膜形成程序係包含下述步驟: 在不產生電漿的情況下,將包含胺基矽烷系氣體的第三氣體供給至收納有該被處理體之該處理容器; 第一吹淨步驟,在供給該第三氣體後,吹淨該處理容器內的空間; 在該第一吹淨步驟後,於該處理容器內產生包含氧之第四氣體的電漿;及 第二吹淨步驟,在以該第四氣體產生電漿後,吹淨該處理容器內的空間;且 該控制部並使用電漿CVD製程而形成該第二膜。
  13. 如請求項12所述之電漿處理裝置,其中, 該第三氣體,係包含單胺基矽烷。
  14. 如請求項12所述之電漿處理裝置,其中, 該胺基矽烷系氣體,係包含具有1~3個矽原子的胺基矽烷。
  15. 如請求項12所述之電漿處理裝置,其中, 該胺基矽烷系氣體,係包含具有1~3個胺基的胺基矽烷。
  16. 如請求項11所述之電漿處理裝置,其中, 該第一膜的組成係不同於該第二膜的組成。
  17. 如請求項16所述之電漿處理裝置,其中, 該第一膜與該第二膜具有不同的氧含量。
  18. 如請求項16所述之電漿處理裝置,其中, 該第二膜具有比該第一膜更高的氧含量。
  19. 如請求項16所述之電漿處理裝置,其中, 該第一膜與該第二膜係分別選自於含矽膜、含硼膜、金屬膜、以及含碳膜。
TW111137161A 2017-08-25 2018-08-16 電漿處理裝置 TW202305153A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2017162600A JP6913569B2 (ja) 2017-08-25 2017-08-25 被処理体を処理する方法
JP2017-162600 2017-08-25

Publications (1)

Publication Number Publication Date
TW202305153A true TW202305153A (zh) 2023-02-01

Family

ID=65437681

Family Applications (2)

Application Number Title Priority Date Filing Date
TW107128535A TWI785095B (zh) 2017-08-25 2018-08-16 被處理體之處理方法
TW111137161A TW202305153A (zh) 2017-08-25 2018-08-16 電漿處理裝置

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW107128535A TWI785095B (zh) 2017-08-25 2018-08-16 被處理體之處理方法

Country Status (5)

Country Link
US (2) US10559472B2 (zh)
JP (1) JP6913569B2 (zh)
KR (1) KR20190022389A (zh)
CN (1) CN109427561B (zh)
TW (2) TWI785095B (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7071175B2 (ja) * 2017-04-18 2022-05-18 東京エレクトロン株式会社 被処理体を処理する方法
JP7066565B2 (ja) * 2018-07-27 2022-05-13 東京エレクトロン株式会社 プラズマ処理方法およびプラズマ処理装置
US10950428B1 (en) * 2019-08-30 2021-03-16 Mattson Technology, Inc. Method for processing a workpiece
WO2021050308A1 (en) * 2019-09-12 2021-03-18 Applied Materials, Inc. Repulsion mesh and deposition methods
JP2022029546A (ja) * 2020-08-05 2022-02-18 キオクシア株式会社 半導体記憶装置及びその製造方法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6635335B1 (en) * 1999-06-29 2003-10-21 Micron Technology, Inc. Etching methods and apparatus and substrate assemblies produced therewith
JP4727171B2 (ja) * 2003-09-29 2011-07-20 東京エレクトロン株式会社 エッチング方法
US7780865B2 (en) * 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7524750B2 (en) * 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
JP5082338B2 (ja) * 2006-08-25 2012-11-28 東京エレクトロン株式会社 エッチング方法及びエッチング装置
US8133797B2 (en) * 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
JP2010283213A (ja) * 2009-06-05 2010-12-16 Tokyo Electron Ltd 基板処理方法
US8728956B2 (en) * 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
JP2014003085A (ja) * 2012-06-15 2014-01-09 Tokyo Electron Ltd プラズマエッチング方法及びプラズマ処理装置
US20150357232A1 (en) * 2013-01-22 2015-12-10 Ps4 Luxco S.A.R.L. Method for manufacturing semiconductor device
US9378971B1 (en) * 2014-12-04 2016-06-28 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
JP6207947B2 (ja) * 2013-09-24 2017-10-04 東京エレクトロン株式会社 被処理体をプラズマ処理する方法
US9190478B2 (en) * 2013-12-22 2015-11-17 Alpha And Omega Semiconductor Incorporated Method for forming dual oxide trench gate power MOSFET using oxide filled trench
JP6151215B2 (ja) * 2014-05-15 2017-06-21 東京エレクトロン株式会社 プラズマエッチング方法
JP2016058590A (ja) * 2014-09-11 2016-04-21 株式会社日立ハイテクノロジーズ プラズマ処理方法
US9425041B2 (en) * 2015-01-06 2016-08-23 Lam Research Corporation Isotropic atomic layer etch for silicon oxides using no activation
JP6559430B2 (ja) * 2015-01-30 2019-08-14 東京エレクトロン株式会社 被処理体を処理する方法
US9922839B2 (en) 2015-06-23 2018-03-20 Lam Research Corporation Low roughness EUV lithography

Also Published As

Publication number Publication date
TW201920716A (zh) 2019-06-01
KR20190022389A (ko) 2019-03-06
US20190067019A1 (en) 2019-02-28
JP6913569B2 (ja) 2021-08-04
CN109427561A (zh) 2019-03-05
CN109427561B (zh) 2023-07-07
JP2019041020A (ja) 2019-03-14
TWI785095B (zh) 2022-12-01
US10559472B2 (en) 2020-02-11
US20200135480A1 (en) 2020-04-30
US11133192B2 (en) 2021-09-28

Similar Documents

Publication Publication Date Title
TWI785095B (zh) 被處理體之處理方法
CN108878285B (zh) 蚀刻方法
JP6759004B2 (ja) 被処理体を処理する方法
KR20170041154A (ko) 피처리체의 처리 방법
US11735423B2 (en) Workpiece processing method
KR20230129345A (ko) 플라즈마 처리 장치 및 에칭 방법
US20210327719A1 (en) Method for processing workpiece
JP6788400B2 (ja) 被処理体を処理する方法
JP2018182103A (ja) エッチング方法