CN109427561A - 处理被处理体的方法 - Google Patents

处理被处理体的方法 Download PDF

Info

Publication number
CN109427561A
CN109427561A CN201810971378.4A CN201810971378A CN109427561A CN 109427561 A CN109427561 A CN 109427561A CN 201810971378 A CN201810971378 A CN 201810971378A CN 109427561 A CN109427561 A CN 109427561A
Authority
CN
China
Prior art keywords
film
gas
hole
plasma
container
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201810971378.4A
Other languages
English (en)
Other versions
CN109427561B (zh
Inventor
田端雅弘
久松亨
木原嘉英
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN109427561A publication Critical patent/CN109427561A/zh
Application granted granted Critical
Publication of CN109427561B publication Critical patent/CN109427561B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • H01L21/02332Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen into an oxide layer, e.g. changing SiO to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3088Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3344Problems associated with etching isotropy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • H01L21/28132Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects conducting part of electrode is difined by a sidewall spacer or a similar technique, e.g. oxidation under mask, plating

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Electrical Discharge Machining, Electrochemical Machining, And Combined Machining (AREA)

Abstract

本发明提供处理被处理体的方法,在被处理体上形成图案时,为了实现高度集成化所需的细微化,高精度地抑制最小线宽的偏差。本发明的一个实施方式的处理被处理体的方法,在被处理体的表面设有多个孔。该方法包括第一流程,该第一流程包括在孔的内表面形成膜的第一工序和各向同性地对膜进行蚀刻的第二工序。第一工序包括使用等离子体CVD法的成膜处理,膜含有硅。

Description

处理被处理体的方法
技术领域
本发明涉及处理被处理体的方法。
背景技术
在电子器件的制造工序中,为了在被处理层上形成掩模并将该掩模的图案转印到该被处理层而进行蚀刻。该蚀刻可以利用等离子体蚀刻。用于等离子体蚀刻的掩模是利用光刻技术形成的。因此,形成在被处理层的图案的极限尺寸,依赖于利用光刻技术形成的掩模的分辨率。掩模的图案的分辨率有分辨极限。对电子器件的高度集成化的要求越来越高,要求形成比分辨极限小的尺寸的图案。因此,如专利文献1等所记载的那样,提出了调节图案的尺寸形状以缩小该图案的开口的宽度的技术。
现有技术文献
专利文献
专利文献1:美国专利申请公开第2016/0379824号说明书
发明内容
发明要解决的技术问题
关于图案的形成,例如可通过在SiO2层等被处理层形成非常细微的孔来形成图案。在形成具有比掩模的图案的分辨极限小的尺寸的图案时,要求对图案的孔的非常细微的最小线宽(CD:Critical Dimension)进行控制。图案越细微,最小线宽的偏差的影响越大。尤其在采用EUV光刻(EUV:Extreme Ultra Violet,极紫外线)的情况下,初始LCDU(local CD Uniformity,局部最小线宽均匀性)可能下降。因此,期望实现一种方法,该方法例如在具有SiO2等的被处理层上形成图案时,为了实现因高度集成化而要求的小型化,能够高精度地抑制最小线宽的偏差。
用于解决技术问题的技术方案
本发明的一个技术方案提供一种处理被处理体的方法。在被处理体中,在被处理体的表面设有多个孔。该方法包括第一流程,该第一流程包括在孔的内表面形成膜的第一工序和各向同性地对膜进行蚀刻的第二工序。第一工序包括使用等离子体CVD法的成膜处理,膜含有硅。
在上述方法中,第一工序由于包括利用等离子体CVD(plasma-enhanced ChemicalVapor Deposition,等离子体增强化学气相沉积)法的成膜处理,所以能够在孔宽较窄的孔中形成膜厚较薄的膜,在孔宽较宽的孔中形成膜厚较厚的膜。因此,即使在多个孔中孔宽发生偏差,也能够通过第一工序的成膜处理抑制该偏差。进而,由于在第二工序中各向同性地对通过第一工序形成的膜进行蚀刻,所以能够维持利用通过第一工序形成的膜减小了孔宽的偏差的状态,同时能够调节孔宽。
在一个实施方式中,反复执行第一流程。
这样,由于反复执行第一流程,所以能够通过在第一工序中形成膜厚较薄的膜并反复执行第一流程,最终形成所期望的膜厚的膜。由此能够充分地避免在孔宽较窄的孔中,孔的开口被通过第一工序形成的膜堵塞。
在一个实施方式中,第二工序反复执行包括第三工序、第四工序、第五工序和第六工序的第二流程,其中,所述第三工序在收纳有被处理体的等离子体处理装置的处理容器内生成第一气体的等离子体,在孔的内表面的原子层各向同性地形成混合层,该混合层包含第一气体的等离子体中所含的离子,所述第四工序在执行第三工序后,对处理容器内的空间进行吹扫,所述第五工序在执行第四工序后,在处理容器内生成第二气体的等离子体,并利用该第二气体的等离子体中所包含的自由基除去混合层,所述第六工序在执行第五工序后,对处理容器内的空间进行吹扫,第二工序通过反复执行第二流程,以逐次除去原子层的方式除去膜,来各向同性地对膜进行蚀刻,第一气体包含氮,第二气体包含氟,在第五工序中生成的第二气体的等离子体包含用于除去混合层的自由基,所述混合层包含硅的氮化物。这样,通过与ALE(Atomic Layer Etching,原子层蚀刻)法同样的方法,第一工序形成的膜的表面被各向同性地改性,在膜的表面各向同性地形成混合层,之后,除去所有的该混合层,因此能够通过第二工序中执行的蚀刻各向同性且均匀地除去第一工序中形成的膜。
在一个实施方式中,第二气体可以为包含NF3气体和O2气体的混合气体,包含NF3气体、O2气体、H2气体和Ar气体的混合气体,或包含CH3F气体、O2气体和Ar气体的混合气体。这样,能够得到含氟的第二气体。
在一个实施方式中,膜包括第一膜和第二膜,第一工序包括:第七工序,在孔的内表面形成第一膜;和第八工序,在第一膜上形成第二膜,第一膜与第二膜相比,对第二工序中执行的蚀刻的耐蚀刻性较低。
即便在孔宽较窄且在第一工序中形成了膜厚较薄的膜的孔(称作第一孔)中通过第二工序除去第二膜,此时,在孔宽较宽且在第一工序中形成了膜厚较厚的膜的孔(称作第二孔)中也仍然能够残留一部分第二膜。从这样的状态起,进一步继续进行第二工序的蚀刻时,因为第一膜的耐蚀刻性低于第二膜的耐蚀刻性,所以第一孔比第二孔更快地进行蚀刻。因此,利用耐蚀刻性较低的第一膜和耐蚀刻性较高的第二膜,能够更有效地减小第一孔与第二孔之间的孔宽的偏差。
在一个实施方式中,第七工序通过反复执行包括第九工序、第十工序、第十一工序和第十二工序的第三流程来形成第一膜,其中,第九工序对收纳有被处理体的等离子体处理装置的处理容器内供给第三气体,第十工序在执行第九工序后,对处理容器内的空间进行吹扫,第十一工序在执行第十工序后,在处理容器内生成第四气体的等离子体,第十二工序在执行第十一工序后,对处理容器内的空间进行吹扫,第八工序使用等离子体CVD法形成第二膜,第三气体包含氨基硅烷(Aminosilane)类气体,第四气体包含含有氧原子的气体,在第九工序中不生成第三气体的等离子体。这样,因为通过与ALD(Atomic LayerDeposition,原子层沉积)法同样的方法形成第一膜,所以能够在第七工序中共形地形成膜厚较薄的第一膜。因此,即使使用等离子体CVD法形成第二膜,也能够有效地控制具有第一膜和第二膜的膜的整体的膜厚。
在一个实施方式中,第三气体包含单氨基硅烷(Monoaminosilane)。这样,能够利用包含单氨基硅烷的第三气体形成硅的反应前体。
在一个实施方式中,第三气体的氨基硅烷类气体可以包含具有1~3个硅原子的氨基硅烷。第三气体的胺基硅烷类气体可以包含具有1~3个氨基的氨基硅烷。这样,第三气体的氨基硅烷类气体能够利用含1~3个硅原子的氨基硅烷。此外,第三气体的氨基硅烷类气体能够利用含1~3个氨基的氨基硅烷。
发明效果
如上所说明的那样,提供一种在被处理体上形成图案时高精度地抑制最小线宽的偏差(参差不齐)的方法。
附图说明
图1是表示一个实施方式的方法的一部分的流程图。
图2是例示作为图1所示的方法的适用对象的被处理体的截面图。
图3是表示能够用于执行图1所示的方法的等离子体处理装置的一例的图。
图4是表示图1所示的工序中形成膜之后的被处理体的状态的截面图。
图5是示意地表示反复执行图1所示的流程的情况下的线宽的变化情况的图。
图6是表示图1所示的工序中的蚀刻的各向同性与压力的关系的图。
图7是表示图1所示的方法所包括的蚀刻工序的另一例的流程图。
图8是表示图7所示的方法中表面改性后的被处理体的状态的截面图。
图9是表示图7所示的流程中表面改性的自控性的图。
图10包括(a)部分、(b)部分和(c)部分,是表示图7所示的工序中的蚀刻的原理的图。
图11是表示执行图7所示的流程期间对膜的蚀刻量与形成在膜上的混合层的厚度的变化的图。
图12是表示在图1所示的成膜工序中形成两层膜后的被处理体的状态的截面图。
图13是表示在图1所示的成膜工序中形成两层膜时的一个例子的流程图。
图14是表示成膜时的氧的添加量与膜的耐蚀刻性的相关性的图。
图15是示意性地表示在图1所示的成膜工序中形成两层膜时反复执行图1所示的流程的情况下可能产生的线宽的变化情况的图。
图16是表示图13所示的成膜工序的另一例的流程图。
图17包括(a)部分、(b)部分和(c)部分,是表示图16所示的工序中的膜的形成原理的图。
附图标记的说明
10……等离子体处理装置;120……气体供给部;121……气体导入口;122……气体供给源;123……气体供给配管;124……质量流量控制器;126……开闭阀;12e……排气口;134……晶片搬入搬出口;136……闸阀;14……支承部;140……高频天线;142A……内侧天线元件;142B……外侧天线元件;144……夹持体;150A……高频电源;150B……高频电源;160……屏蔽部件;162A……内侧屏蔽壁;162B……外侧屏蔽壁;164A……内侧屏蔽板;164B……外侧屏蔽板;168A……执行器;168B……执行器;18a……第一板;18b……第二板;192……处理容器;194……板状电介质;22……直流电源;23……开关;24……制冷剂流路;26a……配管;26b……配管;28……气体供给管线;46……沉积物遮挡件;48……排气板;50……排气装置;52……排气管;64……高频电源;68……匹配器;Cnt……控制部;EL……被处理层;EL1……表面;ESC……静电吸盘;FR……聚焦环;HP……加热器电源;HT……温度调节部;LA……膜;LA1……膜;LA2……膜;LE……下部电极;Ly1……层;Ly2……层;MK……掩模;MK1……表面;MT……方法;MX……混合层;P1……等离子体;PD……载置台;Sp……处理空间;HL1……孔;HL2……孔;W……晶片;WF1a……膜厚;WF1b……膜厚;WF2a……膜厚;WF2b……膜厚;WF3a……膜厚;WF3b……膜厚;WW1a……孔宽;WW1b……孔宽。
具体实施方式
下面,参照附图对各种实施方式进行详细说明。其中,在各附图中,对相同或相当的部分标注相同的附图标记。图1是表示一个实施方式的方法(以下称作方法MT)的一部分的流程图。图1所示的方法MT是处理被处理体(以下称作晶片W)的方法的一个实施方式。图2是例示作为图1所示的方法MT的适用对象的晶片W的截面图。
图2所示的晶片W包括被处理层EL、设置在被处理层EL上(被处理层EL的表面EL1)的掩模MK和设置在掩模MK上的孔(孔(hole)例如为孔HL1、孔HL2等,在本实施方式中可以包括孔、坑、凹陷、凹部及其它类似形状。下面也是这样的。)。晶片W中,在晶片W的表面设有多个孔。在本实施方式中,孔设置在掩模MK上,但不限于孔设置在掩模MK的结构。
被处理层EL例如为Si反射防止膜,即SiARC(Anti Reflection Coating:防反射涂膜)膜。在一个实施方式中,掩模MK的材料包含抗蚀剂。在掩模MK,通过光刻形成有提供开口的图案的孔(与设置在晶片W的表面上的孔的含义相同)。大致在晶片W的整个表面形成掩模MK的孔。图2所示的晶片W的孔HL1、孔HL2的孔宽互不相同。孔HL1具有孔宽WW1a,孔HL2具有孔宽WW1b。在图2所示的孔HL1、孔HL2中,孔宽WW1a的值小于孔宽WW1b的值。
方法MT(处理被处理体的方法)由等离子体处理装置10执行。图3是表示能够用于执行图1所示的方法的等离子体处理装置的一个例子的图。图3概略地表示处理晶片W的方法MT的各种实施方式中能够利用的等离子体处理装置10的截面构造。图3所示的等离子体处理装置10包括ICP(Inductively Coupled Plasma,电感耦合等离子体)型的等离子体源。等离子体处理装置10包括金属制(在一个实施方式中例如为铝制)的形成为筒形状(在一个实施方式中例如为圆筒形状)的处理容器192。处理容器192中划分有进行等离子体处理的处理空间Sp。处理容器192的形状不限于圆筒形状,在一个实施方式中例如也可以为箱形状等棱筒(角筒)形状。等离子体处理装置10的等离子体源不限于ICP型,例如可以是ECR(Electron Cyclotron Resonance,电子回旋共振)型、CCP(Capacitively CoupledPlasma,电容耦合等离子体)型、利用微波的等离子体源等等。
在处理容器192的底部设有用于载置晶片W的载置台PD。载置台PD包括静电吸盘ESC和下部电极LE。下部电极LE包括第一板18a和第二板18b。处理容器192划分有处理空间SP。
支承部14在处理容器192的内侧设置在处理容器192的底部上。在一个实施方式中,支承部14例如具有大致圆筒形状。在一个实施方式中,支承部14例如由绝缘材料构成。构成支承部14的绝缘材料可以如石英那样包含氧。支承部14在处理容器192内从处理容器192的底部在铅垂方向上(从处理容器192的顶部侧(具体而言例如板状电介质194侧)去往载置在静电吸盘ESC上的晶片W的表面的方向)延伸。
载置台PD设置在处理容器192内。载置台PD由支承部14支承。载置台PD在其上表面保持晶片W。晶片W为被处理体。载置台PD包括下部电极LE和静电吸盘ESC。
下部电极LE包括第一板18a和第二板18b。在一个实施方式中,第一板18a和第二板18b例如由铝等金属构成。在一个实施方式中,第一板18a和第二板18b例如具有大致圆盘形状。第二板18b设置在第一板18a上。第二板18b与第一板18a电连接。
静电吸盘ESC设置在第二板18b上。静电吸盘ESC在一对绝缘层之间或一对绝缘片之间配置有导电膜的电极。直流电源22经开关23与静电吸盘ESC的电极电连接。静电吸盘ESC利用来自直流电源22的直流电压生成的静电力吸附晶片W。通过采用这样的结构,静电吸盘ESC能够保持晶片E。
聚焦环FR以包围晶片W的边缘和静电吸盘ESC的方式配置在第二板18b的周缘部上。聚焦环FR是为了提高蚀刻的均匀性而设置的。聚焦环FR由根据蚀刻对象的膜的材料而适当选择的材料构成,在一个实施方式中例如可由石英构成。
制冷剂流路24设置在第二板18b的内部。制冷剂流路24构成调温机构。从设置在处理容器192的外部的制冷单元经配管26a将制冷剂供给到制冷剂流路24。供给到制冷剂流路24的制冷剂经配管26b回流到制冷单元。像这样,制冷剂以循环的方式供给到制冷剂流路24。通过控制该制冷剂的温度,控制由静电吸盘ESC支承的晶片W的温度。气体供给管线28将来自传热气体供给机构的传热气体(在一个实施方式中例如为He气体)供给至静电吸盘ESC的上表面与晶片W的背面之间。
在等离子体处理装置10中设置有调节晶片W的温度的温度调节部HT。温度调节部HT内置于静电吸盘ESC中。温度调节部HT与加热器电源HP连接。通过从加热器电源HP对温度调节部HT供给电力,调节静电吸盘ESC的温度,进而调节载置在静电吸盘ESC上的晶片W的温度。其中,温度调节部HT也可嵌入第二板18b内。
温度调节部HT包括发热的多个加热元件和分别检测该多个加热元件中的各加热元件的周围温度的多个温度传感器。
板状电介质194在载置台PD的上方与载置台PD相对地配置。下部电极LE与板状电介质194彼此大致平行地设置。在板状电介质194与下部电极LE之间形成处理空间Sp。处理空间Sp是用于对晶片W进行等离子体处理的空间区域。
在等离子体处理装置10中,沿着处理容器192的内部以能够自由装卸的方式设有沉积物遮挡件46。沉积物遮挡件46还设置在支承部14的外周。沉积物遮挡件46用于防止蚀刻副产物(沉积物)附着在处理容器192上,其可通过在铝材上包覆Y2O3等陶瓷来构成。在一个实施方式中,沉积物遮挡件还可以由Y2O3外的例如石英之类的含氧的材料构成。
排气板48在处理容器192的底部侧设置在支承部14与处理容器192的侧壁之间。排气板48例如可通过在铝材上包覆Y2O3等陶瓷来构成。排气口12e在排气板48的下方设置于处理容器192。排气装置50经排气管52与排气口12e连接。排气装置50包括涡轮分子泵等真空泵,能够将处理容器192内的空间降压至期望的真空度。高频电源64是产生用于将离子引入晶片W的高频电力,即高频偏置电力的电源,其产生400[kHz]~40.68[MHz]的范围内的频率的高频偏置电力,在一个例子中其产生13[MHz]的高频偏置电力。高频电源64经匹配器68与下部电极LE连接。匹配器68是用于使高频电源64的输出阻抗与负载侧(下部电极LE侧)的输入阻抗匹配的电路。
在处理容器192的顶部,在一个实施方式中,与载置台PD相对地设有例如由石英玻璃或陶瓷等构成的板状电介质194。具体而言,在一个实施方式中,板状电介质194例如形成为圆板形状,以封闭形成在处理容器192的顶部的开口的方式被气密地安装。处理容器Sp是由等离子体源生成等离子体的空间。处理空间Sp是可载置晶片W的空间。
在处理容器192设有供给多种处理气体(在一个实施方式中例如为后述的处理气体G1~处理气体G8)的气体供给部120。气体供给部120向上述的处理空间Sp供给各种处理气体。在处理容器192的侧壁部形成有气体导入口121,气体供给源122经气体供给配管123与气体导入口121连接。在气体供给配管123的中途安装有控制各种处理气体的流量的流量控制器(例如质量流量控制器124和开闭阀126)。利用这样的气体供给部120,从气体供给源122输出的各种处理气体被质量流量控制器124控制为预先设定的流量,从气体导入口121供给至处理容器192的处理空间Sp。
在图3中为了易于说明,利用一个系统的气体管线来显示气体供给部120,但气体供给部120具有供给多种气体的结构。图3所示的气体供给部120,作为一个例子,包括从处理容器192的侧壁部供给气体的结构,但气体供给部120不限于图3所示的结构。例如,气体供给部120也可以具有从处理容器192的顶部供给气体的结构。在气体供给部120具有这样的结构的情况下,例如在板状电介质194的例如中央部形成有气体导入口,能够从该气体导入口供给气体。
用于排出处理容器192内的气氛的排气装置50,经排气管52与处理容器192的底部连接。排气装置50例如由真空泵构成,能够使处理容器192内的压力成为预先设定的压力。
在处理容器192的侧壁部设有晶片搬入搬出口134,在晶片搬入搬出口134设有闸阀136。例如在搬入晶片W时,闸阀136被打开,由未图示的搬送臂等搬送机构将晶片W载置在处理容器192内的载置台PD上后,闸阀136被关闭,开始晶片W的处理。
在处理容器192的顶部,在板状电介质194的上侧面(外侧面)设有平面状的高频天线140和覆盖高频天线140的屏蔽部件160。一个实施方式中的高频天线140包括配置在板状电介质194的中央部的内侧天线元件142A和以包围内侧天线元件142A的外周的方式配置的外侧天线元件142B。在一个实施方式中,内侧天线元件142A和外侧天线元件142B例如为铜、铝、不锈钢等导体,具有涡旋线圈状的形状。
内侧天线元件142A和外侧天线元件142B均被多个夹持体144夹持而形成一体。在一个实施方式中,夹持体144例如具有棒状的形状。夹持体144以从内侧天线元件142A的中央附近伸出至外侧天线142B的外侧的方式配置成放射线状。
屏蔽部件160包括内侧屏蔽壁162A和外侧屏蔽壁162B。内侧屏蔽壁162A以包围内侧天线元件142A的方式设置在内侧天线元件142A与外侧天线元件142B之间。外侧屏蔽壁162B以包围外侧天线元件142B的方式设置,具有筒状的形状。因此,板状电介质194的上侧面被分成内侧屏蔽壁162A的内侧的中央部(中央区)和内侧屏蔽壁162A与外侧屏蔽壁162B之间的周缘部(周缘区)。
在内侧天线元件142A上,以封闭内侧屏蔽壁162A的开口的方式设有圆板状的内侧屏蔽板164A。在外侧天线元件142B上,以封闭内侧屏蔽壁162A与外侧屏蔽壁162B之间的开口的方式设有环形板形状的外侧屏蔽板164B。
屏蔽部件160的形状不限于圆筒状。在一个实施方式中,屏蔽部件160的形状例如可以为棱筒(角筒)状等其他形状,或者可以是与处理容器192的形状一致的形状。此处,由于处理容器192在一个实施方式中例如具有大致圆筒状的形状,所以与该圆筒形状一致地,屏蔽部件160也具有大致圆筒状的形状。当处理容器192具有大致棱筒状的形状时,屏蔽部件160也具有大致棱筒状的形状。
内侧天线元件142A与高频电源150A连接,外侧天线元件142B与高频电源150B连接。由此,能够对内侧天线元件142A和外侧天线元件142B分别施加相同的频率或不同频率的高频。例如,在一个实施方式中,例如27[MHz]等频率的高频以预先设定的功率[W]从高频电源150A供给到内侧天线元件142A时,能够利用处理容器192内形成的感应磁场激发导入至处理容器192内的气体,在晶片W上的中央部生成环形的等离子体。另外,在一个实施方式中,例如27[MHz]等频率的高频以预先设定的功率[W]从高频电源150B供给到外侧天线元件142B时,能够利用处理容器192内形成的感应磁场激发导入至处理容器192内的气体,在晶片W上的周缘部生成另外的环形的等离子体。从高频电源150A和高频电源150B分别输出的高频不限于上述的频率,可以分别从高频电源150A和高频电源150B供给各种频率的高频。另外,根据从高频电源150A和高频电源150B分别输出的高频,需要调节内侧天线元件142A和外侧天线元件142B的电长度。内侧屏蔽板164A和外侧屏蔽板164B分别能够由执行器168A和执行器168B单独调节高度。
控制部Cnt是包括处理器、存储部、输入装置和显示装置等的计算机,控制等离子体处理装置10的后述的各部。控制部Cnt与质量流量控制器124、开闭阀126、高频电源150A、高频电源150B、直流电源22、开关23、排气装置50、高频电源64、匹配器68、静电吸盘ESC、加热器电源HP、制冷单元等连接。控制部Cnt按照用于在方法MT的各工序中控制等离子体处理装置10的各部的计算机程序(基于所输入的处理方案的程序)动作,发送控制信号。利用来自控制部Cnt的控制信号控制等离子体处理装置10的各部。控制部Cnt例如可以用来自控制部Cnt的控制信号对从气体供给源122供给的气体的选择和流量、排气装置50的排气、来自高频电源150A和高频电源150B的电力供给、来自高频电源64的电力供给、来自加热器电源HP的电力供给、来自制冷单元的制冷剂流量和制冷剂温度等进行控制。另外,在本说明书中记载的方法MT的各工序,可以通过控制部Cnt的控制,使等离子体处理装置10的各部动作而执行。控制部Cnt的存储部中以可自由读取的方式保存有用于执行方法MT的计算机程序和方法MT的执行中使用的各种数据。
回到图1,以具有等离子体处理装置10的处理系统1中实施的方式为例,对方法MT进行详细说明。方法MT是调节孔宽的偏差的处理方法(处理被处理体的方法)。方法MT也可以在与等离子体处理装置10不同的其他等离子体处理装置中执行。如图1所示,方法MT包括流程SQ1和工序ST3。流程SQ1包括工序ST1(第一工序)和工序ST2(第二工序)。首先,在执行工序ST1前,晶片W被搬入等离子体处理容器10的处理容器192内,进一步,被搬入等离子体处理装置10的处理容器192内的晶片W在静电吸盘ESC上对位后载置在静电吸盘ESC上。
工序ST1中,在晶片W的表面的孔的内表面形成膜。工序ST1包括利用等离子体CVD(plasma-enhanced Chemical Vapor Deposition,等离子体增强化学气相沉积)法的成膜处理。在一个实施方式中,例如工序ST1包括如下所述的成膜处理:在静电吸盘ESC上载置晶片W后,在收纳有晶片W的等离子体处理装置10的处理容器192内生成处理气体G1的等离子体,使用等离子体CVD法在晶片W的表面(掩模MK的表面MK1、孔(包括孔HL1和孔HL2。下面也是同样的。)的内表面(侧面和底面))形成膜LA。通过工序ST1形成的膜LA含有硅氧化物,在一个实施方式中,例如可以含有SiO2
在工序ST1中,在晶片W载置在静电吸盘ESC上的状态下,对处理容器192内供给处理气体G1,生成处理气体G1的等离子体。处理气体G1含有沉积性优异的种类的气体,在一个实施方式中例如含有硅。在一个实施方式中,处理气体G1例如可以采用SiCl4和He的混合气体(在一个实施方式中,气体流量例如为25[sccm](SiCl4)、100[sccm](He))、SiCl4、CH4、H2和Ar的混合气体(在一个实施方式中,气体流量例如为20[sccm](SiCl4)、100[sccm](CH4)、100[sccm](H2)、800[sccm](Ar))等。从气体供给源122的多个气体源中选择的气体源将处理气体G1供给至处理容器192内。从高频电源150A和高频电源150B供给高频电力(在一个实施方式中,例如为60[MHz],300~1000[W]),使排气装置50工作,由此将处理容器192内的处理空间Sp的压力设定为预先设定的值(在一个实施方式中例如为50[mTorr])。在一个实施方式中,工序ST1的执行时间例如为60[s]。由于处理气体G1含有沉积性优异的种类的气体,所以如图4所示,通过工序ST1形成的膜LA的膜厚在孔宽较窄(较小)的孔HL1的内表面较薄(较小),在孔宽较宽(较大)的孔HL2的内表面较厚(较大)。图4是表示在图1所示的工序中形成膜后的晶片W的状态的截面图。形成在孔HL1的内表面的膜LA的膜厚WF1a的值小于形成在孔HL2的内表面的膜LA的膜厚WF1b的值。
工序ST1之后接着在工序ST2中,调节膜LA的膜厚。更具体而言,在工序ST2中,各向同性地对膜LA进行蚀刻。在工序ST2中,通过各向同性地蚀刻膜LA,调节膜LA的膜厚。在工序ST2中,在晶片W载置在静电吸盘ESC上的状态下,将处理气体G2供给至处理容器192内,生成处理气体G2的等离子体。处理气体G2含氟,在一个实施方式中,例如可以采用Cl2气体(在一个实施方式中气体流量例如为200[sccm])、C4F8和Ar的混合气体(在一个实施方式中气体流量例如为40[sccm](C4F8),200[sccm](Ar))等。从气体供给源122的多个气体源中选择的气体源将处理气体G2供给至处理容器192内。通过从高频电源150A和高频电源150B供给高频电力(在一个实施方式中例如为60[MHz]、500[W])并使排气装置50工作,将处理容器192内的处理空间Sp设定为预先设定的值(在一个实施方式中例如为400[mTorr])。在一个实施方式中,工序ST2的执行时间例如为30[s]。
当在工序ST1中在孔宽较窄的孔HL1中形成的膜LA的膜厚较厚时,孔HL1的开口有可能被摸LA堵塞。为了避免这样的情况,将工序ST1中形成的膜LA的膜厚以不会堵塞孔HL1的开口的程度形成得足够薄,并且反复执行工序ST1和工序ST2的流程SQ1(第一流程),直至膜LA的膜厚达到期望的值。像这样,通过使工序ST1中形成的膜LA的膜厚足够薄,并且反复执行流程SQ1,能够不堵塞孔的开口地在孔的内表面形成期望膜厚的膜LA。
参照图5,对流程SQ1中的孔宽的变化进行说明。图5是示意性地表示反复执行图1所示的流程时发送的孔宽的变化情况的图。线G1a表示孔HL1的孔宽的变化,线G2a表示孔HL2的孔宽的变化。当在工序ST1中形成膜LA时,在孔宽较窄的孔HL1中膜LA的膜厚较薄,在孔宽较宽的孔HL2中膜LA的膜厚较厚,因此当工序ST1结束时,孔HL2的孔宽与孔HL1的孔宽之差(差H2a)小于工序ST1开始时的该差(差H1a)。由于在工序ST1后接着的工序ST2中进行各向同性的蚀刻,所以能够一边将孔HL2的孔宽与孔HL1的孔宽之差(差H2a)保持恒定一边蚀刻膜LA。因此,工序ST2结束时的孔HL2的孔宽与孔HL1的孔宽之差维持与工序ST2开始时一样的差H2a。像这样,每次执行流程SQ1,孔HL2的孔宽与孔HL1的孔宽之差就会逐步地缩小,通过多次执行流程SQ1,该差能够收敛到期望的范围内,能够充分减小晶片W的孔的偏差。
接着,对用于使工序ST2的蚀刻具有各向同性的条件进行说明。图6是表示图1所示的工序ST2中蚀刻的各向同性与压力之间的关系的图。图6的纵轴表示蚀刻量[nm],图6的横轴表示处理空间Sp的压力[mTorr]。图6的线GRa表示孔的底面侧(纵向)的蚀刻量的变化,图6的线GRb表示孔的侧面侧(横向)的蚀刻量的变化,图6的线GRc表示孔的底面侧(纵向)的蚀刻量除以孔的侧面侧(横向)的蚀刻量得到的值(纵横比)的变化。如图6所示,当处理空间Sp的压力为200[mTorr]以上的较高压力(在一个实施方式中为例如400[mTorr]左右)时,在工序ST2中能够充分地实现各向同性的蚀刻。
<工序ST2的变形例>在一个实施方式中,工序ST2的各向同性的蚀刻例如能够通过图7所示的方法来实现。图7所示的方法是利用与ALE(Atomic Layer Etching,原子层蚀刻)法同样的方法,不依赖于孔宽的大小和孔的疏密,各向同性地对膜LA均匀地进行蚀刻的方法。另外,工序ST2的各向同性的蚀刻不限于图7所示的方法。图7是表示图1所示的方法所包含的工序ST2的另一例的流程图。图7所示的工序ST2包括流程SQ2(第二流程)和工序ST2e。流程SQ2包括工序ST2a(第三工序)、工序ST2b(第四工序)、工序ST2c(第五工序)和工序ST2d(第六工序)。
工序ST2a,在收纳有晶片W的等离子体处理装置10的处理容器192内生成处理气体G3(第一气体)的等离子体,在孔的内表面的原子层各向同性且均匀地形成包含处理气体G3的等离子体中所包含的离子的混合层MX。在工序ST2a中,可以在膜LA的表面的原子层各向同性且均匀地形成包含处理气体G3的等离子体中所包含的离子的混合层MX。在工序ST2a中,在晶片W载置在静电吸盘ESC上的状态下,将处理气体G3供给至处理容器192内,生成处理气体G3的等离子体。处理气体G3含氮,在一个实施方式中例如可以包含N2气体(在一个实施方式中,气体流量例如为100[sccm])。具体而言,从气体供给源122的多个气体源中选择的气体源将处理气体G3供给至处理容器192内。并且,从高频电源150A和高频电源150B供给高频电力(在一个实施方式中例如为60[MHz]、600[W]),使排气装置50工作,由此将处理容器192内的处理空间Sp的压力设定为预先设定的值(在一个实施方式中例如为400[mTorr])。通过采用这样的方式,在处理容器192内生成处理气体G3的等离子体。在一个实施方式中,工序ST2a的执行时间例如为400~600[s]。
工序ST2(尤其是工序ST2a)中处理空间Sp的压力的设定值,如图6所示地较高,为200[mTorr]以上,在一个实施方式中例如可以为400[mTorr]。在处理空间Sp的压力像这样较高时,处理气体G3的等离子体中所包含的氮原子的离子(以下称作氮离子)各向同性地与膜LA的表面接触,膜LA的表面被氮离子各向同性且均匀地改性,由此如图8所示,在膜LA的表面形成均匀(大致相同)的厚度的混合层MX。图8是表示利用图7所示的方法将表面改性后的晶片W的状态的截面图。
在工序ST2a中,如上所述那样在处理容器192内生成处理气体G3的等离子体,处理气体G3的等离子体中所含的氮离子因高频偏置电力而在铅垂方向(从处理容器192的顶部一侧(具体而言例如为板状电介质194一侧)去往载置在静电吸盘ESC上的晶片W的表面的方向)上被引入(拉来),与膜LA的表面接触,使得膜LA的表面被各向同性且均匀地改性。这样,在工序ST2a中,膜LA的表面成为在晶片W的表面厚度均匀(大致相同的厚度)的混合层MX。由于处理气体G3含氮,膜LA包含硅的氧化物(在一个实施方式中例如为SiO2),因此在一个实施方式中混合层MX的组成例如可以为SiN/SiO2(SiON)。
工序ST2a的处理时间为到达ALE法的自控区域的时间以上的时间。图9是表示图7所示的流程SQ2(尤其是工序ST2a)中表面改性的自控性的图。图9的横轴表示表面改性(更具体而言是在工序ST2a中进行的处理)的处理时间[s],图9的纵轴表示蚀刻量[nm](通过工序ST2a而被表面改性的部位的厚度)。图9所示的结果是使处理空间Sp的压力为400[mTorr],使高频电力的值为600[W],使高频偏置电力的功率值为50[W],执行工序ST2a而得到的结果。如图9所示,通过工序ST2a进行的表面改性带有自控性。即,如果花费到达ALE法的自控区域的时间以上的时间进行表面改性,则能够不依赖于孔宽的大小和孔的疏密,各向同性且均匀地进行表面改性,能够在晶片W的表面(掩模MK的表面MK1和晶片W的槽(包含孔HL1和孔HL2)的内表面)一样地形成各向同性且均匀的混合层MX。
图10包括(a)部分、(b)部分和(c)部分,其为表示图8所示的工序中的蚀刻原理的图。图10中,白色的圆(白圆)表示构成膜LA的原子(在一个实施方式中例如为构成SiO2的原子),涂黑的圆(黑圆)表示处理气体G3的等离子体中所包含的氮离子,用圆圈起来的“×”表示后述的处理气体G4的等离子体中所包含的自由基。如图10的(a)部分所示,通过工序ST2a,处理气体G3的等离子体中所包含的氮离子(涂黑的圆(黑圆))被各向同性地供给至膜LA的表面的原子层。这样,通过工序ST2a,在膜LA的表面的原子层形成包含构成膜LA的原子和处理气体G3的氮原子的混合层MX。
如上所述,由于处理气体G3含氮,因此在工序ST2a中,氮原子被供给至膜LA的表面的原子层(硅的氧化物的原子层),能够在膜LA的表面的原子层形成含有硅的氮化物的混合层MX(在一个实施方式中例如为SiN/SiO2)。
在工序ST2a之后接着在工序ST2b中,吹扫(purge,也称为“净化”)处理容器192内的处理空间Sp。具体而言,在工序ST2a中供给的处理气体G3被排气。在工序ST2b中,也可以将稀有气体(在一个实施方式中例如为Ar气体等)之类的不活泼气体作为吹扫气体供给至处理容器192。即,工序ST2b的吹扫可以是使不活泼气体流入处理容器192内的气体吹扫和通过抽真空进行的吹扫中的任一种。
在工序ST2b之后接着在工序ST2c中,在处理容器192内生成处理气体G4(第二气体)的等离子体,通过利用该等离子体中所含的自由基的化学蚀刻除去全部混合层MX。由此,膜LA在晶片W的整个表面(尤其是设置在所有孔的内表面的膜LA)能够被各向同性且均匀地被蚀刻。在工序ST2c中,在工序ST2a中形成混合层MX后的晶片W载置在静电吸盘ESC上的状态下,将处理气体G4供给至处理容器192内,生成处理气体G4的等离子体。在工序ST2c中生成的处理气体G4的等离子体包含除去包含硅氮化物的混合层MX的自由基。处理气体G4含氟,在一个实施方式中例如可以为包含NF3气体和O2气体的混合气体。另外,处理气体G4也可以是包含NF3气体、O2气体、H2气体和Ar气体的混合气体、包含CH3F气体、O2气体和Ar气体的混合气体等。具体而言,从气体供给源122的多个气体源中选择的气体源将上述处理气体G4供给至处理容器192内,从高频电源150A和高频电源150B供给高频电力(在一个实施方式中例如为60[MHz]、600[W]),使排气装置50工作,从而将处理容器192内的处理空间Sp的压力设定为预先设定的值(在一个实施方式中例如为400[mTorr])。这样,在处理容器192内生成处理气体G4的等离子体。在一个实施方式中,工序ST2c的执行时间例如为400~600[s]。
如图10的(b)部分所示,在工序ST2c中生成的处理气体G4的等离子体中的自由基(在图10的(b)部分中用圆圈起来的“×”)与膜LA的表面的混合层MX接触,处理气体G4的原子的自由基被供给至形成在膜LA的表面的混合层MX,通过化学蚀刻能够从膜LA除去混合层MX。如图10的(c)部分所示,在工序ST2c中,能够利用处理气体G4的等离子体中所包含的自由基从膜LA的表面除去形成在膜LA的表面上的全部混合层MX。通过除去混合层MX,在晶片W的表面,孔宽不依赖于其大小和孔的疏密,各向同性且均匀地变大。
在工序ST2c之后接着在工序ST2d中,吹扫处理容器192内的处理空间Sp。具体而言,在工序ST2c中供给的处理气体G4被排气。在工序ST2d中,也可以将稀有气体(在一个实施方式中例如为Ar气体等)之类的不活泼气体作为吹扫气体供给至处理容器192。即,工序ST2d的吹扫可以是使不活泼气体流入处理容器192内的气体吹扫和通过抽真空进行的吹扫中的任一种。
在流程SQ2之后接着在工序ST2e中,判断是否结束流程SQ2的执行。具体而言,在工序ST2e中判断流程SQ2的执行次数是否达到预先设定的次数。决定了流程SQ2的执行次数,就决定了对膜LA的蚀刻量。反复执行流程SQ2,使得膜LA被蚀刻至对膜LA的蚀刻量达到预先设定的值。随着流程SQ2的执行次数的增加,对膜LA的蚀刻量也增加(大致线性的增加)。因此,能够以如下方式决定流程SQ2的执行次数:使执行一次(单位循环)流程SQ2而蚀刻的膜LA的厚度(在一次工序ST2e中形成的混合层MX的厚度)与流程SQ2的执行次数之积成为预先设定的值。
参照图11,对执行流程SQ2期间发生的对膜LA的蚀刻量的变化和形成在膜LA上的混合层MX的厚度的变化进行说明。图11的线GL1表示在执行流程SQ2期间发生的对膜LA的蚀刻量(任意单位)的变化,图11的线GL2表示在执行流程SQ2期间发生的混合层MX的厚度(任意单位)的变化。图11的横轴表示执行流程SQ2的时间,但为了简化图示,省略了工序ST2b的执行时间和工序ST2d的执行时间。如图11所示,在执行一次(单位循环)流程SQ2时,如线GL2所示,工序ST2a执行至混合层MX的厚度达到预先设定的值TW。在工序ST2a中形成的混合层MX的厚度的值TW可根据由高频电源64施加的偏置电力的值、处理气体G3的等离子体中所包含的氮离子对膜LA的单位时间剂量(dose)和工序ST2c的执行时间而决定。
如图11所示,当执行一次(单位循环)的流程SQ2时,如线GL1和线GL2所示,工序ST2c执行至在工序ST2a中形成的混合层MX被全部除去。在执行工序ST2c时,直至时刻TI为止,通过化学蚀刻除去全部的混合层MX。时刻TI可根据在工序ST2c中进行的化学蚀刻的蚀刻速率而决定(确定)。时刻TI处于工序ST2c的执行期间。从时刻TI至工序ST2c结束为止的期间,除去混合层MX后的硅氧化物的膜LA不被处理气体G4的等离子体蚀刻。即,利用处理气体G4的等离子体中所包含的自由基时,对构成膜LA的硅氧化物(例如SiO2)的蚀刻的蚀刻速率远小于对混合层MX中所包含的硅氮化物(例如SiN)的蚀刻的蚀刻速率。
当在工序ST2e中判断为流程SQ2的执行次数没有达到预先设定的次数时(工序ST2e:否),再次重复执行流程SQ2。另一方面,当在工序ST2e中判断为流程SQ2的执行次数达到了预先设定的次数时(工序ST2e:是),结束工序ST2,转移到图1所示的工序ST3。
如上所述,流程SQ2和工序ST2e这一系列的各向同性的蚀刻处理,利用与ALE法同样的方法能够对膜LA的表面以逐次除去原子层的方式进行除去。因此,流程SQ2和工序ST2e这一系列的各向同性的蚀刻处理,通过反复执行流程SQ2而对膜LA的表面以逐次除去原子层的方式进行除去,能够不依赖于孔宽的大小和孔的疏密,各向同性且精密地蚀刻膜LA。即,通过将流程SQ2反复执行预先设定的次数,能够不依赖于孔宽的大小和孔的疏密,在晶片W的表面以各向同性且均匀的厚度(以大致相同的厚度)各向同性且精密地对膜LA进行蚀刻。
<工序ST1的变形例>接着,对工序ST1的另一实施例(变形例)进行说明。图4所示的膜LA为一层,但不限于此,也可以为两层。图12是表示在图1所示的成膜工序中形成两层膜后的晶片W的状态的截面图。图12所示的膜LA包括两层膜,包括膜LA1(第一膜)和膜LA2(第二膜)。膜LA1设置在晶片W的表面(掩模MK的表面MK1(包括孔内的表面)),膜LA2设置在膜LA1的表面。孔HL1中的膜LA1具有膜厚WF2a,孔HL1中的膜LA2具有膜厚WF3a。孔HL2中的膜LA1具有膜厚WF2b,孔HL2中的膜LA2具有膜厚WF3b。孔HL1的孔宽WW1a与孔HL2的孔宽WW1b相比较窄,所以膜厚WF2a相比膜厚WF2b较薄,膜厚WF3a相比膜厚WF3b较薄。膜LA1和膜LA2含有硅氧化物,在一个实施方式中例如可以包含SiO2。膜LA2的氧含量多于膜LA1的氧含量。就对工序ST2中执行的蚀刻的耐蚀刻性(即,耐蚀刻性)而言,膜LA1的耐蚀刻性低于膜LA2的耐蚀刻性。换而言之,工序ST2中对膜LA1执行的蚀刻的蚀刻速率值[nm/min]大于工序ST2中对膜LA2执行的蚀刻的蚀刻速率值[nm/min]。
参照图13,对本变形例的工序ST1进行说明。图13所示的工序ST1包括工序ST1a(第七工序)和工序ST1b(第八工序)。工序ST1a在孔的内表面形成膜LA1。工序ST1b在膜LA1上形成膜LA2。在一个实施方式中,例如在工序ST1a中,通过等离子体CVD法形成对工序ST2中执行的蚀刻的耐蚀刻性较低的膜LA1,在工序ST1b中,通过等离子体CVD法形成对工序ST2中执行的蚀刻的耐蚀刻性较高的膜LA2。即,在一个实施方式中,例如工序ST1a使用等离子体CVD法形成膜LA1,工序ST1b使用等离子体CVD法形成膜LA2。
硅氧化物膜的耐蚀刻性可根据成膜时添加的O2气体的流量而变化。图14是表示成膜时的氧添加量与膜的耐蚀刻性的相关性的图。图14所示的横轴表示在形成膜时可添加的O2气体的流量[sccm],图14所示的纵轴示出表示膜的耐蚀刻性的蚀刻速率[nm/min]。图14所示的线GE1所示的结果是利用以下成膜条件和蚀刻条件得到的,其中成膜条件为:压力为10[mTorr];高频电源150A和高频电源150B的高频电力为60[MHz]、1000[W];采用SiCl4(25[sccm])、He(100[sccm])和O2(0~100[sccm])的混合气体;以及处理时间为60[s];蚀刻条件为:压力为20[mTorr];高频电源150A和高频电源150B的高频电力为60[MHz]、500[W];高频电源64的高频电力为40[MHz]、50[W];采用Cl2气体(200[sccm]);以及处理时间为60[s]。图14所示的线GE2所示的结果是利用与得到线GE1所示的结果时同样的成膜条件和如下的蚀刻条件得到的,该蚀刻条件为:压力为20[mTorr];高频电源150A和高频电源150B的高频电力为60[MHz]、500[W];高频电源64的高频电力为40[MHz]、100[W];采用C4F8(40[sccm])和Ar(200[sccm])的混合气体;处理时间为60[s]。如图14所示,通过调节氧添加量(O2气体的流量),能够改变硅氧化物的耐蚀刻性。氧添加量越少,蚀刻速率越大。例如,在图14所示的情况下,通过调节氧添加量,蚀刻的选择比能够控制在1~17的范围内。
回到图13进行说明。在工序ST1a中,在晶片W载置在静电吸盘ESC上的状态下,对处理容器192内供给处理气体G5,生成处理气体G5的等离子体。处理气体G5含有沉积性优异的种类的气体,在一个实施方式中,例如含有硅。在一个实施方式中,处理气体G5例如可以是SiCl4、He和O2的混合气体(在一个实施方式中,气体流量例如为25[sccm](SiCl4)、100[sccm](He)、0~5[sccm](O2))等。处理气体G5所包含的O2气体为0~数[sccm](在一个实施方式中,例如为0~5[sccm])左右,较少。从气体供给源122的多个气体源中选择的气体源将处理气体G5供给至处理容器192内。从高频电源150A和高频电源150B供给高频电力(在一个实施方式中例如为60[MHz]、1000[W]),使排气装置50工作,从而将处理容器192内的处理空间Sp的压力设定为预先设定的值(在一个实施方式中例如为10[mTorr])。在一个实施方式中,工序ST1a的执行时间例如为60[s]。由于处理气体G5含有沉积性优异的种类的气体,所以如图12所示,通过工序ST1a形成的膜LA1的膜厚在孔宽较窄的孔HL1的内表面较薄,在孔宽较宽的孔HL2的内表面较厚。即,形成在孔HL1的内表面的膜LA1的膜厚WF2a的值小于形成在孔HL2的内表面的膜LA1的膜厚WF2b的值。
在工序ST1a之后接着在工序ST1b中,在晶片W载置在静电吸盘ESC上的状态下,对处理容器192内供给处理气体G6,生成处理气体G6的等离子体。处理气体G6含有沉积性优异的种类的气体,在一个实施方式中例如含有硅。在一个实施方式中,处理气体G6例如可以是SiCl4、He和O2的混合气体(在一个实施方式中,气体流量例如为25[sccm](SiCl4)、100[sccm](He)、100[sccm](O2))等。在一个实施方式中,处理气体G6所包含的O2气体例如为100[sccm]左右,较多。从气体供给源122的多个气体源中选择的气体源将处理气体G6供给至处理容器192内。从高频电源150A和高频电源150B供给高频电力(在一个实施方式中例如为60[MHz]、1000[W]),使排气装置50工作,从而将处理容器192内的处理空间Sp的压力设定为预先设定的值(在一个实施方式中例如为10[mTorr])。在一个实施方式中,工序ST1b的执行时间例如为60[s]。由于处理气体G6含有沉积性优异的种类的气体,所以如图12所示,通过工序ST1b形成的膜LA2的膜厚,在孔宽较窄的孔HL1的内表面较薄,在孔宽较宽的孔HL2的内表面较厚。即,形成在孔HL1的内表面的膜LA2的膜厚WF3a的值小于形成在孔HL2的内表面的膜LA2的膜厚WF3b的值。
参照图15,对方法MT包括图13所示的工序ST1(形成两层膜(膜LA1和膜LA2)的工序)时可能发生的孔宽的变化进行说明。图15是示意性地表示图1所示的成膜工序形成两层膜时反复执行图1所示的流程的情况下可能发生的孔宽的变化情况的图。线G1b表示孔HL1的孔宽的变化,线G2b表示孔HL2的孔宽的变化。
工序ST1包括由区间V11表示的工序和由区间V12表示的工序。区间V11表示形成膜LA1的工序ST1a,区间V12表示形成膜LA2的工序ST1b。在区间V11,形成耐蚀刻性较低的膜LA1,在区间V11之后接着在区间V12形成耐蚀刻性较高的膜LA2。在工序ST1中形成膜LA时,在孔宽较窄的孔HL1中,膜LA的膜厚较薄,在孔宽较宽的孔HL2中,膜LA的膜厚较厚,因此在工序ST1结束时,孔HL2的孔宽与孔HL1的孔宽之差(差H2b)小于工序ST1开始时的该差(差H1b)。
在工序ST1之后接着在工序ST2中进行各向同性的蚀刻。工序ST2包括由区间V21表示的工序、由区间V22表示的工序和由区间V23表示的工序。区间V21表示从工序ST2开始至孔HL1中的膜LA2通过蚀刻被全部除去为止的工序。在区间V21中,无论是在孔HL1中还是在孔HL2中,都是耐蚀刻性较高的膜LA2被蚀刻。由于孔HL1中的膜LA的膜厚WF3a比孔HL2中的膜LA2的膜厚WF3b薄,所以孔HL1中的膜LA2与孔HL2中的膜LA2相比通过蚀刻先被除去。在区间V21结束的时刻,孔HL1中的膜LA2通过蚀刻被全部除去,而孔HL2中残留有一部分膜LA2。在区间V21,无论是在孔HL1中还是在孔HL2中,均对膜LA2进行各向同性的蚀刻,因此在孔HL2的孔宽与孔HL1的孔宽之差(差H2b)保持恒定的情况下,膜LA2被蚀刻。因此,区间V21结束时的孔HL2的孔宽与孔HL1的孔宽之差维持与区间V21开始时一样的差H2b。
接着区间V21的区间V22表示,从孔HL1中的膜LA2通过蚀刻被全部除去时起(从区间V21的结束蚀刻起)至孔HL2中的膜LA2通过蚀刻被全部除去为止(膜LA2从晶片W的表面被全部除去为止)的工序。在区间V22中,在孔HL2中耐蚀刻性较高的膜LA2继续被蚀刻,而在孔HL1中耐蚀刻性较低的膜LA1被除蚀刻,因此孔HL1中的蚀刻进行得比孔HL2中的蚀刻快。在区间V22的结束时刻,孔HL2中的膜LA2通过蚀刻被全部除去。因此,在区间V22中,随着蚀刻的进行,孔HL2的孔宽与孔HL1的孔宽之差变小,区间V22结束时的孔HL2的孔宽与孔HL1的孔宽之差(差H3b)小于区间V22开始时的孔HL2的孔宽与孔HL1的孔宽之差(差H2b)。
接着区间V22的区间V23表示在孔HL1和孔HL2中膜LA1被蚀刻的工序。在区间V23中,由于像这样无论是在孔HL1中还是在孔HL2中,膜LA1均被各向同性地除去,所以在孔HL2的孔宽与孔HL1的孔宽之差(差H3b)保持恒定的同时,蚀刻膜LA1。因此,区间V23结束时的孔HL2的孔宽与孔HL1的孔宽之差维持与区间V23开始时一样的差H3b。
对执行一次利用图13所示的工序ST1的流程SQ1所带来的孔宽的偏差的改善进行说明。在孔HL1中,令膜LA1的膜厚WF2a的值为K11,令膜LA2的膜厚WF3a的值为K12。孔HL2中,令膜LA1的膜厚WF2b的值为K21,令膜LA2的膜厚WF3b的值为K22。在工序ST2的蚀刻中,令膜LA1的蚀刻速率的值为R1,令膜厚LA2的蚀刻速率的值为R2。在图15所示的区间V22的结束时刻(膜LA2从晶片W的表面被全部除去的时刻),设置在孔HL2的内表面的膜LA2的膜厚的值与设置在孔HL1的内表面的膜LA2的膜厚的值之差为K21-(K11-(R1/R2)×(K22-K12))。因此,LCDU(Local CD Uniformity,局部最小线宽均匀性)的改善量为(K21-K11)+(R1/R2)×(K22-K12)。由于R1>R2,所以R1/R2>1,因此,该改善量为比将孔HL2中的膜LA1的膜厚WF2b与孔HL1中的膜厚LA1的膜厚WF2a之差(K21-K11)、与孔HL2中的膜LA2的膜厚WF3b与孔HL1中的膜LA2的膜厚WF3a之差(K22-K12)简单相加得到的值更大的值,能够预见对于孔宽的偏差的减小实现有效改善。
另外,当令图15所示的差H1b的值(形成膜LA前的孔HL2的孔宽WW1b的值减去形成膜LA前的孔HL1的孔宽WW1a的值得到的值)为Δ时,图15所示的差H3b的值为Δ-2×(K21-K11)-2×(R1/R2)×(K22-K12)。因此,执行流程SQ1后的孔HL1与孔HL2之间孔宽之差比执行流程SQ1前的孔HL1与孔HL2之间的孔宽之差(Δ)减小2×(K21-K11)+2×(R1/R2)×(K22-K12)的差量(Δ1)。Δ1与R1=R2时即膜LA仅为一层时的值2×(K21-K11)+2×(K22-K12)相比更大,因此利用本变形例(膜LA包括膜LA1和膜LA2这两层的情况)的工序ST1,通过执行流程SQ1,能够更有效地减小孔宽的偏差。
另外,作为形成具有两层的膜LA的工序(工序ST1的变形例)例示了形成具有图12所示的氧添加量互不相同的两层(膜LA1和膜LA2)的膜LA的工序,但不限于此,例如也可以组合含硅膜、含硼膜、金属膜、碳膜等中的两个以上的膜,获得与具有膜LA1和膜LA2的膜LA同样的效果。
另外,在工序ST1a中,形成膜LA1时利用了等离子体CVD法,但不限于此,也可以利用与ALD(Atomic Layer Deposition,原子层沉积)法同样的方法在晶片W的表面(尤其是孔的内表面)共形(conformal)地形成膜LA1。参照图16和图17,对在工序ST1a中利用与ALD法同样的方法形成膜LA1的方法进行说明。图16是表示图13所示的成膜工序中的工序ST1a的另一例的流程图。图17包括(a)部分、(b)部分和(c)部分,其为表示图16所示的工序中的膜LA1的形成原理的图。
工序ST1a包括流程SQ3(第三流程)和工序ST1ae。流程SQ3和工序ST1ae这一系列的工序,在搬入到处理容器192内的晶片W的表面(掩模MK的表面MK1和掩模MK的孔的内表面)形成膜(膜LA1)。流程SQ3包括工序ST1aa(第九工序)、工序ST1ab(第十工序)、工序ST1ac(第十一工序)和工序ST1ad(第十二工序)。在工序ST1aa中,对处理容器192内供给处理气体G7(第三气体)。具体而言,在工序ST1aa中,如图17的(a)部分所示,将含有硅的处理气体G7导入处理容器192内。
处理气体G7包含含有有机基团的氨基硅烷(Aminosilane)类气体。处理气体G7可以利用氨基的数量较少的分子结构的氨基硅烷类气体,例如可以利用单氨基硅烷(H3-Si-R(R为含有有机基团且可被取代的氨基))。另外,用作处理气体G7的上述氨基硅烷类气体可以包含可具有1~3个硅原子的氨基硅烷,或者可以包含具有1~3个氨基的氨基硅烷。具有1~3个硅原子的氨基硅烷可以是具有1~3个氨基的单硅烷(单氨基硅烷)、具有1~3个氨基的二硅烷、或者具有1~3个氨基的三硅烷。进而,上述氨基硅烷可以具有可被取代的氨基。进而,上述氨基可被甲基、乙基、丙基和丁基中的的任意基团取代。进而,上述甲基、乙基、丙基或丁基可被卤素取代。从气体供给源122的多个气体源中选择的气体源将含有有机基团的氨基硅烷类气体的处理气体G7供给至处理容器内。工序ST1aa的处理时间为到达ALD法的自控区域的时间以上的时间。
如图17的(b)部分所示,处理气体G7的分子作为反应前体(层Ly1)附着在晶片W的表面(掩模MK的表面MK1和掩模MK的孔的内表面)。在工序ST1aa中,不生成处理气体G7的等离子体。处理气体G7的分子通过基于化学键合的化学吸附而附着在晶片W的表面,不使用等离子体。其中,作为处理气体G7,只要能够通过化学键合附着在晶片W的表面且含有硅,就能予以利用。
另一方面,例如当单氨基硅烷被选择用作处理气体G7时,选择单氨基硅烷的进一步的理由是,单氨基硅烷具有一种分子结构,该分子结构具有较高的电负性(Electronegativity)且具有极性,使得比较容易进行化学吸附。通过处理气体G7的分子附着在晶片W的表面而形成的反应前体的层Ly1,因该附着为化学吸附而处于接近单分子层(单层)的状态。由于单氨基硅烷的氨基(R)越小,吸附在晶片W的表面的分子的分子结构也越小,因此由分子的大小引起的立体障碍降低,使得处理气体G7的分子能够均匀地吸附在晶片W的表面,能够在晶片W的表面以均匀的膜厚形成层Ly1。
如上所述,由于处理气体G7包含含有有机基团的氨基硅烷类气体,因此通过工序ST1aa,能够沿着晶片W的表面的原子层形成硅的反应前体(层Ly1)。
接在工序ST1aa之后的工序ST1ab,对处理容器192内的处理空间Sp进行吹扫。具体而言,在工序ST1aa中供给的处理气体G7被排气。在工序ST1ab中,也可以将氮气或稀有气体(例如为Ar气体等)之类的不活泼气体作为吹扫气体供给至处理容器192。即,工序ST1ab的吹扫可以是使不活泼气体流入处理容器192内的气体吹扫和通过抽真空进行的吹扫中的任一种。在工序ST1ab中,还可以除去过剩地附着在晶片W的表面上的分子。通过以上处理,反应前体的层Ly1成为形成在晶片W的表面上的极薄的分子层。
在工序ST1ab之后接着在工序ST1ac中,如图17的(b)部分所示,在处理容器192的处理空间Sp内生成处理气体G8(第四气体)的等离子体P1。处理气体G8包含含有氧原子的气体,例如可以包含氧气。从气体供给源122的多个气体源中选择的气体源将包含含有氧原子的气体的处理气体G8供给至处理容器192内。另外,从高频电源150A和高频电源150B供给高频电力。通过使排气装置50动作,将处理容器192内的处理空间Sp的压力设定为预先设定的压力。这样,在处理空间Sp内生成处理气体G8的等离子体P1。
当如图17的(b)部分所示,生成处理气体G8的等离子体P1时,生成氧的活性种,例如生成氧自由基,如图17的(c)部分所示,作为硅氧化膜的层Ly2(图12所示的膜LA1中所包含的层)形成为极薄的分子层。
如上所述,由于处理气体G8含有氧原子,因此在工序ST1ac中,该氧原子与设置在晶片W的表面的硅的反应前体(层Ly1)键合,从而能够在晶片W的表面形成氧化硅膜的层Ly2。因此,在流程SQ3中,能够利用与ALD法同样的方法,在晶片W的表面形成硅氧化膜的层Ly2。
在工序ST1ac之后接着在工序ST1ad中,对处理容器192内的处理空间Sp进行吹扫。具体而言,在工序ST1ac中供给的处理气体G8被排气。在工序ST1ad中,也可以将氮气或稀有气体(例如为Ar气体等)之类的不活泼气体作为吹扫气体供给至处理容器192。即,工序ST1ad的吹扫可以是使不活泼气体流入处理容器192内的气体吹扫和通过抽真空进行的吹扫中的任一种。
在流程SQ3之后接着在工序ST1ae中,判断流程SQ3的重复次数是否达到预先设定的次数,如果判断为没有达到该次数(工序ST1ae:否),则再次执行流程SQ3,如果判断为达到该次数(工序ST1ae:是),则转到工序ST1b。即,反复进行流程SQ3的执行,直至在工序ST1ae中流程SQ3的重复次数达到预先设定的次数,这样在晶片W的表面形成膜LA1。设定通过工序ST1ae控制的流程SQ3的重复次数,以使得设置在晶片W的表面的多个孔中孔宽最小的孔具有大于预先设定的基准宽度的孔宽,而不会被通过流程SQ3形成的膜LA(进而通过工序ST1b形成的膜LA2)堵塞(至少孔的开口不被堵塞)。
这样,当在工序ST1a中利用与ALD法同样的方法共形地形成膜LA1时,通过反复执行包括工序ST1aa和工序ST1ac的流程SQ3,在晶片W的表面(尤其是孔的内表面)共形地形成膜LA1,其中,工序ST1aa首先利用氨基硅烷类气体在晶片W的表面(尤其是孔的内表面)在不利用等离子体的状态下形成含有硅的反应前体(层Ly1),工序ST1ac利用含氧原子的气体的等离子体使氧原子与该反应前体键合而形成含有硅氧化物的薄膜(层Ly2)。
如上所说明,在一个实施方式的方法MT中,由于工序ST1包括使用等离子体CVD法的成膜处理,因此在孔宽较窄的孔HL1中形成膜厚较薄的膜LA,在孔宽较宽的孔HL2中形成膜厚较厚的膜LA。因此,即便在多个孔中孔宽发生偏差,也能够通过工序ST1的成膜处理减小该偏差。进而,由于在工序ST2中各向同性地对通过工序ST1形成的膜LA进行蚀刻,所以能够一边维持通过工序ST1形成的膜LA的减小了孔宽的偏差的状态,一边调节孔宽。
另外,因为反复执行流程SQ1,所以通过在工序ST1中形成膜厚较薄的膜(膜LA中所包含的膜),反复执行流程SQ1,最终能够形成期望膜厚的膜LA。通过采用这样的方式,能够充分地避免在孔宽较窄的孔HL1中孔HL1的开口被通过工序ST1形成的膜堵塞的事态发生。
如图7所示的工序ST2那样,利用与ALE法同样的方法,将工序ST1形成的膜LA的表面各向同性地改性,在膜的表面各向同性地形成混合层MX后除去所有混合层MX,因此通过在工序ST2中执行的蚀刻,能够各向同性且均匀地除去工序ST1中形成的膜LA。
另外,即便在孔宽较窄且在工序ST1中形成有较薄膜厚的膜的孔HL1中在工序ST2中除去了膜LA2,此时,在孔宽较宽且在工序ST1中形成有较厚膜厚的膜的孔HL2中仍然能残留一部分膜LA2。从这样的状态起,进一步继续进行工序ST2的蚀刻时,由于膜LA1的耐蚀刻性低于膜LA2的耐蚀刻性,因此孔HL1的蚀刻进行得比孔HL2快。因此,通过利用耐蚀刻性较低的膜LA1和耐蚀刻性较高的膜LA2,能够更有效地减小孔HL1和孔HL2之间的孔宽的偏差(即,参差不齐)。
由于如图16所示的工序ST1a那样,利用与ALD法同样的方法形成膜LA1,所以能够在工序ST1a中共形地形成膜厚较薄的膜LA1。因此,即使使用等离子体CVD法形成膜LA2,也能够有效地控制包括膜LA1和膜LA2在内的膜LA的整体膜厚。
在以上实施方式中,说明了膜LA1、膜LA2是含硅膜(即,硅含有膜)的情况,但是并不限定于此。膜LA1、膜LA2也可以是含硅膜以外的膜,该膜LA1、膜LA2只要是能够使用等离子体CVD法在孔的内表面形成,能够被各向同性地蚀刻,并且膜LA1与膜LA2相比、对工序ST2中执行的蚀刻的耐蚀刻性较低的膜即可。例如,膜LA1和膜LA2各自可以是含硅膜、含硼膜、金属膜和含碳膜中的任一种膜。
上面,在优选的实施方式中图示并说明了本发明的原理,但本领域技术人员来说可知,在不脱离本发明的原理的情况下,可以对本发明的配置和细节加以改变。本发明不限于本实施方式中公开的特定结构。因此,对于根据发明内容及其宗旨的范围进行的所有修改和改变,能够主张权利。

Claims (13)

1.一种处理被处理体的方法,在该被处理体的表面设有多个孔,该方法的特征在于:
包括第一流程,该第一流程包括:
在所述孔的内表面形成膜的第一工序;和
各向同性地对所述膜进行蚀刻的第二工序,
所述第一工序包括使用等离子体CVD法的成膜处理,
所述膜含有硅。
2.如权利要求1所述的方法,其特征在于,
反复执行所述第一流程。
3.如权利要求1或2所述的方法,其特征在于,
所述第二工序反复执行包括第三工序、第四工序、第五工序和第六工序的第二流程,其中,
所述第三工序在收纳有所述被处理体的等离子体处理装置的处理容器内生成第一气体的等离子体,在所述孔的所述内表面的原子层各向同性地形成混合层,该混合层包含该第一气体的等离子体中所含的离子,
所述第四工序在执行所述第三工序后,对所述处理容器内的空间进行吹扫,
所述第五工序在执行所述第四工序后,在所述处理容器内生成第二气体的等离子体,并利用该第二气体的等离子体中所包含的自由基除去所述混合层,
所述第六工序在执行所述第五工序后,对所述处理容器内的空间进行吹扫,
所述第二工序通过反复执行所述第二流程,以逐次除去原子层的方式除去所述膜,来各向同性地对该膜进行蚀刻,
所述第一气体包含氮,
所述第二气体包含氟,
在所述第五工序中生成的所述第二气体的等离子体包含用于除去所述混合层的所述自由基,其中所述混合层包含硅的氮化物。
4.如权利要求3所述的方法,其特征在于,
所述第二气体为包含NF3气体和O2气体的混合气体。
5.如权利要求3所述的方法,其特征在于,
所述第二气体为包含NF3气体、O2气体、H2气体和Ar气体的混合气体。
6.如权利要求3所述的方法,其特征在于,
所述第二气体为包含CH3F气体、O2气体和Ar气体的混合气体。
7.如权利要求1~6中任一项所述的方法,其特征在于,
所述膜包括第一膜和第二膜,
所述第一工序包括:
第七工序,在所述孔的内表面形成所述第一膜;和
第八工序,在所述第一膜上形成所述第二膜,
所述第一膜与所述第二膜相比,对所述第二工序中执行的蚀刻的耐蚀刻性较低。
8.如权利要求7所述的方法,其特征在于,
所述第七工序通过反复执行包括第九工序、第十工序、第十一工序和第十二工序的第三流程来形成所述第一膜,其中,
第九工序对收纳有所述被处理体的等离子体处理装置的处理容器内供给第三气体,
第十工序在执行所述第九工序后,对所述处理容器内的空间进行吹扫,
第十一工序在执行所述第十工序后,在所述处理容器内生成第四气体的等离子体,
第十二工序在执行所述第十一工序后,对所述处理容器内的空间进行吹扫,
所述第八工序使用等离子体CVD法形成所述第二膜,
所述第三气体包含氨基硅烷类气体,
所述第四气体包含含有氧原子的气体,
在所述第九工序中不生成所述第三气体的等离子体。
9.如权利要求8所述的方法,其特征在于,
所述第三气体包含单氨基硅烷。
10.如权利要求8所述的方法,其特征在于,
所述第三气体的氨基硅烷类气体包含具有1~3个硅原子的氨基硅烷。
11.如权利要求8或10所述的方法,其特征在于:
所述第三气体的胺基硅烷类气体包含具有1~3个氨基的氨基硅烷。
12.一种处理被处理体的方法,在该被处理体的表面设有多个孔,该方法的特征在于:
包括第一流程,该第一流程包括:
使用等离子体CVD法在所述孔的内表面形成膜的第一工序;和
各向同性地对所述膜进行蚀刻的第二工序,
所述膜包括第一膜和形成在该第一膜之上的第二膜,
所述第一膜与所述第二膜相比,对所述第二工序中执行的蚀刻的耐蚀刻性较低。
13.如权利要求12所述的方法,其特征在于:
所述第一膜和所述第二膜各自是含硅膜、含硼膜、金属膜和含碳膜中的任一种膜。
CN201810971378.4A 2017-08-25 2018-08-24 处理被处理体的方法 Active CN109427561B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2017-162600 2017-08-25
JP2017162600A JP6913569B2 (ja) 2017-08-25 2017-08-25 被処理体を処理する方法

Publications (2)

Publication Number Publication Date
CN109427561A true CN109427561A (zh) 2019-03-05
CN109427561B CN109427561B (zh) 2023-07-07

Family

ID=65437681

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201810971378.4A Active CN109427561B (zh) 2017-08-25 2018-08-24 处理被处理体的方法

Country Status (5)

Country Link
US (2) US10559472B2 (zh)
JP (1) JP6913569B2 (zh)
KR (1) KR20190022389A (zh)
CN (1) CN109427561B (zh)
TW (2) TWI785095B (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7071175B2 (ja) * 2017-04-18 2022-05-18 東京エレクトロン株式会社 被処理体を処理する方法
JP7066565B2 (ja) * 2018-07-27 2022-05-13 東京エレクトロン株式会社 プラズマ処理方法およびプラズマ処理装置
US10950428B1 (en) * 2019-08-30 2021-03-16 Mattson Technology, Inc. Method for processing a workpiece
WO2021050308A1 (en) * 2019-09-12 2021-03-18 Applied Materials, Inc. Repulsion mesh and deposition methods
JP2022029546A (ja) * 2020-08-05 2022-02-18 キオクシア株式会社 半導体記憶装置及びその製造方法

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020000422A1 (en) * 1999-06-29 2002-01-03 Micron Technology, Inc. Etching methods and apparatus and substrate assemblies produced therewith
US20050070111A1 (en) * 2003-09-29 2005-03-31 Tokyo Electron Limited Etching method and computer storage medium storing program for controlling same
US20070232071A1 (en) * 2006-03-31 2007-10-04 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US20070243693A1 (en) * 2006-04-17 2007-10-18 Applied Materials, Inc. Integrated process modulation (ipm) a novel solution for gapfill with hdp-cvd
CN101506951A (zh) * 2006-08-25 2009-08-12 东京毅力科创株式会社 蚀刻方法、蚀刻装置、计算机程序以及记录介质
CN104303274A (zh) * 2012-06-15 2015-01-21 东京毅力科创株式会社 等离子体蚀刻方法及等离子体处理装置
CN104465365A (zh) * 2013-09-24 2015-03-25 东京毅力科创株式会社 等离子体处理方法
US20150332929A1 (en) * 2014-05-15 2015-11-19 Tokyo Electron Limited Plasma etching method and plasma etching apparatus

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8133797B2 (en) * 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
JP2010283213A (ja) * 2009-06-05 2010-12-16 Tokyo Electron Ltd 基板処理方法
US8728956B2 (en) * 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US20150357232A1 (en) * 2013-01-22 2015-12-10 Ps4 Luxco S.A.R.L. Method for manufacturing semiconductor device
US9378971B1 (en) * 2014-12-04 2016-06-28 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9190478B2 (en) * 2013-12-22 2015-11-17 Alpha And Omega Semiconductor Incorporated Method for forming dual oxide trench gate power MOSFET using oxide filled trench
JP2016058590A (ja) * 2014-09-11 2016-04-21 株式会社日立ハイテクノロジーズ プラズマ処理方法
US9425041B2 (en) * 2015-01-06 2016-08-23 Lam Research Corporation Isotropic atomic layer etch for silicon oxides using no activation
JP6559430B2 (ja) * 2015-01-30 2019-08-14 東京エレクトロン株式会社 被処理体を処理する方法
US9922839B2 (en) 2015-06-23 2018-03-20 Lam Research Corporation Low roughness EUV lithography

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020000422A1 (en) * 1999-06-29 2002-01-03 Micron Technology, Inc. Etching methods and apparatus and substrate assemblies produced therewith
US20050070111A1 (en) * 2003-09-29 2005-03-31 Tokyo Electron Limited Etching method and computer storage medium storing program for controlling same
US20070232071A1 (en) * 2006-03-31 2007-10-04 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US20070243693A1 (en) * 2006-04-17 2007-10-18 Applied Materials, Inc. Integrated process modulation (ipm) a novel solution for gapfill with hdp-cvd
CN101506951A (zh) * 2006-08-25 2009-08-12 东京毅力科创株式会社 蚀刻方法、蚀刻装置、计算机程序以及记录介质
CN104303274A (zh) * 2012-06-15 2015-01-21 东京毅力科创株式会社 等离子体蚀刻方法及等离子体处理装置
CN104465365A (zh) * 2013-09-24 2015-03-25 东京毅力科创株式会社 等离子体处理方法
US20150332929A1 (en) * 2014-05-15 2015-11-19 Tokyo Electron Limited Plasma etching method and plasma etching apparatus

Also Published As

Publication number Publication date
JP2019041020A (ja) 2019-03-14
TWI785095B (zh) 2022-12-01
US20190067019A1 (en) 2019-02-28
US20200135480A1 (en) 2020-04-30
TW202305153A (zh) 2023-02-01
JP6913569B2 (ja) 2021-08-04
TW201920716A (zh) 2019-06-01
CN109427561B (zh) 2023-07-07
US10559472B2 (en) 2020-02-11
KR20190022389A (ko) 2019-03-06
US11133192B2 (en) 2021-09-28

Similar Documents

Publication Publication Date Title
CN109427561A (zh) 处理被处理体的方法
CN108735675B (zh) 氧化硅的选择性沉积
KR102363052B1 (ko) 피처리체를 처리하는 방법
US10504745B2 (en) Method for processing target object
KR20170041154A (ko) 피처리체의 처리 방법
CN108878285A (zh) 蚀刻方法
JP5473962B2 (ja) パターン形成方法及び半導体装置の製造方法
US9412584B2 (en) Method of manufacturing a thin film having a high tolerance to etching and non-transitory computer-readable recording medium
US20220254635A1 (en) Workpiece processing method
US10688538B2 (en) Aluminum fluoride mitigation by plasma treatment
US20210327719A1 (en) Method for processing workpiece
CN113811637A (zh) 利用可独立调整的基座的多站半导体处理
US20220235463A1 (en) SixNy AS A NUCLEATION LAYER FOR SiCxOy
TW202302900A (zh) 用於形成包括氮化矽之圖案化結構的方法及系統及利用方法形成的裝置結構
CN117577524A (zh) 蚀刻方法和等离子体处理装置

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant