TW202236471A - 在元件製造期間對多層堆疊的前饋控制 - Google Patents

在元件製造期間對多層堆疊的前饋控制 Download PDF

Info

Publication number
TW202236471A
TW202236471A TW110143320A TW110143320A TW202236471A TW 202236471 A TW202236471 A TW 202236471A TW 110143320 A TW110143320 A TW 110143320A TW 110143320 A TW110143320 A TW 110143320A TW 202236471 A TW202236471 A TW 202236471A
Authority
TW
Taiwan
Prior art keywords
layer
thickness
multilayer stack
target
substrate
Prior art date
Application number
TW110143320A
Other languages
English (en)
Inventor
普瑞亞達爾西 潘達
磊 連
蘭納德麥克 泰迪許
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202236471A publication Critical patent/TW202236471A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/04Architecture, e.g. interconnection topology
    • G06N3/045Combinations of networks
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/04Architecture, e.g. interconnection topology
    • G06N3/0464Convolutional networks [CNN, ConvNet]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/08Learning methods
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/08Learning methods
    • G06N3/084Backpropagation, e.g. using gradient descent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/482Bit lines

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Biophysics (AREA)
  • Computing Systems (AREA)
  • Biomedical Technology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Computational Linguistics (AREA)
  • Data Mining & Analysis (AREA)
  • Evolutionary Computation (AREA)
  • General Health & Medical Sciences (AREA)
  • Molecular Biology (AREA)
  • Artificial Intelligence (AREA)
  • General Engineering & Computer Science (AREA)
  • Mathematical Physics (AREA)
  • Software Systems (AREA)
  • Health & Medical Sciences (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Length Measuring Devices By Optical Means (AREA)

Abstract

一種在基板上形成多層堆疊之方法包括:在第一製程腔室中使用第一沉積製程處理基板以在該基板上沉積多層堆疊之第一層;自第一製程腔室移除基板;使用光學感測器量測第一層之第一厚度;基於第一層之第一厚度決定多層堆疊之第二層的目標第二厚度;決定將實現第二層之第二目標厚度的第二沉積製程之一或更多個製程參數值;及在第二製程腔室中使用具有該一或更多個製程參數值之第二沉積製程處理基板以在第一層之上沉積多層堆疊之大致具有目標第二厚度的第二層。

Description

在元件製造期間對多層堆疊的前饋控制
本揭示案之實施例係關於在元件製造期間對多層堆疊的前饋控制。實施例另外係關於基於在多製程製造序列中之上游製程之後所執行的光學量測對多製程製造序列中之下游製程的前饋控制。
為了開發製造製程序列以在基板上形成部件,工程師將執行一或更多個實驗設計(designs of experiment; DoE)以決定要在製造製程序列中執行之一系列製程中的每一製程之製程參數值。對於DoE而言,大體藉由使用每一製造製程之不同製程參數值來處理基板而針對製造製程中的每一者測試多個不同製程參數值。接著在下線(end-of-line)測試包括在製造製程序列期間沉積及/或蝕刻之一或更多個層的元件或部件,其中下線對應於部件或元件的完成。此種測試導致決定一或更多個下線效能指標值。DoE(s)的結果可用以決定製造製程序列中之製造製程中的一或更多者之製程參數的目標製程參數值,及/或決定藉由製造製程序列中之製造製程中的一或更多者沉積及/或蝕刻之層的目標層性質(本文中亦稱作膜性質)。
一旦決定了目標製程參數值及/或目標層性質,則將可根據製造製程序列來處理基板,其中將基於DoE的結果所決定之預定製程參數值及/或層性質用於製造製程序列中之每一製程。工程師接著預期經處理之基板具有與在DoE期間所處理之基板的彼些性質類似之性質,並進一步預期包括藉由製造製程序列形成之層的已製造元件或部件具有目標下線效能指標值。然而,在DoE期間決定之膜性質與產品基板上之膜的膜性質之間通常存在變化,此會導致下線效能指標值改變。另外,每一製程腔室可能與其他製程腔室略微不同,且可能產生具有不同膜性質之膜。此外,製程腔室可能隨時間而改變,從而導致由彼些製程腔室產生之膜亦隨時間而改變,即便使用同一製程配方亦如此。
本文所述實施例中之一些涵蓋一種基板處理系統,其包括至少一個移送腔室;連接至該至少一個移送腔室之第一製程腔室;連接至該至少一個移送腔室之第二製程腔室;光學感測器,其經配置以在第一層已沉積在基板上之後在第一層上執行光學量測;及計算設備,其以可操作方式連接至第一製程腔室、第二製程腔室、移送腔室或光學感測器中之至少一者。第一製程腔室經配置以執行第一製程以在基板上沉積多層堆疊之第一層,且第二製程腔室經配置以執行第二製程以在基板上沉積多層堆疊之第二層。該計算設備用以當已在基板上執行了第一製程之後接收第一層之第一光學量測,其中該第一光學量測指示第一層之第一厚度;基於第一層之第一厚度決定多層堆疊之第二層的目標第二厚度;及使第二製程腔室執行第二製程以將大致具有目標第二厚度之第二層沉積至第一層上。
在額外或相關實施例中,一種方法包括在第一製程腔室中使用第一沉積製程處理基板以在該基板上沉積多層堆疊之第一層;自第一製程腔室移除基板;使用光學感測器量測第一層之第一厚度;基於第一層之第一厚度決定多層堆疊之第二層的目標第二厚度;決定將實現第二層之第二目標厚度的第二沉積製程之一或更多個製程參數值;及在第二製程腔室中使用具有該一或更多個製程參數值之第二沉積製程處理基板以在第一層之上沉積多層堆疊之大致具有目標第二厚度的第二層。
在一些實施例中,一種方法包括接收或產生包括複數個資料條目之訓練資料集,該複數個資料條目中之每一資料條目包括多層堆疊之複數個層的層厚度之組合及包括該多層堆疊之元件的下線效能指標值;及基於該訓練資料集訓練機器學習模型以接收多層堆疊之單個層的厚度或至少兩個層的厚度作為輸入,且輸出多層堆疊之單個其餘層的目標厚度、多層堆疊之至少兩個其餘層的目標厚度或包括多層堆疊之元件的經預測之下線效能指標值中的至少一者。
根據本揭示案之此些及其他態樣提供了諸多其他特徵。本揭示案之其他特徵及態樣將自以下實施方式、申請專利範圍及隨附圖式變得更加顯而易見。
本文所述實施例係關於基於由製造製程序列中之一或更多個已執行製程所形成的一或更多個層之厚度量測來執行對製造製程序列中的一或更多個尚未執行製程之前饋控制的方法。在一個實施例中,使用多層堆疊之一或更多個已形成層的厚度來決定將為多層堆疊形成之一或更多個其餘層的目標厚度及/或用以實現該等目標厚度之製程參數值。在一個實施例中,使用基板上之一或更多個已形成層的厚度來決定目標製程參數值,以用於將執行以便蝕刻一或更多個已沉積層之蝕刻製程。在實施例中,使用經訓練之機器學習模型基於一或更多個層之厚度來決定將形成之(若干)額外層的厚度、將用以形成該(該等)額外層之製程參數值、將用以蝕刻已沉積層之製程參數值,及/或包括該(該等)層之元件或部件的預測下線效能指標值。實施例亦涵蓋訓練機器學習模型以基於一或更多個層厚度之輸入來決定將形成之(若干)額外層的厚度、將用以形成該(該等)額外層之製程參數值、將用以蝕刻已形成層之製程參數值,及/或包括該(該等)層之元件或部件的預測下線效能指標值。可訓練之機器學習模型的實例包括線性迴歸模型、高斯迴歸模型及神經網路(諸如,卷積神經網路)。
傳統上,執行一次性DoE以決定製造製程序列(例如,包括一系列沉積製程及/或蝕刻製程)中之每一製造製程的製程參數之配方設定點。一旦為製造製程序列中之每一製程配置了配方設定點,則為製造製程序列中之製程運行配方的每一製程腔室使用為彼製程決定之製程參數設定點,並假設在DoE時決定之膜品質及膜性質正在製造製程序列中實現。然而,製程腔室之間通常存在變化及/或製程腔室之製程參數會隨時間漂移。此些變化及/或漂移導致彼些製程腔室實現與製程配方中實際設定之彼些製程參數值不同的製程參數值。舉例而言,製造製程之製程配方可包括達200℃之目標溫度,但當設定為200℃時,第一製程腔室可實際上實現205℃之真實溫度。另外,當設定為200℃時,第二製程腔室可實際上實現196℃之真實溫度。此種與製程配方之預定製程參數值的偏差可導致使用製造製程沉積之膜的一或更多種性質與目標性質不同。舉例而言,執行同一沉積製程之兩個不同腔室可形成不同厚度之層,其中在第一基板上之層可具有高於目標厚度之厚度,且在第二基板上之層可具有低於目標厚度之厚度。該層可為用於最終形成之元件的多層堆疊中之一個層,且膜性質之此些變化可能對最終形成之元件具有不利影響。
對於多層堆疊而言,若多層堆疊中之第一層的厚度偏離目標厚度,則此偏差可導致對包括該多層堆疊之元件的不利影響。然而,若在沉積多層堆疊中的其他層之前偵測到該厚度偏差,則可調整彼些其他層中之一或更多者的目標厚度,以使最終的多層堆疊具有與倘若第一層具有其目標厚度則多層堆疊將會具有之下線效能指標值類似的下線效能指標值。類似地,若在沉積其他層之前偵測到多層堆疊中之前兩個層中的一或更多者具有偏離目標厚度之厚度,則可使用此資訊以調整多層堆疊中之一或更多個其餘層的目標厚度以提高包括該多層堆疊之元件的下線效能。在實施例中,在移送腔室、裝載閘或介層窗中安置光學感測器,且光學感測器用以在沉積製程之後量測已沉積層的厚度。可接著使用該等經量測厚度以增大包括已沉積層的元件之下線效能的方式調整將沉積額外層及/或蝕刻現有層之未來製程。
在實例中,可使用本文實施例中所述之系統及方法以提供對DRAM位元線堆疊中之一或更多個層的前饋控制。DRAM位元線堆疊可包括阻障金屬層、阻障層及位元線金屬層。感測邊限可取決於阻障金屬層、阻障層及位元線金屬層中之每一者的厚度。可訓練機器學習模型以將阻障金屬層厚度及/或阻障層厚度接收為輸入,並可輸出目標阻障層厚度及/或位元線金屬層厚度。機器學習模型可另外藉由該等輸入及/或輸出厚度值輸出包括阻障金屬層、阻障層及位元線金屬層之DRAM位元線堆疊的預測感測邊限。因此,藉由在形成每一層之後量測DRAM位元線堆疊中之層的厚度,可針對已形成層與彼些層的目標厚度之任何偏差正確地調整用以形成(若干)下一層之製程。此些調整可提高包括DRAM位元線堆疊之DRAM記憶體模組的感測邊限。同一技術亦適用於任何其他類型之多層堆疊以改良其他下線效能指標,諸如,元件的電學性質。
在實施例中,計算設備分析多層堆疊之層並執行堆疊位準最佳化。舉例而言,可使用堆疊位準資訊以最佳化包括多層堆疊之元件的電源效能區域及成本(power performance area and cost; PPAC)。可使用來自一或更多個先前單元製程之資訊為一個單元製程作出前饋決策。與最佳化個別製程相反,處理邏輯可使用來自多個單元製程之複雜光譜作為對一或更多個已形成的ML模型之輸入,從而使得能夠最佳化整個堆疊之行為。
現參考諸圖,第1A圖為根據本揭示案之至少一些實施例的群集工具100(亦稱作系統或製造系統)之圖式,其經配置用於基板製造,例如,後期多插塞製造、DRAM位元線形成、三維(3D)NAND形成(例如,ONON閘極形成及/或OPOP閘極形成),等。群集工具100包括一或更多個真空移送腔室(vacuum transfer chamber; VTM)101、102、工廠介面104、複數個處理腔室/模組106、108、110、112、114、116及118,及製程控制器120(控制器)。伺服器計算設備145亦可連接至群集工具100(例如,連接至群集工具100之控制器120)。在具有一個以上VTM之實施例中(諸如第1A圖中所示),可提供一或更多個直通腔室(稱作介層窗)以促進自一個VTM至另一VTM之真空移送。在與第1A圖中所示一致之實施例中,可提供兩個直通腔室(例如,直通腔室140及直通腔室142)。
工廠介面104包括裝載埠122,該裝載埠122經配置以(例如)自前開式晶圓傳送盒(front opening unified pod; FOUP)或其他適當的含基板之箱或載體接收待使用群集工具100處理之一或更多個基板。裝載埠122可包括可用於裝載一或更多個基板之一個或多個裝載區域124a~124c。示出三個裝載區域。然而,可使用更多個或更少個裝載區域。
工廠介面104包括大氣移送模組(atmospheric transfer module; ATM)126,其用以移送已裝載至裝載埠122中之基板。更特定而言,ATM 126包括一或更多個機械臂128(以虛線示出),該一或更多個機械臂128經配置以經由連接ATM 126與裝載埠122之門135(以虛線示出,亦稱作狹縫閥)將基板自裝載區域124a~124c移送至ATM 126。通常每一裝載埠(124a~124c)有一個門,以允許自相應裝載埠至ATM 126之基板移送。機械臂128亦經配置以經由連接ATM 126與氣閘130a、130b之門132(以虛線示出,每一裝載閘有一個門)將基板自ATM 126移送至裝載閘130a、130b。裝載閘之數目可多於或少於兩個,但僅出於說明目的示出兩個裝載閘(130a及130b),其中每一裝載閘具有用以將其連接至ATM 126之門。裝載閘130a~130b可為批量裝載閘或可並非批量裝載閘。
在控制器120的控制下,裝載閘130a、130b可維持在大氣壓環境或真空壓力環境下,並充當用於待移送至VTM 101、102/自VTM 101、102移送之基板的中間或臨時保持空間。VTM 101包括機械臂138(以虛線示出),該機械臂138經配置以將基板自裝載閘130a、130b移送至複數個處理腔室106、108(亦稱作製程腔室)中之一或更多者,或移送至一或更多個直通腔室140及142(亦稱作介層窗),而不會破壞真空,亦即,同時維持VTM 102及複數個處理腔室106、108以及直通腔室140及142內之真空壓力環境。VTM 102包括機械臂138(以虛線示出),該機械臂138經配置以將基板自氣閘130a、130b移送至複數個處理腔室106、108、110、112、114、116及118中之一或更多者,而不會破壞真空,亦即,同時維持VTM 102及複數個處理腔室106、108、110、112、114、116及118內之真空壓力環境。
在某些實施例中,可省去裝載閘130a、130b,且控制器120可經配置以將基板直接自ATM 126移動至VTM 102。
門134(例如,狹縫閥門)將每一相應裝載閘130a、130b連接至VTM 101。類似地,門136(例如,狹縫閥門)將每一處理模組連接至與相應處理模組耦接之VTM(例如,VTM 101或VTM 102)。複數個處理腔室106、108、110、112、114、116及118經配置以執行一或更多個製程。可由處理腔室106、108、110、112、114、116及118中之一或更多者執行之製程的實例包括清潔製程(例如,自基板移除表面氧化物之預清潔製程)、退火製程、沉積製程(例如,用於沉積帽層、硬遮罩層、阻障層、位元線金屬層、阻障金屬層,等)、蝕刻製程,等等。可由製程腔室中之一或更多者執行之沉積製程的實例包括物理氣相沉積(physical vapor deposition; PVD)、化學氣相沉積(chemical vapor deposition; CVD)、原子層沉積(atomic layer deposition; ALD),等等。可由製程腔室中之一或更多者執行之蝕刻製程的實例包括電漿蝕刻製程。在一個實例實施例中,製程腔室106、108、110、112、114、116及118經配置以執行通常與後期多插塞製造序列及/或動態隨機存取記憶體(dynamic random-access memory; DRAM)位元線堆疊製造序列相關聯之製程。在一個實例實施例中,製程腔室106、108、110、112、114、116及118經配置以執行通常與3D NAND形成序列相關聯之製程以(諸如)形成ONON閘或OPOP閘,該等製程可包括用於沉積絕緣體及導體(例如,SiO 2及SiN,或SiO 2及多晶矽)之交替層的多層堆疊之製程。
在實施例中,群集工具100之部件中的一或更多者包括光學感測器147a、147b,其經配置以量測基板上的諸如層或膜厚度之性質。在一個實施例中,光學感測器147a安置在介層窗140中,且光學感測器147b安置在介層窗147b中。替代地或另外,一或更多個光學感測器147a~147b可安置在VTM 102及/或VTM 101內。替代地或另外,一或更多個光學感測器147a~147b可安置在裝載閘130a及/或裝載閘130b中。替代地或另外,一或更多個光學感測器147a~147b可安置在製程腔室106、108、110、112、114、116及118中之一或更多者中。(若干)光學感測器147a~147b可經配置以量測沉積於基板上之層的膜厚度。在一個實施例中,光學感測器147a~147b對應於第3圖之光學感測器300。在一些實施例中,當在基板上形成了多層堆疊中的每個層之後,光學感測器147a~147b量測膜厚度。(若干)光學感測器147a~147b可量測製造製程序列中的製程之間的膜厚度,且可用以通知關於如何在製造製程序列中執行進一步製程之決策。在實施例中,可在基板上執行指示膜厚度之光學量測,而無需自真空環境中移除基板。
控制器120(例如,工具及設備控制器)可控制群集工具100之各種態樣,例如,處理腔室中之氣壓、個別氣流、空間流動速率、各種製程腔室中之電漿功率、各種腔室部件之溫度、處理腔室之射頻(radio frequency; RF)或電學狀態,等等。控制器120可自群集工具100之部件中的任一者接收信號並將命令發送至群集工具100之部件中的任一者,諸如,機械臂128、138、製程腔室106、108、110、112、114、116及118、裝載閘130a~130b、狹縫閥門、光學感測器147a~147b及/或一或更多個其他感測器,及/或群集工具100之其他處理部件。控制器120可因此控制處理的起始及停止,可調整沉積速率及/或目標層厚度,可調整製程溫度,可調整沉積組成分之類型或混合物,可調整蝕刻速率,及其類似者。控制器120可進一步自各種感測器(例如,光學感測器147a~147b)接收並處理量測資料(例如,光學量測資料)且基於此量測資料作出決策。
在各種實施例中,控制器120可為計算設備及/或包括計算設備,諸如,個人電腦、伺服器電腦、可程式化邏輯控制器(programmable logic controller; PLC)、微控制器,等等。控制器120可包括(或係)一或更多個處理元件,其可為通用處理元件,諸如,微處理器、中央處理單元,或其類似者。更特定而言,處理元件可為複雜指令集計算(complex instruction set computing; CISC)微處理器、精簡指令集計算(reduced instruction set computing; RISC)微處理器、超長指令字(very long instruction word; VLIW)微處理器,或實施其他指令集之處理器或實施指令集的組合之處理器。處理元件亦可為一或更多個專用處理元件,諸如,特殊應用積體電路(application specific integrated circuit; ASIC)、現場可程式化閘陣列(field programmable gate array; FPGA)、數位信號處理器(digital signal processor; DSP)、網路處理器,或其類似者。控制器120可包括資料儲存元件(例如,一或更多個磁碟驅動器及/或固態驅動器)、主記憶體、靜態記憶體、網路介面,及/或其他部件。控制器120之處理元件可執行指令以執行本文所述之方法及/或實施例中的任何一或更多者。可將指令儲存在電腦可讀儲存媒體上,其可包括主記憶體、靜態記憶體、次要儲存及/或處理元件(在指令執行期間)。
在一個實施例中,控制器120包括前饋引擎121。前饋引擎121可以硬體、韌體、軟體或其組合來實施。前饋引擎121經配置以接收並處理光學量測資料,視情況包括由光學感測器(諸如,光譜儀)執行之反射量測結果。在基板上形成層之後及/或在基板上的層經蝕刻之後,前饋引擎121可計算光學量測資料(例如,反射量測信號)以決定層的一或更多個目標厚度值及/或其他目標性質。前饋引擎121可進一步決定多層堆疊之一或更多個額外層的經更新之目標厚度及/或其他目標性質,可決定待用於用於形成具有經更新之目標厚度及/或其他性質的層之製程的目標製程參數值,可決定待用於蝕刻一或更多個層之製程的目標製程參數值,及/或可預測包括該層的元件或部件之一或更多個下線效能指標值。可量測之下線效能指標值的實例包括信號邊限、良率、電壓、功率、元件操作速度、元件潛時及/或其他效能變數。
在一個實施例中,前饋引擎121包括預測模型123,該預測模型123可使一或更多個層之膜厚度及/或其他膜性質與下線效能指標之預測值相關。預測模型123可另外或替代地基於一或更多個已沉積層之厚度及/或其他層性質的輸入來輸出用於待沉積層之推薦的目標層厚度及/或其他目標層性質。另外或替代地,預測模型123可輸出製造製程序列中之一或更多個尚未執行的製程之製程參數的目標製程參數值。舉例而言,該等尚未執行之製程可為沉積製程及/或蝕刻製程。在一個實施例中,預測模型123為經訓練之機器學習模型,諸如,神經網路、高斯迴歸模型或線性迴歸模型。
前饋引擎121可將一或更多個已形成層之已量測厚度及/或其他層性質輸入至預測模型123中,且可接收為一或更多個額外層之輸出目標厚度及/或其他目標層性質、用於實現目標厚度之目標製程參數值、用於將在一或更多個層上執行之蝕刻製程的目標製程參數值,及/或下線效能指標之預測值。其後,可基於預測模型123之輸出調整將執行以形成額外層及/或蝕刻一或更多個層的製程配方。因此,前饋引擎121能夠在製造製程期間(亦即,在到達下線之前)預測下線問題,並進一步能夠調整製造製程序列中之尚未執行的製程之一或更多個製程配方以避免已預測的下線問題。
在實例中,製程腔室106、108、110、112、114、116及118中之第一者可為沉積阻障金屬層之沉積腔室,該等製程腔室中之第二者可為沉積阻障層之沉積腔室,且該等製程腔室中之第三者可為沉積位元線金屬層之腔室。製造製程序列可包括用於沉積阻障金屬層之第一製程配方、用於沉積阻障層之第二製程配方及用於沉積位元線金屬層之第三製程配方。該等製程配方中之每一者可與相應製程配方要實現之目標層厚度相關聯。第一沉積腔室可執行製程配方以沉積阻障金屬層。(若干)光學感測器147a~147b可用以量測阻障金屬層之厚度。前饋引擎121可接著決定已量測厚度偏離阻障金屬層之目標厚度。前饋引擎121可使用預測模型123以基於阻障金屬層之已量測厚度決定阻障層及/或位元線金屬層之新的目標厚度。舉例而言,若阻障金屬層太厚,則可相應地(例如,藉由增大及/或減小阻障層及位元線金屬層目標厚度中之一者或兩者)調整阻障層厚度及/或位元線金屬層厚度。可決定用於形成阻障層之製程配方的新製程參數值,且第二製程腔室可執行經調整之製程配方以形成具有新目標厚度之阻障層。
可藉由光學感測器147a~147b再次量測基板以決定阻障層之厚度。可接著將阻障金屬層之厚度及阻障層之厚度與此兩個層之目標厚度進行比較,以決定與目標厚度之任何偏差。若識別到任何此種偏差,則前饋引擎121可調整位元線金屬層之目標厚度。前饋引擎121可使用預測模型123以基於阻障金屬層及阻障層之已量測厚度決定位元線金屬層之新的目標厚度。舉例而言,若阻障金屬層太厚且阻障層太薄,則可相應地(例如,藉由增大及/或減小阻障層及位元線金屬層目標厚度中之一者或兩者)調整阻障層厚度及/或位元線金屬層厚度。可決定用於形成金屬位元線層之製程配方的新製程參數值,且第三製程腔室可執行經調整之製程配方以形成具有新目標厚度之金屬位元線層。
可藉由光學感測器147a~147b再次量測基板以決定金屬位元線層之厚度。可接著由前饋引擎121使用金屬阻障層、阻障層及金屬位元線層之厚度以預測下線效能指標之值。若預測值偏離規範,則可作出報廢基板之決定,而非花費額外資源來完成預測無法通過最終檢查之元件或部件的製造。另外或替代地,若下線效能指標值低於效能閾值,則沉積過厚或過薄之層的製程腔室可能會停止工作及/或被排程以進行維護。因此,前饋引擎121可對製程腔室之健康狀況執行診斷並排程該製程腔室以在適當時進行維護。
控制器120可以可操作方式連接至伺服器145。伺服器145可係或包括用作與製造設施中之一些或全部工具介面連接的工廠車間伺服器之計算設備。伺服器145可將指令發送至一或更多個群集工具(諸如,群集工具100)之控制器。舉例而言,伺服器145可自群集工具100之控制器120接收信號並將命令發送至該控制器120。
在各種實施例中,伺服器145可係及/或包括計算設備,諸如,個人電腦、伺服器電腦、可程式化邏輯控制器(PLC)、微控制器,等等。伺服器145可包括(或為)一或更多個處理元件,其可為通用處理元件,諸如,微處理器、中央處理單元,或其類似者。更特定而言,處理元件可為複雜指令集計算(CISC)微處理器、精簡指令集計算(RISC)微處理器、超長指令字(VLIW)微處理器,或實施其他指令集之處理器或實施指令集的組合之處理器。處理元件亦可為一或更多個專用處理元件,諸如,特殊應用積體電路(ASIC)、現場可程式化閘陣列(FPGA)、數位信號處理器(DSP)、網路處理器,或其類似者。伺服器145可包括資料儲存元件(例如,一或更多個磁碟驅動器及/或固態驅動器)、主記憶體、靜態記憶體、網路介面,及/或其他部件。伺服器145之處理元件可執行指令以執行本文所述之方法及/或實施例中的任何一或更多者。可將指令儲存在電腦可讀儲存媒體上,其可包括主記憶體、靜態記憶體、次要儲存及/或處理元件(在指令執行期間)。
在一些實施例中,伺服器145包括前饋引擎121及預測模型123。除了包括前饋引擎121及預測模型123之控制器120以外或替代於該控制器120,伺服器145可包括前饋引擎121及預測模型123。在一些實施例中,控制器120及/或伺服器145對應於第10圖之計算設備1000。
在一些情況下,可在第一群集工具(例如,群集工具100)中對基板執行一或更多個製程以在基板上形成一或更多個膜,且可在另一群集工具中對基板執行一或更多個製程(例如,視情況當在基板上執行了微影製程之後執行的蝕刻製程)。可在第一群集工具及/或第二群集工具中執行光學量測以決定預測的下線效能及/或對將在基板上執行之一或更多個其他製程作出調整。在此實施例中,伺服器145可與兩個群集工具之控制器通訊,以基於經由製造製程序列中已執行的製程在基板上形成之一或更多個層的已量測厚度來協調對製造製程序列中尚未執行的一或更多個製程之前饋控制。
第1B圖為根據本揭示案之至少一些實施例的經配置用於基板製造(例如,後期多插塞製造)之群集工具150的圖式。群集工具150包括真空移送腔室(VTM)160、工廠介面164、複數個腔室/模組152、154、156(其中一些或全部可為製程腔室)及控制器170。伺服器計算設備145亦可連接至群集工具150(例如,連接至群集工具150之控制器170)。
工廠介面164包括一或更多個裝載埠,其經配置以(例如)自前開式晶圓傳送盒(FOUP)166a、166b或其他適當的含基板之箱或載體接收待使用群集工具150處理之一或更多個基板。
工廠介面164包括大氣移送模組(ATM),其用以移送已裝載至裝載埠中之基板。更特定而言,ATM包括一或更多個機械臂,其經配置以經由將ATM連接至裝載埠而將基板自裝載區域移送至ATM。機械臂亦經配置以經由將ATM連接至裝載閘158a~158b之門將基板自ATM移送至裝載閘158a~158b。在控制器170的控制下,裝載閘158aa~158b可維持在大氣壓環境或真空壓力環境下,並充當用於正移送至VTM 160/正自VTM 160移送之基板的中間或臨時保持空間。VTM 160包括機械臂162,其經配置以將基板自裝載閘158aa~158b移送至複數個處理腔室152、154、156中之一或更多者,而不會破壞真空,亦即,同時維持VTM 160及複數個腔室15、154、156內之真空壓力環境。
在所繪示實施例中,光學感測器157a~157b分別安置在裝載閘158a~158b中,用於對通過裝載閘158a~158b之基板執行光學量測。替代地或另外,一或更多個光學感測器可安置在VTM 160中及/或腔室152、154、156中之一者中。
控制器170(例如,工具及設備控制器)可控制群集工具150之各種態樣,例如,處理腔室中之氣壓、個別氣流、空間流動速率、各種腔室部件之溫度、處理腔室之射頻(RF)或電學狀態,等等。控制器170可自群集工具150之部件中的任一者接收信號並將命令發送至群集工具150之部件中的任一者,諸如,機械臂162、製程腔室152、154、156、裝載閘158a~158b、光學感測器157a~157b、狹縫閥門、一或更多個感測器,及/或群集工具100之其他處理部件。控制器170可因此控制處理之起始及停止,可調整沉積速率、沉積成分之類型及混合物、蝕刻速率及其類似者。控制器170可進一步自各種感測器(諸如,光學感測器157a~157b)接收並處理量測資料(例如,光學量測資料)。控制器170可大體上類似於第1A圖之控制器120,且可包括前饋引擎121(例如,該前饋引擎121可包括預測模型123)。
控制器170可以可操作方式連接至伺服器145,該伺服器145亦可以可操作方式連接至第1A圖之控制器120。
在實例中,藉由群集工具100之各種製程腔室106、116、118、114、110、112、108在基板上執行一或更多個製程以在基板上形成一或更多個層。可使用(若干)光學感測器147a~147b量測一或多個個層之厚度。該已量測厚度可由前饋引擎121用以決定一或更多個待沉積層之層厚度、用於形成待沉積層之製程的製程參數及/或用以蝕刻已沉積層之製程的製程參數值。可接著自群集工具100移除基板並將其放置在微影工具中以圖案化基板上之遮罩層。可接著將基板放置至群集工具150中。可接著藉由群集工具150之製程腔室152、154、156中的一或更多者在基板上執行一或更多個蝕刻製程以蝕刻一或更多個膜。蝕刻製程之一或更多個目標製程參數值可能已由前饋引擎121基於(若干)已沉積層之一或更多個已量測厚度來輸出。替代地或另外,可藉由群集工具150之製程腔室152、154、156中的一或更多者在基板上執行一或更多個沉積製程以沉積多層堆疊之一或更多個層。此些膜之目標厚度可能已由前饋引擎121基於(若干)已沉積層之一或更多個已量測厚度來輸出。
在一個實施例中,群集工具100及/或群集工具150之製程腔室經配置以執行一或更多個DRAM位元線堆疊製程(例如,用於後期多插塞製造)。替代地,群集工具100及/或群集工具150可經配置以執行其他製程,諸如,3D NAND沉積製程。
第2A圖為根據實施例之對DRAM位元線形成製程中的一或更多個製程執行前饋控制之方法220的流程圖。第2B圖示出根據實施例之基板200的一部分之示意性側視圖,該基板200包括多插塞202、DRAM位元線堆疊201(包括阻障金屬204、阻障層206及位元線金屬層208)及硬遮罩層210。多插塞202可能已形成在群集工具100之外。根據方法220,DRAM位元線堆疊201可在不破壞DRAM位元線堆疊201之各種層的沉積之間的真空的情況下形成在群集工具100內部。
在方法220之操作225處,可經由裝載區域124a~124c中之一或更多者將基板200裝載至裝載埠122中。在控制器120的控制下,ATM 126之機械臂128可將具有多插塞202之基板200自裝載區域124a移送至ATM 126。機械臂128可接著將基板200放置至裝載閘130a~130b中,且裝載閘可在控制器120的控制下被抽空至真空。控制器120可接著指示機械臂138將基板300移送至處理腔室中之一或更多者,以使得可完成基板200的製造—亦即,完成在基板200上之多插塞202頂上的位元線堆疊製程。
在操作230處,在控制器120的控制下,機械臂138可自裝載閘130a~130b擷取基板200並將基板放置至預清潔腔室(例如,製程腔室106)中。可在不破壞真空的情況下(亦即,在將基板200移送至預清潔腔室的同時在VTM 101及VTM 102內維持真空壓力環境)執行基板200自裝載閘至製程腔室106之移送。處理腔室106可用以執行一或更多個預清潔製程,以移除可能存在於基板200上之污染物,例如,可能存在於基板200上之天然氧化物。
在操作235處,控制器120打開門136並指示機械臂138將基板200移送至下一處理腔室,該下一處理腔室可為阻障金屬沉積腔室,諸如,製程腔室108。可在不破壞真空的情況下執行基板200自製程腔室106至製程腔室108之移送。製程腔室接著執行沉積製程以在多插塞202之上形成阻障金屬層204。舉例而言,阻障金屬可為鈦(Ti)或鉭(Ta)中之一者。
在操作240處,控制器120指示機械臂138自製程腔室108移除基板200並指示光學感測器147a~147b產生阻障金屬層204之光學量測以決定阻障金屬層204之厚度。舉例而言,控制器120可指示機械臂138在真空下將基板自處理腔室108移送至直通腔室140、142中之任一者。控制器120可指示光學感測器147a~147b在基板200處在直通腔室140、142中的同時產生阻障金屬層204之光學量測。
在操作245處,控制器120基於阻障金屬層202之已量測厚度決定阻障層206之目標厚度。另外,控制器120可決定位元線金屬層208之目標厚度。舉例而言,可使用前饋引擎121及/或經訓練之機器學習模型(諸如,預測模型123)決定阻障層及/或阻障金屬層之目標厚度。可在不對基板200破壞真空的情況下執行操作240、245。
在一個實施例中,在操作250處,控制器120指示機械臂139在不破壞真空的情況下將基板200移送至另一製程腔室(例如,製程腔室116),並指示製程腔室對阻障金屬層204執行退火操作。在一些實施例中,可在操作250之後執行操作240及/或245。該退火製程可為任何適當退火製程,諸如,快速熱處理(rapid thermal processing; RTP)退火。
在操作255處,控制器120可指示機械臂139在不破壞真空的情況下將基板200自直通腔室140、142或自退火製程腔室(例如,製程腔室116)移送至阻障層沉積腔室(例如,製程腔室110)。舉例而言,處理腔室110可經配置以在基板200上執行阻障層沉積製程(例如,在阻障金屬層204頂上沉積阻障層206)。舉例而言,阻障層206可為氮化鈦(TiN)、氮化鉭(TaN)或氮化鎢(WN)中之一者。
在操作260處,控制器120指示機械臂138或機械臂139自阻障層沉積腔室移除基板200並指示光學感測器147a~147b產生阻障層206之光學量測以決定阻障層206之厚度。舉例而言,控制器120可指示機械臂139在真空下將基板自處理腔室108移送至直通腔室140、142中之任一者。控制器120可指示光學感測器147a~147b在基板200處在直通腔室140、142中的同時產生阻障層206之光學量測。
在操作265處,控制器120基於阻障層206之已量測厚度及阻障金屬層204之已量測厚度決定位元線金屬層208之目標厚度。舉例而言,可使用前饋引擎121及/或經訓練之機器學習模型(諸如,預測模型123)決定位元線金屬層208之目標厚度。可在不對基板200破壞真空的情況下執行操作260、265。
在操作270處,控制器120可指示機械臂139在不破壞真空的情況下將基板200自處理腔室110傳送至(例如)位元線金屬沉積製程腔室(例如,處理腔室112)。位元線金屬沉積腔室可經配置以在基板200上執行位元線金屬沉積製程(例如,以在阻障層206頂上沉積位元線金屬層208)。舉例而言,位元線金屬層可為鎢(W)、鉬(Mo)、釕(Ru)、銥(Ir)或銠(Rh)中之一者。
在操作275處,控制器120指示機械臂139自位元線金屬層沉積腔室移除基板200並指示光學感測器147a~147b產生位元線金屬層208之光學量測以決定位元線金屬層208之厚度。舉例而言,控制器120可指示機械臂139在真空下將基板自處理腔室112移送至直通腔室140、142中之任一者。控制器120可指示光學感測器147a~147b在基板200處在直通腔室140、142中的同時產生位元線金屬層208之光學量測。
在操作280處,控制器120基於金屬位元線層208之已量測厚度、阻障層206之已量測厚度及阻障金屬層204之已量測厚度來預測下線效能指標之值。舉例而言,可使用前饋引擎121及/或經訓練之機器學習模型(諸如,預測模型123)決定下線效能指標值。可在不對基板200破壞真空的情況下執行操作275、280。
在一個實施例中,在操作285處,控制器120指示機械臂139在不破壞真空的情況下將基板200移送至退火製程腔室(例如,製程腔室116),並指示製程腔室對位元線金屬層208執行退火操作。在一些實施例中,可在操作285之後執行操作275及/或280。該退火製程可為任何適當退火製程,諸如,快速熱處理(RTP)退火。
在其中在操作285處執行退火製程之一些實施例中,在操作290處,可將經退火基板200移送至另一處理腔室,以使可選封蓋層209沉積在位元線金屬層208上。舉例而言,可在真空下(例如)使用機械臂139將包括位元線金屬層208之經退火基板200自退火腔室(例如,處理腔室116)移送至封蓋層沉積腔室(例如,處理腔室118),以在經退火之位元線金屬層208頂上沉積封蓋層。
在操作295處,控制器120可指示機械臂139在不破壞真空的情況下將基板200移送至硬遮罩沉積腔室(諸如,處理腔室114)。硬遮罩沉積腔室經配置以在基板200上執行硬遮罩沉積製程(例如,以在位元線金屬層208及/或帽層209頂上沉積硬遮罩層210)。舉例而言,硬遮罩可為氮化矽(SiN)、氧化矽(SiO)或碳化矽(SiC)中之一者。
藉由在整合式工具(例如,群集工具100)中執行以上序列中之每一者,進一步有利地避免了位元線金屬在用於晶粒生長之退火期間氧化。
在已形成DRAM位元線堆疊及硬遮罩層210之後,可自群集工具100移除基板200並使用微影工具來處理以在硬遮罩210中形成圖案。可接著將基板移送至群集工具150,該群集工具150可執行一或更多個蝕刻製程以蝕刻DRAM位元線堆疊中之一或更多個層。在一些實施例中,在操作280處,控制器120進一步基於金屬阻障層、阻障層及/或金屬位元線層之厚度決定將在DRAM位元線堆疊上執行之蝕刻製程的一或更多個製程參數值。此些製程參數值可被通訊至控制器170。控制器170可接著指示蝕刻製程腔室(例如,製程腔室152或154)使用已決定之(若干)蝕刻製程參數值來執行蝕刻製程。
與使用習知處理技術所形成之DRAM位元線堆疊相比較而言,方法200可導致具有改良的下線效能性質之DRAM位元線堆疊。
第3圖根據本揭示案之一個態樣繪示用於量測群集工具中的基板上之層的厚度之光學感測器系統300的簡化側視圖。在實施例中,該光學感測器系統可對應於(例如)第1A圖至第1B圖之光學感測器147a~147b、157a~157b。系統300可包括(例如)腔室303,該腔室303可為移送腔室(例如,VTM 101、102)、裝載閘腔室130a~130b、直通腔室140、142或群集工具之其他腔室。在一個實施例中,腔室303為附接至群集工具之小平面(例如,附接至VTM之小平面)的量測腔室。
腔室303可包括處於真空壓力下之內部體積,其可為一或更多個VTM(例如,VTM 101、102)之真空環境的一部分。腔室303可包括視窗320。視窗320可為(例如)透明晶體、玻璃或另一透明材料。該透明晶體可由透明陶瓷材料製成,或可由耐用透明材料製成,諸如,藍寶石、金剛石、石英、碳化矽或其組合。
在實施例中,系統300進一步包括光源301(例如,寬頻光源或其他電磁輻射源)、光耦合元件304(例如,準直器或反射鏡)、光譜儀325、控制器120、170及(視情況)伺服器145。光源301及光譜儀325可經由一或更多個光纖纜線332以光學方式耦合至光耦合元件304。
在各種實施例中,光耦合元件304可經調適以將光準直或以其他方式沿光學路徑在兩個方向上傳輸光。第一方向可包括來自光源301之光,其將經準直並經由視窗320傳輸至腔室303中。第二方向可為反射光,其已自基板304反射並經由回傳至光耦合元件304中之視窗320返回。反射光可聚集至光纖纜線332中且因此在第二方向上沿光學路徑被導向至光譜儀325。另外,光纖纜線332可耦接在光譜儀325與光源301之間用於在光源301與透明晶體120之間高效地移送光及將光高效地移送回光譜儀325。
在實施例中,光源發出光譜約200 nm~800 nm的光,且光譜儀325亦具有200 nm~800 nm之波長范圍。光譜儀325可經調適以偵測自光耦合元件304接收之反射光的光譜,例如,已自腔室303中之基板反射並經由視窗320返回並藉由光耦合元件304聚焦至光纖纜線332中的光。
控制器120、170可耦接至光源301、光譜儀325及腔室303。
在一個實施例中,控制器120、170可導向光源301以閃爍並自光譜儀325接收光譜。控制器120、170亦可保持光源關斷並在光源301關斷時自光譜儀325接收第二光譜。控制器120、170可自第一光譜減去第二光譜以決定某一時刻之反射量測信號。控制器120、170可接著將反射量測信號以數學方式擬合至一或更多個薄膜模型以決定被量測之膜的一或更多個光學薄膜性質。
在一些實施例中,該一或更多個光學薄膜性質可包括膜厚度、折射率(n)及/或消光係數(k)值。折射率為光在真空中之速度與光在膜中之速度的比率。消光係數係有多少光在膜中被吸收之量測值。控制器120、170可使用n及k值決定膜之成分。控制器120、170可進一步經配置以分析膜之一或更多個性質的資料。控制器120、170可接著如以上在本文中使用前饋引擎所論述來決定待沉積之層的目標厚度值、沉積製程及/或蝕刻製程之目標製程參數值,及/或下線效能性質。或者,伺服器145可如以上在本文中使用前饋引擎所論述來決定沉積製程及/或蝕刻製程之目標製程參數值,及/或下線效能性質。
應注意,本文中參考使用一或更多個層之特定性質(亦即,厚度)來論述實施例,以決定額外層之目標厚度、待執行之額外製程的製程參數值及/或下線效能性質。然而,應理解,替代於厚度或除了厚度以外,可使用可基於光學量測(例如,諸如折射率n及/或消光係數k)決定之已沉積層的其他層性質來決定額外層之目標厚度、待執行之額外製程的製程參數值及/或下線效能性質。因此,應理解,本文中對使用厚度量測之任何引用適用於單獨使用厚度量測或與折射率及/或消光係數一起使用厚度量測。另外,應理解,在本文實施例中,其他可光學量測之膜性質(諸如,折射率及/或消光係數)可取代厚度量測。
第4圖為根據實施例之基於產生自製程序列中之一或更多個已執行製程的膜之光學量測來執行針對多層堆疊之製程序列中的一或更多個下游製程之前饋控制的方法400之流程圖。
在方法400之操作410處,在第一製程腔室中在基板上執行第一製造製程以在基板上形成多層堆疊之第一層。在一些實施例中,在基板上在第一層之下存在額外層。可接著自製程腔室移除基板。
在操作415處,使用光學感測器在基板上執行光學量測以量測第一層之第一厚度。另外或替代地,可使用光學感測器量測第一層之一或更多個其他性質,諸如,折射率及/或消光係數。
在操作420處,計算設備(例如,控制器或伺服器)基於第一厚度(及/或第一層之一或更多個其他已量測的性質)決定多層堆疊之一或更多個其餘層的目標厚度。另外或替代地,計算設備可基於第一厚度(及/或第一層之一或更多個其他已量測的性質)決定一或更多個其餘層之一或更多個其他目標性質(例如,諸如目標折射率、目標表面粗糙度、目標平均晶粒大小、目標晶粒定向,等)。另外或替代地,在操作420處,計算設備可決定將執行以形成一或更多個其餘層之製程的目標製程參數值。舉例而言,計算設備可決定將大致導致已決定的目標層厚度之待執行的一或更多個沉積製程之製程參數(諸如,沉積時間、氣體流動速率、溫度、壓力、電漿功率,等)的製程參數值。另外,計算設備可藉由已量測厚度及藉由一或更多個其餘層之目標厚度來預測包括該多層堆疊之元件或部件的一或更多個下線效能指標值。若經預測之下線效能指標值低於效能閾值,則在一些實施例中基板可能報廢或返工。另外或替代地,若經預測之下線效能指標值低於效能閾值,則沉積第一層之製程腔室可能經排程以進行維護。在實施例中,可藉由將第一層之已量測厚度(及/或其他性質)輸入至預測模型123中而執行操作420。
在操作425處,處理邏輯決定待執行以形成多層堆疊之第二層的第二製造製程之一或更多個製程參數的製程參數值。在一個實施例中,藉由將目標厚度(及/或待沉積之下一層的其他目標性質)輸入至表、函數或模型而決定製程參數值。該表、函數或模型可接收目標厚度(及/或其他層性質),並可輸出製程參數值。在一個實施例中,該模型為經訓練之機器學習模型,諸如,已經訓練以基於層的輸入目標厚度及/或其他輸入目標性質輸出配方之製程參數值的神經網路(例如,卷積神經網路)或迴歸模型。在一個實施例中,目標製程參數值係在操作420處決定。
在操作430處,將基板移送至第二製程腔室,且第二製程腔室使用已決定之製程參數值在基板上執行第二製造製程以在基板上形成多層堆疊之第二層。可接著自第二製程腔室移除基板。
在操作435處,使用光學感測器在基板上執行光學量測以量測第二層之實際第二厚度。另外或替代地,可使用光學感測器量測第二層之一或更多個其他性質,諸如,折射率及/或消光係數。
在操作440處,計算設備(例如,控制器或伺服器)基於第一層之第一厚度及第二層之實際第二厚度(及/或第一層及第二層之一或更多個其他已量測性質)決定多層堆疊之一或更多個其餘層的目標厚度。另外或替代地,計算設備可基於第一厚度(及/或第一層之一或更多個其他已量測的性質)及實際第二厚度(及/或第二層之一或更多個其他已量測的性質)決定一或更多個其餘層之一或更多個其他目標性質(例如,諸如目標折射率、目標表面粗糙度、目標平均晶粒大小、目標晶粒定向,等)。另外或替代地,在操作440處,計算設備可決定將執行以形成一或更多個其餘層之製程的目標製程參數值。舉例而言,計算設備可決定將大致導致已決定的目標層厚度之待執行的一或更多個沉積製程之製程參數(諸如,沉積時間、氣體流動速率、溫度、壓力、電漿功率,等)的製程參數值。另外,計算設備可藉由已量測之第一厚度及第二厚度連同一或更多個其餘層之目標厚度來預測包括該多層堆疊之元件或部件的一或更多個下線效能指標值。若經預測之下線效能指標值低於效能閾值,則在一些實施例中基板可能報廢或返工及/或第二製程腔室可能經排程以進行維護。在實施例中,可藉由將第一層及第二層之已量測厚度(及/或其他性質)輸入至預測模型123中而執行操作440。在一些實施例中,在操作420及440處使用同一經訓練之機器學習模型。或者,可在操作420及440處使用不同經訓練之機器學習模型。舉例而言,操作420處所使用的經訓練之機器學習模型可經訓練以僅接收單個厚度,且操作440處所使用的經訓練之機器學習模型可經訓練以接收兩個厚度值。
在其中多層堆疊包括兩個層之一個實施例中,在操作440處,計算設備決定經預測之下線效能指標值,但不決定任何其餘層之目標厚度。在此實施例中,方法400可在操作440處結束。
在操作445處,處理邏輯可決定待執行以形成多層堆疊之第三層的第三製造製程之一或更多個製程參數的製程參數值。在一個實施例中,藉由將目標厚度(及/或待沉積之下一層的其他目標性質)輸入至表、函數或模型而決定製程參數值。該表、函數或模型可接收目標厚度(及/或其他層性質),並可輸出製程參數值。在一個實施例中,該模型為經訓練之機器學習模型,諸如,已經訓練以基於層的輸入目標厚度及/或其他輸入目標性質輸出配方之製程參數值的神經網路(例如,卷積神經網路)或迴歸模型。在一個實施例中,目標製程參數值係在操作440處決定。
在操作450處,將基板移送至第三製程腔室,且第三製程腔室使用已決定之製程參數值在基板上執行第三製造製程以在基板上形成多層堆疊之第三層。可接著自第三製程腔室移除基板。
在操作455處,使用光學感測器在基板上執行光學量測以量測第三層之實際第三厚度。另外或替代地,可使用光學感測器量測第三層之一或更多個其他性質,諸如,折射率及/或消光係數。
在操作460處,計算設備(例如,控制器或伺服器)基於第一層之第一厚度、第二層之已量測第二厚度及第三層之已量測第三厚度(及/或第一層、第二層及第三層之一或更多個其他已量測性質)決定經預測之下線效能指標值。若該下線效能指標值低於效能閾值,則在一些實施例中基板可能報廢或返工。在實施例中,可藉由將第一層、第二層及第三層之已量測厚度(及/或其他性質)輸入至預測模型123中而執行操作460。在一些實施例中,在操作420、440及460處使用同一經訓練之機器學習模型。替代地,可在操作420、440及460處使用不同經訓練之機器學習模型。若在第三層之後存在要沉積之額外層,則在操作460處,計算設備可另外或替代地決定下一層之目標厚度及/或用於實現該目標厚度之目標製程參數值。可接著針對下一層執行與操作450~460類似之操作。
第5圖為根據實施例之基於產生自一或更多個已執行的沉積製程之膜的光學量測執行對製程序列中之下游蝕刻製程的前饋控制之方法500的流程圖。
在方法500之操作510處,在第一製程腔室中在基板上執行第一製造製程以在基板上形成層。在一些實施例中,在基板上在第一層之下存在額外層。在一些實施例中,該層為多層堆疊中之層。可接著自製程腔室移除基板。
在操作515處,使用光學感測器在基板上執行光學量測以量測第一層之第一厚度。另外或替代地,可使用光學感測器量測第一層之一或更多個其他性質,諸如,折射率及/或消光係數。
在操作520處,計算設備(例如,控制器或伺服器)基於第一厚度(及/或第一層之一或更多個其他已量測的性質)決定將在已沉積層上執行之蝕刻製程的一或更多個製程參數之目標製程參數值。另外,計算設備可預測包括該層之元件或部件的一或更多個下線效能指標值。若經預測之下線效能指標值低於效能閾值,則在一些實施例中基板可能報廢或返工及/或製程腔室可能經排程以進行維護。在實施例中,可藉由將該層之已量測厚度(及/或其他性質)輸入至預測模型123中而執行操作520。
在操作530處,將基板移送至第二製程腔室(例如,蝕刻製程腔室),且第二製程腔室使用已決定之製程參數值在基板上執行蝕刻製程以蝕刻該層。在實例中,在操作510處沉積之層可能比目標厚度更厚,且可增加蝕刻製程之蝕刻時間以適應較厚的層。可接著自第二製程腔室移除基板。
在操作535處,視情況使用光學感測器在基板上執行光學量測以量測層之蝕刻後厚度。另外或替代地,可使用光學感測器量測層之一或更多個其他蝕刻後性質。
在操作540處,計算設備(例如,控制器或伺服器)可基於層之厚度及/或層之蝕刻後厚度(及/或層之一或更多個其他已量測性質)決定經預測之下線效能指標值。若經預測之下線效能指標值低於效能閾值,則在一些實施例中基板可能報廢或返工。在實施例中,可藉由將該層之已量測厚度(及/或其他性質)輸入至預測模型123中而執行操作540。在一些實施例中,在操作520及540處使用同一經訓練之機器學習模型。替代地,可在操作520及540處使用不同經訓練之機器學習模型。
第6圖為根據實施例之基於產生自製程序列中之一或更多個已執行製程的膜之光學量測來執行製程序列中的一或更多個下游製程之前饋控制的方法600之流程圖。
在方法600之操作605處,在第一製程腔室中在基板上執行第一製造製程以在基板上形成層。在一些實施例中,在基板上在第一層之下存在額外層。
在操作610處,使用光學感測器在基板上執行光學量測以量測第一層之第一厚度。另外或替代地,可使用光學感測器量測第一層之一或更多個其他性質,諸如,折射率及/或消光係數。
在操作615處,計算設備(例如,控制器或伺服器)基於第一厚度(及/或第一層之一或更多個其他已量測的性質)決定將在基板上執行之一或更多個未來製程的一或更多個製程參數之一或更多個製程參數值。若將在基板上沉積其他層,則計算設備可視情況亦決定一或更多個其餘層之目標厚度。另外或替代地,計算設備可基於第一厚度(及/或第一層之一或更多個其他已量測的性質)決定一或更多個其餘層之一或更多個其他目標性質(例如,諸如目標折射率、目標表面粗糙度、目標平均晶粒大小、目標晶粒定向,等)。另外,計算設備可預測包括具有已量測厚度的第一層之元件或部件的一或更多個下線效能指標值。若經預測之下線效能指標值低於效能閾值,則在一些實施例中基板可能報廢或返工及/或在基板上沉積第一層之製程腔室可能經排程以進行維護。在實施例中,可藉由將第一層之已量測厚度(及/或其他性質)輸入至預測模型123中而執行操作615。
在操作620處,將基板移送至第二製程腔室,且第二製程腔室使用已決定之製程參數值在基板上執行第二製造製程。第二製造製程可為(例如)沉積製程、蝕刻製程、退火製程或某一其他製程。舉例而言,第二製造製程可為沉積製程以在基板上形成多層堆疊之第二層。
在操作625處,在第二製造製程完成之後,可使用光學感測器在基板上執行光學量測。若第二製程為沉積製程,則該光學量測可量測額外的已沉積層之一或更多個性質(例如,厚度)。
在操作630處,計算設備(例如,控制器或伺服器)可基於第一層之第一厚度及在操作625處所決定的基板之光學量測(例如,第二層之第二厚度)決定將在基板上執行之一或更多個其他製程的製程參數之一或更多個製程參數值。另外或替代地,計算設備可決定下線效能指標之預測值。若經預測之下線效能指標值低於效能閾值,則在一些實施例中基板可能報廢或返工及/或第二製程腔室可能經排程以進行維護。在實施例中,可藉由將第一層及/或第二層之已量測厚度(及/或其他性質)輸入至預測模型123中而執行操作630。
在操作635處,處理邏輯決定是否將執行額外製程,將使用光學感測器量測該等額外製程之結果。若為如此,則方法返回至方塊620,並在下一製程腔室中執行下一製程。否則,方法進行至操作640。在操作640處,一旦元件或部件完成(或已達到可量測一或更多個效能指標之完成階段),則進行量測以決定下線效能指標。舉例而言,可量測元件之感測邊限及/或其他電學性質。可接著使用已量測之下線效能指標值的結果連同在操作610處所決定之量測結果進一步訓練在操作615及630處所使用之機器學習模型。舉例而言,隨著新產品批次完成,可持續訓練預測模型123。因此,預測模型123之準確性可隨時間而持續提高。
第7圖為基於藉由製程序列中之一或更多個製程形成的一或更多個層之光學量測來更新用以控制製程序列中的下游製程之機器學習模型之訓練的方法700之流程圖。方法700可(例如)用以週期性地重新訓練預測模型123。方法700可由處理邏輯執行,該處理邏輯可包括硬體、軟體、韌體或其組合。在實施例中,方法700係由第1A圖至第1B圖之控制器120、170及/或伺服器145執行。
在方法700之操作705處,在包括多層堆疊之元件或部件上進行下線量測以決定下線效能指標值。在操作710處,處理邏輯決定多層堆疊中之一或更多個層的膜厚度。可能已在沉積每一相應層之後量測了彼層之厚度。舉例而言,可能已根據方法400~600中之任一者量測了層厚度。在操作715處,處理邏輯產生包括一或更多個層之膜厚度及下線效能指標值之訓練資料條目。在操作720處,處理邏輯接著使用訓練資料條目在經訓練之機器學習模型(例如,預測模型123)上執行監督學習,以更新機器學習模型之訓練。
第8圖為根據實施例之執行與在基板上形成一或更多個層的製造製程序列相關聯之實驗設計(DoE)的方法800之流程圖。儘管以特定順序或次序示出,但除非另外指定,否則可修改製程之次序。因此,應將所繪示實施例理解為僅為實例,且所繪示製程可以不同次序執行,且一些製程可並行地執行。另外,在各種實施例中,可省去一或更多個製程。因此,並非在每一實施例中皆執行所有製程。其他製程流程係可能的。
在方法800之操作805處,執行製造製程之序列的複數個版本。製造製程之該序列的每一版本使用該序列中之一或更多個製程的製程參數值之不同組合,並導致具有層厚度的不同組合之多層堆疊。在一個實施例中,該多層堆疊為DRAM位元線堆疊,且DRAM位元線堆疊之每一版本具有阻障金屬層、阻障層及位元線金屬層之層厚度的不同組合。在一些情況下,可先驗地知曉多層堆疊之層厚度組合的最佳值,且可測試層厚度之最佳組合以及層厚度之一或更多個額外組合,其中層厚度中之一或更多者高於及/或低於最佳厚度。舉例而言,對於DRAM位元線堆疊而言,最佳層厚度可為金屬阻障層為2 nm,阻障層為3 nm且金屬位元線層為20 nm。可產生DRAM位元線堆疊之不同版本,其中一些版本僅改變高於或低於最佳厚度之厚度中的一者,一些版本改變高於及/或低於最佳厚度之厚度中的兩者,且一些版本改變高於及/或低於最佳厚度之所有三個厚度。在一個實例中,處理約300個基板以產生具有一系列厚度組合之多層堆疊。對於製造製程序列之版本中的每一者而言,可在基板上執行一或更多個其他製程以產生可測試之元件或部件。
在操作810處,選擇製造製程序列之版本中的一者。
在操作815處,在使用製造製程之序列的所選版本製造之代表性基板上執行一或更多次計量量測以決定該代表性基板上之多層堆疊中的一或更多個層之特性。舉例而言,可執行破壞性計量量測以決定基板上之多層堆疊中的每一層之厚度。或者,可在製造多層堆疊期間即時地進行量測(例如,藉由在層形成之後執行多層堆疊中的每一層之非破壞性光學量測)。
在操作820處,可使用具有使用製造製程之所選序列形成的多層堆疊之基板來製造元件或部件。在一些實施例中,在操作810之前執行操作820。可形成之元件的實例包括DRAM記憶體模組及3D NAND記憶體模組。
在操作825處,針對包括藉由製造製程之所選版本形成的多層堆疊之已製造元件或部件量測一或更多個下線效能指標。該等效能指標可包括感測邊限、電壓、功率、元件速度、元件潛時、良率及/或其他效能參數。在一些實施例中,在元件或部件上執行一或更多次電學量測以決定元件或部件之一或更多個電學性質。該等電學性質可對應於元件或部件之下線效能指標或為元件或部件之下線效能指標。舉例而言,感測邊限為被輸送至記憶體單元的閘極之實際上由閘極偵測到的電壓之百分比。較大感測邊限優於較小感測邊限,因為具有較大感測邊限之元件可使用較少電壓起作用(例如,可將較小電壓施加至記憶體單元的閘極以改變閘極之狀態)。
在操作830處,為製造製程之序列的所選版本產生資料條目。該資料條目可為訓練資料條目,其包括多層堆疊中之每一層的層厚度及(若干)下線效能指標值。
在操作835處,作出關於是否存在尚未測試(且尚未為其產生資料條目)之製造製程序列的其餘版本之決定。若仍存在製造製程之序列的其餘未經測試版本,則方法返回至操作810,且選擇製造製程之序列的新版本進行測試。若已測試了製造製程之序列的所有版本,則方法繼續操作840。
在操作840處,產生訓練資料集。該訓練資料集包括針對製造製程之序列的每一版本所產生之資料條目。
第9圖為根據實施例之訓練模型以基於由製造製程序列中的一或更多個製程所形成之一或更多個層的厚度值來決定一或更多個其餘層之目標厚度、用於形成該一或更多個層之製程參數值及/或下線效能指標值的方法900之流程圖。將顯而易見,可藉由參考第1A圖至第3圖所述之部件來執行方法900。舉例而言,在實施例中,可藉由控制器120、控制器170及/或伺服器145來執行方法900。方法900之至少一些操作可由處理邏輯執行,該處理邏輯可包括硬體(例如,電路系統、專用邏輯、可程式化邏輯、微代碼,等)、軟體(例如,在處理元件上運行以執行硬體模擬之指令),或其組合。儘管以特定順序或次序示出,但除非另外指定,否則可修改製程之次序。因此,應將所繪示實施例理解為僅為實例,且所繪示製程可以不同次序執行,且一些製程可並行地執行。另外,在各種實施例中,可省去一或更多個製程。因此,並非在每一實施例中皆執行所有製程。其他製程流程係可能的。
在方法900之操作905處,處理邏輯接收訓練資料集(例如,其可能已根據方法800產生)。該訓練資料集可包括複數個資料條目,其中每一資料條目包括製造製程序列之版本的一或更多個層厚度及下線效能指標值。
在操作910處,處理邏輯訓練模型以接收基板上之多層堆疊中的一或更多個層之厚度的輸入,且輸出多層堆疊中之一或更多個其餘層的目標厚度、將在基板上執行之一或更多個未來製造製程的製程參數之目標製程參數值及/或經預測之下線效能指標值中的至少一者。
在一個實施例中,該模型為機器學習模型,諸如,使用迴歸訓練之迴歸模型。迴歸模型之實例為使用線性迴歸或高斯迴歸訓練之迴歸模型。在一個實施例中,在操作915處,處理邏輯使用訓練資料集執行線性迴歸或高斯迴歸以訓練模型。迴歸模型在給定X變數之已知值的情況下預測Y的值。可使用迴歸分析來訓練迴歸模型,該迴歸分析可包括內插及/或外推。在一個實施例中,使用最小二乘法估計迴歸模型之參數。或者,可執行貝葉斯線性迴歸、百分比迴歸、最小絕對偏差、非參數迴歸、場景最佳化及/或距離指標學習以訓練迴歸模型。
在一個實施例中,該模型為機器學習模型,諸如,人工神經網路(亦簡稱為神經網路)。人工神經網路可(例如)為卷積神經網路(convolutional neural network; CNN)或深層神經網路。在一個實施例中,在操作920處,處理邏輯執行監督機器學習以訓練神經網路。
人工神經網路大體包括具有分類器或迴歸層之特徵表示部件,其將特徵映射至目標輸出空間。舉例而言,卷積神經網路(CNN)承載多層卷積濾波器。在較低層處執行池化,並可解決非線性問題,在較低層頂上通常附加多層感知器,從而將卷積層所提取之頂層特徵映射至決策(例如,分類輸出)。神經網路可為具有多個隱藏層之深層網路,或具有零個或幾個(例如,1~2個)隱藏層之淺層網路。深度學習為一類機器學習演算法,其將非線性處理單元之多個層的級聯用於特徵提取及變換。每一連續層使用前一層之輸出作為輸入。神經網路可以受監督(例如,分類)及/或無監督(例如,模式分析)之方式學習。一些神經網路(例如,諸如深層神經網路)包括層之階層架構,其中不同層學習對應於不同抽象位準之不同表示位準。在深度學習中,每一位準學習將其輸入資料變換成稍微更抽象及複合的表示。
對神經網路之訓練可藉由監督學習之方式實現,其涉及經由網路饋入由標籤輸入組成之訓練資料集,觀察其輸出,定義錯誤(藉由量測輸出與標籤值之間的差別),及使用諸如深度梯度下降及反向傳播之技術來調諧網路在其所有層及節點上之權重以使得錯誤得以最小化。在許多應用中,在訓練資料集中之許多標籤輸入中重複此過程會產生一個網路,該網路在用與訓練資料集中存在之輸入不同的輸入呈現時可產生正確的輸出。在高維設置(諸如,大影像)中,當有足夠大且多樣化之訓練資料集可用時,可實現此泛化。
在實施例中,輸入為特徵向量,其包括一或更多個層之膜性質(例如,諸如膜厚度),且標籤為效能指標值,諸如,下線效能指標值(例如,諸如感測邊限之電學值)。在一個實施例中,神經網路經訓練以接收一或更多個已沉積層之膜性質作為輸入,且輸出一或更多個經預測之效能指標值、尚未沉積之層的膜性質及/或將在已沉積層上執行及/或用以沉積其他層之未來製程的製程參數值。
在操作925處,部署經訓練模型。舉例而言,可將經訓練模型部署至一或更多個製程腔室及/或群集工具之控制器。另外或替代地,可將經訓練模型部署至連接至一或更多個控制器(例如,連接至一或更多個製程腔室及/或一或更多個群集工具之控制器)之伺服器。部署經訓練模型可包括將經訓練模型保存在控制器及/或伺服器之前饋引擎中。一旦部署了經訓練模型,控制器及/或伺服器便可使用經訓練模型來執行製造製程序列中之一或更多個製造製程的前饋控制。
第10圖以計算設備1000的實例形式繪示機器之圖解表示,可在該計算設備1000內執行一組指令以使該機器執行本文所論述方法中之任何一或更多者。在替代實施例中,該機器可在區域網路(Local Area Network; LAN)、企業內部網路、企業外部網路或網際網路中連接(例如,網路連接)至其他機器。該機器可在客戶端-伺服器網路環境中以伺服器或客戶端機器之身份運行,或在同級間(或分散式)網路環境中用作同級點機器。該機器可為個人電腦(personal computer; PC)、平板電腦、機上盒(set-top box; STB)、個人數位助理(Personal Digital PDA)、蜂巢式電話、web設備、伺服器、網路路由器、交換機或橋接器,或能夠執行指定將由彼機器採取的動作之一組指令(依序或以其他方式)的任何機器。另外,雖然僅繪示單個機器,但術語「機器」亦應被視為包括個別地或聯合地執行一組(或多組)指令以執行本文所論述之方法中的任何一或更多者之機器(例如,電腦)的任何集合。
實例計算設備1000包括處理元件1002、主記憶體1004(例如,唯讀記憶體(read-only memory; ROM)、快閃記憶體、動態隨機存取記憶體(dynamic random access memory; DRAM)(諸如,同步DRAM(SDRAM)或Rambus DRAM(RDRAM)),等)、靜態記憶體1006(例如,快閃記憶體、靜態隨機存取記憶體(static random access memory; SRAM),等),及次要記憶體(例如,資料儲存元件1018),此些經由匯流排1030彼此通訊。
處理元件1002表示一或更多個通用處理器,諸如,微處理器、中央處理單元,或其類似者。更特定而言,處理元件1002可為複雜指令集計算(CISC)微處理器、精簡指令集計算(RISC)微處理器、超長指令字(VLIW)微處理器、實施其他指令集之處理器,或實施指令集組合之處理器。處理元件1002亦可為一或更多個專用處理元件,諸如,特殊應用積體電路(ASIC)、現場可程式化閘陣列(FPGA)、數位信號處理器(DSP)、網路處理器,或其類似者。處理元件1002經配置以執行用於執行本文所論述之操作及步驟的處理邏輯(指令1022)。
計算設備1000可進一步包括網路介面設備1008。計算設備1000亦可包括視訊顯示單元1010(例如,液晶顯示器(liquid crystal display; LCD)或陰極射線管(cathode ray tube; CRT))、文數字輸入設備1012(例如,鍵盤)、游標控制設備1014(例如,滑鼠),及信號產生設備1016(例如,揚聲器)。
資料儲存元件1018可包括機器可讀儲存媒體(或更特定言之,為電腦可讀儲存媒體)1028,其上儲存有一或更多組指令1022以體現本文所述方法或功能中之任何一或更多者。在藉由電腦系統1000執行指令1022期間,指令1022亦可全部或至少部分地駐存在主記憶體1002及/或處理元件1000內,主記憶體1004及處理元件1002亦構成電腦可讀儲存媒體。
電腦可讀儲存媒體1028亦可用以儲存前饋引擎121,及/或含有調用前饋引擎121之方法的軟體庫。雖然在實例實施例中將電腦可讀儲存媒體1028示為單個媒體,但術語「電腦可讀儲存媒體」應被視為包括儲存一或更多個指令集之單個媒體或多個媒體(例如,集中式或分散式資料庫,及/或相關聯的快取記憶體及伺服器)。術語「電腦可讀儲存媒體」亦應被視為包括能夠儲存或編碼指令集合之任何媒體,該指令集合用於由機器來執行且導致機器執行本文所述方法中之任何一或更多者。術語「電腦可讀儲存媒體」亦相應地被視為包括但不限於諸如固態記憶體之非暫時性電腦可讀媒體,以及光學及磁性媒體。
本文所述之模組、部件及其他特徵(例如,關於第1A圖至第3圖)可實施為離散的硬體部件或整合在諸如ASICS、FPGA、DSP或類似設備之硬體部件的功能中。另外,模組可實施為韌體或硬體設備內之功能性電路系統。另外,模組可以硬體設備與軟體部件之任何組合或僅以軟體來實施。
已根據對電腦記憶體內之資料位元的操作之演算法及符號表示呈現了實施方式的一些部分。此些演算法描述及表示為熟習資料處理技術者用以最有效地向熟習此項技術者之其他者傳達其工作實質的手段。此處,演算法大體被認為係導致目標結果之自洽步驟序列。該等步驟為需要物理量之物理操縱的步驟。通常,儘管並非必要,但此些量採取能夠被儲存、移送、組合、比較及以其他方式操縱之電學或磁性信號的形式。主要出於常見用法之原因,已證明將此些信號稱作位元、值、要素、符號、字符、條目、數字或其類似者有時很便利。
然而,應牢記,所有此些或類似術語皆與適當的物理量相關聯,且僅為應用於此些量的方便標籤。除非另外特別說明,否則如自以下論述中顯而易見,應瞭解,在整個描述中,討論使用諸如「接收」、「識別」、「決定」、「選擇」、「提供」、「儲存」或其類似者之術語代表電腦系統或類似電子計算設備之動作及製程,其操縱在電腦系統之暫存器及記憶體中表示為物理(電子)量的資料並將其變換成在電腦系統記憶體或暫存器或其他此種資訊儲存、傳輸或顯示設備內類似地表示為物理量的其他資料。
本發明之實施例亦針對一種用於執行本文操作之裝置。此裝置可出於所論述目的而特定構造,或其可包括由儲存在電腦系統中之電腦程式選擇性地程式化的通用電腦系統。此種電腦程式可儲存在電腦可讀儲存媒體中,諸如但不限於任何類型之磁碟(包括軟碟、光碟、CD-ROM及磁光碟、唯讀記憶體(ROM)、隨機存取記憶體(RAM)、EPROM、EEPROM、磁碟儲存媒體、光學儲存媒體、快閃記憶體元件、其他類型之機器可存取儲存媒體),或適合於儲存電子指令之任何類型的媒體,每一者皆耦接至電腦系統匯流排。
先前描述闡述了諸多特定細節,諸如,特定系統、部件、方法等之實例,以便提供對本揭示案之若干實施例的良好理解。然而,熟習此項技術者將顯而易見,可在無此些特定細節的情況下實踐本揭示案之至少一些實施例。在其他情形下,未詳細描述或以簡單方塊圖的形式呈現熟知部件或方法,以便避免不必要地混淆本揭示案。因此,所述特定細節僅為例示性的。特定實施可與此些例示性細節不同,且仍預期在本揭示案之範疇內。
貫穿本說明書對「一個實施例」或「實施例」之引用意謂結合實施例描述之特定特徵、結構、特性被包括在至少一個實施例中。因此,貫穿本說明書在各處出現的短語「在一個實施例中」或「在實施例中」未必皆代表同一實施例。另外,術語「或」旨在意謂包括性的「或」而非排他性的「或」。當在本文中使用術語「約」或「大致」時,此旨在意謂所呈現之標稱值精確在±10%以內。
儘管本文中以特定次序示出並描述了方法之操作,但可變更每一方法的操作次序,以使得可以相反次序執行某些操作,使得可至少部分地與其他操作同時執行某些操作。在另一實施例中,相異操作之指令或子操作可以間歇及/或交替的方式進行。
應理解,以上描述旨在為說明性的,而非限制性的。在閱讀並理解以上描述之後,熟習此項技術者將顯而易見許多其他實施例。因此,本揭示案之範疇應參考附加申請專利範圍連同此申請專利範圍所授權之等效物的整個範疇來決定。
100:群集工具 101:真空移送腔室(VTM) 102:真空移送腔室(VTM) 104:工廠介面 106:處理腔室 108:處理腔室 110:處理腔室 112:處理腔室 114:處理腔室 116:處理腔室 118:處理腔室 120:製程控制器 121:前饋引擎 122:裝載埠 123:預測模型 124a-124c:裝載區域 126:大氣移送模組(ATM) 128:機械臂 130a:氣閘 130b:氣閘 132:門 134:門 135:門 136:門 138:機械臂 139:機械臂 140:直通腔室 142:直通腔室 145:伺服器計算設備 147a:光學感測器 147b:光學感測器 150:群集工具 152:腔室/模組 154:腔室/模組 156:腔室/模組 157a:光學感測器 157b:光學感測器 158a:裝載閘 158b:裝載閘 160:真空移送腔室(VTM) 162:機械臂 164:工廠介面 166a:前開式晶圓傳送盒(FOUP) 166b:前開式晶圓傳送盒(FOUP) 170:控制器 200:基板 201:DRAM位元線堆疊 202:多插塞 204:阻障金屬 206:阻障層 208:位元線金屬層 209:可選封蓋層 210:硬遮罩層 220:方法 225:操作 230:操作 235:操作 240:操作 245:操作 250:操作 255:操作 260:操作 265:操作 270:操作 275:操作 280:操作 285:操作 290:操作 295:操作 300:光學感測器系統 301:光源 303:腔室 304:光耦合元件 320:視窗 325:光譜儀 332:光纖纜線 400:方法 410:操作 415:操作 420:操作 425:操作 430:操作 435:操作 440:操作 445:操作 450:操作 455:操作 460:操作 500:方法 510:操作 515:操作 520:操作 530:操作 535:操作 540:操作 600:方法 605:操作 610:操作 615:操作 620:操作 625:操作 630:操作 635:操作 640:操作 700:方法 705:操作 710:操作 715:操作 720:操作 800:方法 805:操作 810:操作 815:操作 820:操作 825:操作 830:操作 835:操作 840:操作 900:方法 905:操作 910:操作 915:操作 920:操作 925:操作 1000:計算設備 1002:處理元件 1004:主記憶體 1006:靜態記憶體 1008:網路介面設備 1010:視訊顯示單元 1012:文數字輸入設備 1014:游標控制設備 1016:信號產生設備 1018:資料儲存元件 1020:網路 1022:指令 1028:電腦可讀儲存媒體 1030:匯流排
在隨附圖式之諸圖中藉助於實例而非藉助於限制繪示出本揭示案,在隨附圖式中,相同元件符號指示類似元件。應注意,在本揭示案中對「一」或「一個」實施例之不同引用未必代表同一實施例,且此種引用意謂至少一個。
第1A圖為根據實施例之第一實例製造系統的俯視示意圖。
第1B圖為根據實施例之第二製造系統的俯視示意圖。
第2A圖為根據實施例之執行對DRAM位元線形成製程中之一或更多個製程的前饋控制之方法的流程圖。
第2B圖示出根據實施例之包括多插塞、DRAM位元線堆疊及硬遮罩層之基板的一部分之示意性側視圖。
第3圖根據本揭示案之一個態樣繪示用於量測群集工具中的基板上之層的厚度之系統300的簡化側視圖。
第4圖為根據實施例之基於產生自製程序列中之一或更多個已執行製程的膜之光學量測來執行針對多層堆疊之製程序列中的一或更多個下游製程之前饋控制的方法之流程圖。
第5圖為根據實施例之基於產生自一或更多個已執行的沉積製程之膜的光學量測執行對製程序列中的下游蝕刻製程之前饋控制的方法之流程圖。
第6圖為根據實施例之基於產生自製程序列中之一或更多個已執行製程的膜之光學量測來執行製程序列中的一或更多個下游製程之前饋控制的方法之流程圖。
第7圖為基於藉由製程序列中之一或更多個製程形成的一或更多個層之光學量測來更新用以控制製程序列中的下游製程之機器學習模型之訓練的方法之流程圖。
第8圖為根據實施例之執行與在基板上形成一或更多個層的製造製程序列相關聯之實驗設計(DoE)的方法之流程圖。
第9圖為根據實施例之訓練模型以基於由製造製程序列中的一或更多個製程所形成之一或更多個層的厚度值,來決定一或更多個其餘層之目標厚度、用於形成該一或更多個層之製程參數值及/或下線效能指標值的方法之流程圖。
第10圖以計算設備的實例形式繪示機器之圖解表示,可在該計算設備內執行一組指令用於使該機器執行本文所述方法中之任何一或更多者。
400:方法
410:操作
415:操作
420:操作
425:操作
430:操作
435:操作
440:操作
445:操作
450:操作
455:操作
460:操作

Claims (25)

  1. 一種基板處理系統,包括: 至少一個移送腔室; 一第一製程腔室,連接至該至少一個移送腔室,其中該第一製程腔室經配置以執行一第一製程以在一基板上沉積一多層堆疊之一第一層; 一第二製程腔室,連接至該至少一個移送腔室,其中該第二製程腔室經配置以執行一第二製程以在該基板上沉積該多層堆疊之一第二層; 一光學感測器,經配置以在該第一層已沉積在該基板上之後在該第一層上執行一光學量測;以及 一計算設備,以可操作方式連接至該第一製程腔室、該第二製程腔室、該移送腔室或該光學感測器中之至少一者,其中該計算設備用以: 當已在該基板上執行了該第一製程之後接收該第一層之一第一光學量測,其中該第一光學量測指示該第一層之一第一厚度; 基於該第一層之該第一厚度決定該多層堆疊之該第二層的一目標第二厚度;以及 使該第二製程腔室執行該第二製程以將大致具有該目標第二厚度之該第二層沉積至該第一層上。
  2. 如請求項1所述之基板處理系統,進一步包括: 一第三製程腔室,連接至該至少一個移送腔室,其中該第三製程腔室經配置以執行一第三製程以在該基板上沉積該多層堆疊之一第三層; 其中該光學感測器進一步經配置以在該第二層上執行該光學量測;以及 其中該計算設備進一步用以: 當已在該基板上執行了該第二製程之後接收該第二層之一第二光學量測,其中該第二光學量測指示該第二層之一實際第二厚度; 基於該第一層之該第一厚度及該第二層之該實際第二厚度決定該多層堆疊之該第三層的一目標第三厚度;以及 使該第三製程腔室執行該第三製程以將大致具有該目標第三厚度之該第三層沉積至該第二層上。
  3. 如請求項2所述之基板處理系統,其中為了決定該多層堆疊之該第三層的該目標第三厚度,該計算設備用以: 將該第一層之該第一厚度及該第二層之該實際第二厚度輸入至一經訓練之機器學習模型中,該經訓練之機器學習模型已經訓練以針對該第一層之該第一厚度及該第二層之該實際第二厚度的一輸入決定該第三層之該目標第三厚度,當與該第一層之該第一厚度及該第二層之該實際第二厚度相組合時,該目標第三厚度導致包括該多層堆疊之一元件的一最佳下線效能指標值。
  4. 如請求項2所述之基板處理系統,其中: 該光學感測器進一步經配置以在該第三層上執行該光學量測;以及 該計算設備進一步用以: 當已在該基板上執行了該第三製程之後接收該第三層之一第三光學量測,其中該第三光學量測指示該第三層之一實際第三厚度;以及 基於該第一層之該第一厚度、該第二層之該實際第二厚度及該第三層之該實際第三厚度決定包括該多層堆疊之一元件的一經預測之下線效能指標值。
  5. 如請求項4所述之基板處理系統,其中為了決定包括該多層堆疊之該元件的該經預測之下線效能指標值,該計算設備用以: 將該第一層之該第一厚度、該第二層之該實際第二厚度及該第三層之該實際第三厚度輸入至一經訓練之機器學習模型中,該經訓練之機器學習模型已經訓練以針對該第一層之該第一厚度、該第二層之該實際第二厚度及該第三厚度之該實際第三厚度的一輸入預測包括該多層堆疊之該元件的該經預測之下線效能指標值。
  6. 如請求項5所述之基板處理系統,其中該多層堆疊包括一動態隨機存取記憶體(DRAM)位元線堆疊,且其中該經預測之下線效能指標值包括一感測邊限。
  7. 如請求項1所述之基板處理系統,其中為了決定該多層堆疊之該第二層的該目標第二厚度,該計算設備用以: 將該第一層之該第一厚度輸入至一經訓練之機器學習模型中,該經訓練之機器學習模型已經訓練以針對該第一層之該第一厚度的一輸入輸出該第二層之該目標第二厚度,當與該第一層之該第一厚度相組合時,該目標第二厚度導致包括該多層堆疊之一元件的一最佳下線效能指標值。
  8. 如請求項7所述之基板處理系統,其中該經訓練之機器學習模型包括一神經網路。
  9. 如請求項7所述之基板處理系統,其中該經訓練之機器學習模型進一步經訓練以輸出該多層堆疊之一第三層的一目標第三厚度或包括該多層堆疊之一元件的一下線效能指標值中的至少一者。
  10. 如請求項1所述之基板處理系統,其中該光學感測器包括經配置以使用反射量測來量測該第一厚度之一光譜儀。
  11. 如請求項1所述之基板處理系統,其中該光學感測器為該移送腔室、一裝載閘腔室或連接至該移送腔室之一直通站點的一部件。
  12. 一種方法,包括以下步驟: 在一第一製程腔室中使用一第一沉積製程處理一基板以在該基板上沉積一多層堆疊之一第一層; 自該第一製程腔室移除該基板; 使用一光學感測器量測該第一層之一第一厚度; 基於該第一層之該第一厚度決定該多層堆疊之一第二層的一目標第二厚度; 決定將實現該第二層之該第二目標厚度的一第二沉積製程之一或更多個製程參數值;以及 在一第二製程腔室中使用具有該一或更多個製程參數值之該第二沉積製程處理該基板以在該第一層之上沉積該多層堆疊之大致具有該目標第二厚度的該第二層。
  13. 如請求項12所述之方法,進一步包括以下步驟: 使用該光學感測器或一額外光學感測器量測該第二層之一實際第二厚度; 基於該第一層之該第一厚度及該第二層之該實際第二厚度決定該多層堆疊之一第三層的一目標第三厚度; 決定將實現該第二層之該第三目標厚度的一第三沉積製程之一或更多個額外製程參數值;以及 在一第三製程腔室中使用該一或更多個額外製程參數值處理該基板以執行該第三沉積製程以將大致具有該目標第三厚度之該第三層沉積至該第二層上。
  14. 如請求項13所述之方法,其中決定該多層堆疊之該第三層的該目標第三厚度之步驟包括以下步驟: 將該第一層之該第一厚度及該第二層之該實際第二厚度輸入至一經訓練之機器學習模型中,該經訓練之機器學習模型已經訓練以針對該第一層之該第一厚度及該第二層之該實際第二厚度的一輸入輸出該第三層之該目標第三厚度,當與該第一層之該第一厚度及該第二層之該實際第二厚度相組合時,該目標第三厚度導致包括該多層堆疊之一元件的一最佳下線效能指標值。
  15. 如請求項13所述之方法,進一步包括以下步驟: 使用該光學感測器或該額外光學感測器量測該第三層之一實際第三厚度;以及 基於該第一層之該第一厚度、該第二層之該實際第二厚度及該第三層之該實際第三厚度決定包括該多層堆疊之一元件的一經預測之下線效能指標值。
  16. 如請求項15所述之方法,其中決定包括該多層堆疊之該元件的該經預測之下線效能指標值之步驟包括以下步驟: 將該第一層之該第一厚度、該第二層之該實際第二厚度及該第三層之該實際第三厚度輸入至一經訓練之機器學習模型中,該經訓練之機器學習模型已經訓練以針對該第一層之該第一厚度、該第二層之該實際第二厚度及該第三厚度之該實際第三厚度的一輸入預測包括該多層堆疊之該元件的該經預測之下線效能指標值。
  17. 如請求項16所述之方法,其中該多層堆疊包括一動態隨機存取記憶體(DRAM)位元線堆疊,且其中該經預測之下線效能指標值包括一感測邊限值。
  18. 如請求項12所述之方法,其中決定該多層堆疊之該第二層的該目標第二厚度之步驟包括以下步驟: 將該第一層之該第一厚度輸入至一經訓練之機器學習模型中,該經訓練之機器學習模型已經訓練以針對該第一層之該第一厚度的一輸入輸出該第二層之該目標第二厚度,當與該第一層之該第一厚度相組合時,該目標第二厚度導致包括該多層堆疊之一元件的一經預測之最佳下線效能指標值。
  19. 如請求項18所述之方法,其中該經訓練之機器學習模型包括一神經網路。
  20. 如請求項18所述之方法,其中該經訓練之機器學習模型進一步經訓練以輸出該多層堆疊之一第三層的一目標第三厚度或包括該多層堆疊之一元件的一下線效能指標值中的至少一者。
  21. 如請求項18所述之方法,進一步包括以下步驟: 接收包括該多層堆疊之該元件的一實際下線效能指標值;以及 使用一訓練資料條目重新訓練該經訓練之機器學習模型,該訓練資料條目包括該第一層之該第一厚度及該第二層之該目標第二厚度,該訓練資料條目進一步包括對應於該實際下線效能指標值之一標籤。
  22. 如請求項12所述之方法,其中該光學感測器為一移送腔室、一裝載閘腔室或連接至該移送腔室之一直通站點的一部件,且其中在無需自一群集工具移除該基板的情況下在該基板上形成該第一層及該第二層,該群集工具包括該第一製程腔室、該第二製程腔室及連接至該第一製程腔室及該第二製程腔室之一移送腔室。
  23. 一種方法,包括以下步驟: 接收或產生包括複數個資料條目之一訓練資料集,該複數個資料條目中之每一資料條目包括一多層堆疊之複數個層的層厚度之一組合及包括該多層堆疊之一元件的一下線效能指標值;以及 基於該訓練資料集訓練一機器學習模型以接收該多層堆疊之單個層的一厚度或至少兩個層的厚度作為輸入,且輸出該多層堆疊之單個其餘層的一目標厚度、該多層堆疊之至少兩個其餘層的目標厚度或包括該多層堆疊之一元件的一經預測之下線效能指標值中的至少一者。
  24. 如請求項23所述之方法,進一步包括藉由以下步驟來產生該訓練資料集之步驟: 形成該多層堆疊之複數個版本,該複數個版本中之每一者包括該多層堆疊之該複數個層的層厚度之一不同組合; 針對該多層堆疊之每一版本,製造包括該多層堆疊之該版本的一元件; 針對包括該多層堆疊之一版本的每一元件,量測一下線效能指標以決定一下線效能指標值;以及 針對該多層堆疊之每一版本,使該多層堆疊之該複數個層的層厚度之該組合與該下線效能指標值相關聯。
  25. 如請求項23所述之方法,其中該多層堆疊包括一動態隨機存取記憶體(DRAM)位元線堆疊,且其中該經預測之下線效能指標值包括一感測邊限值。
TW110143320A 2020-11-24 2021-11-22 在元件製造期間對多層堆疊的前饋控制 TW202236471A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/103,847 US20220165593A1 (en) 2020-11-24 2020-11-24 Feedforward control of multi-layer stacks during device fabrication
US17/103,847 2020-11-24

Publications (1)

Publication Number Publication Date
TW202236471A true TW202236471A (zh) 2022-09-16

Family

ID=81657241

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110143320A TW202236471A (zh) 2020-11-24 2021-11-22 在元件製造期間對多層堆疊的前饋控制

Country Status (7)

Country Link
US (1) US20220165593A1 (zh)
EP (1) EP4252276A1 (zh)
JP (1) JP2023550487A (zh)
KR (1) KR20230107875A (zh)
CN (1) CN116472437A (zh)
TW (1) TW202236471A (zh)
WO (1) WO2022115328A1 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11688616B2 (en) * 2020-07-22 2023-06-27 Applied Materials, Inc. Integrated substrate measurement system to improve manufacturing process performance

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7201936B2 (en) * 2001-06-19 2007-04-10 Applied Materials, Inc. Method of feedback control of sub-atmospheric chemical vapor deposition processes
US6947803B1 (en) * 2002-09-27 2005-09-20 Advanced Micro Devices, Inc. Dispatch and/or disposition of material based upon an expected parameter result
US9870935B2 (en) * 2014-12-19 2018-01-16 Applied Materials, Inc. Monitoring system for deposition and method of operation thereof
US10989652B2 (en) * 2017-09-06 2021-04-27 Lam Research Corporation Systems and methods for combining optical metrology with mass metrology
WO2019003483A1 (ja) * 2018-01-31 2019-01-03 株式会社日立ハイテクノロジーズ プラズマ処理方法、及びプラズマ処理装置
JP7402399B2 (ja) * 2018-03-20 2023-12-21 東京エレクトロン株式会社 統合的な半導体処理モジュールを組み込んだ自己認識及び補正異種プラットフォーム及びその使用方法
US10886155B2 (en) * 2019-01-16 2021-01-05 Applied Materials, Inc. Optical stack deposition and on-board metrology
KR20200112584A (ko) * 2019-03-21 2020-10-05 삼성전자주식회사 멀티-챔버를 갖는 박막 형성 장치

Also Published As

Publication number Publication date
JP2023550487A (ja) 2023-12-01
WO2022115328A1 (en) 2022-06-02
KR20230107875A (ko) 2023-07-18
EP4252276A1 (en) 2023-10-04
US20220165593A1 (en) 2022-05-26
CN116472437A (zh) 2023-07-21

Similar Documents

Publication Publication Date Title
CN116802780B (zh) 自主基板处理系统
US20230195071A1 (en) Methods and mechanisms for generating a data collection plan for a semiconductor manufacturing system
TW202245091A (zh) 用於使用虛擬模型進行處理腔室健康監測和診斷的系統和方法
TW202236471A (zh) 在元件製造期間對多層堆疊的前饋控制
US20230135102A1 (en) Methods and mechanisms for process recipe optimization
TW202345235A (zh) 在基板製造期間用於調整處理腔室參數的方法及機制
US20220165541A1 (en) Etch feedback for control of upstream process
US20230359179A1 (en) Methods and mechanisms for adjusting film deposition parameters during substrate manufacturing
US20230306300A1 (en) Methods and mechanisms for measuring patterned substrate properties during substrate manufacturing
US20230008072A1 (en) Method and mechanism for contact-free process chamber characterization
US20240288779A1 (en) Methods and mechanisms for modifying machine-learning models for new semiconductor processing equipment
US20230342016A1 (en) Methods and mechanisms for generating virtual knobs for model performance tuning
KR20230164607A (ko) 머신 러닝 모델 성능의 변동을 방지하기 위한 방법들 및 메커니즘들
TW202347063A (zh) 製程控制旋鈕估計
CN117916871A (zh) 用于将传感器耦合至传输腔室机器人的方法和机构