CN116472437A - 在装置制造期间对多层堆叠结构的前馈控制 - Google Patents

在装置制造期间对多层堆叠结构的前馈控制 Download PDF

Info

Publication number
CN116472437A
CN116472437A CN202180078843.1A CN202180078843A CN116472437A CN 116472437 A CN116472437 A CN 116472437A CN 202180078843 A CN202180078843 A CN 202180078843A CN 116472437 A CN116472437 A CN 116472437A
Authority
CN
China
Prior art keywords
layer
thickness
target
substrate
actual
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202180078843.1A
Other languages
English (en)
Inventor
普里亚达希·潘达
连磊
莱昂纳德·迈克尔·泰德斯基
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN116472437A publication Critical patent/CN116472437A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/04Architecture, e.g. interconnection topology
    • G06N3/045Combinations of networks
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/04Architecture, e.g. interconnection topology
    • G06N3/0464Convolutional networks [CNN, ConvNet]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/08Learning methods
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/08Learning methods
    • G06N3/084Backpropagation, e.g. using gradient descent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/482Bit lines

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Biophysics (AREA)
  • Computing Systems (AREA)
  • Biomedical Technology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Computational Linguistics (AREA)
  • Data Mining & Analysis (AREA)
  • Evolutionary Computation (AREA)
  • General Health & Medical Sciences (AREA)
  • Molecular Biology (AREA)
  • Artificial Intelligence (AREA)
  • General Engineering & Computer Science (AREA)
  • Mathematical Physics (AREA)
  • Software Systems (AREA)
  • Health & Medical Sciences (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Length Measuring Devices By Optical Means (AREA)

Abstract

一种在基板上形成多层堆叠结构的方法包括:在第一工艺腔室中使用第一沉积工艺处理基板以在该基板上沉积多层堆叠结构的第一层;自第一工艺腔室移除基板;使用光学传感器测量第一层的第一厚度;基于第一层的第一厚度确定多层堆叠结构的第二层的目标第二厚度;确定将实现第二层的第二目标厚度的第二沉积工艺的一或多个工艺参数值;及在第二工艺腔室中使用具有该一或多个工艺参数值的第二沉积工艺处理基板以在第一层之上沉积多层堆叠结构的近似具有目标第二厚度的第二层。

Description

在装置制造期间对多层堆叠结构的前馈控制
技术领域
本公开内容的实施方式涉及在装置制造期间对多层堆叠结构的前馈控制。实施方式另外涉及基于在多工艺制造序列中的上游工艺之后所执行的光学测量对多工艺制造序列中的下游工艺的前馈控制。
背景技术
为了开发制造工艺序列以在基板上形成部件,工程师将执行一或多个实验设计(designs of experiment;DoE)以确定要在制造工艺序列中执行的一系列工艺中的每一工艺的工艺参数值。对于DoE而言,通常通过使用每一制造工艺的不同工艺参数值来处理基板而针对制造工艺中的每一者测试多个不同工艺参数值。接着在下线(end-of-line)处测试包括在制造工艺序列期间沉积及/或蚀刻的一或多个层的装置或部件,其中下线对应于部件或装置的完成。这样的测试造成确定一或多个下线性能度量值。DoE(s)的结果可用以确定制造工艺序列中的制造工艺中的一或多者的工艺参数的目标工艺参数值,及/或确定通过制造工艺序列中的制造工艺中的一或多者沉积及/或蚀刻的层的目标层性质(本文中也称作膜性质)。
一旦确定了目标工艺参数值及/或目标层性质,则将可根据制造工艺序列来处理基板,其中将基于DoE的结果所确定的预定工艺参数值及/或层性质用于制造工艺序列中的每一工艺。工程师接着预期经处理的基板具有与在DoE期间所处理的基板的那些性质类似的性质,并且进一步预期包括通过制造工艺序列形成的层的已制造装置或部件具有目标下线性能度量值。然而,在DoE期间确定的膜性质与产品基板上的膜的膜性质之间通常存在变化,这造成下线性能度量值改变。另外,每一工艺腔室可能与其他工艺腔室略微不同,且可能产生具有不同膜性质的膜。此外,工艺腔室可能随时间而改变,从而造成由那些工艺腔室产生的膜也随时间而改变,即便使用同一工艺配方也是如此。
发明内容
本文所述实施方式中的一些涵盖一种基板处理系统,所述基板处理系统包括至少一个传送腔室;连接至所述至少一个传送腔室的第一工艺腔室;连接至所述至少一个传送腔室的第二工艺腔室;光学传感器,经配置以在第一层已沉积在基板上之后在第一层上执行光学测量;及计算装置,所述计算装置操作性地连接至第一工艺腔室、第二工艺腔室、传送腔室或光学传感器中的至少一者。第一工艺腔室经配置以执行第一工艺以在基板上沉积多层堆叠结构的第一层,且第二工艺腔室经配置以执行第二工艺以在基板上沉积多层堆叠结构的第二层。所述计算装置用以当已在基板上执行了第一工艺之后接收第一层的第一光学测量结果,其中所述第一光学测量结果指示第一层的第一厚度;基于第一层的第一厚度确定多层堆叠结构的第二层的目标第二厚度;及引起第二工艺腔室执行第二工艺以将近似具有目标第二厚度的第二层沉积至第一层上。
在额外或相关的实施方式中,一种方法包括在第一工艺腔室中使用第一沉积工艺处理基板以在所述基板上沉积多层堆叠结构的第一层;自第一工艺腔室移除基板;使用光学传感器测量第一层的第一厚度;基于第一层的第一厚度确定多层堆叠结构的第二层的目标第二厚度;确定将实现第二层的第二目标厚度的第二沉积工艺的一或多个工艺参数值;及在第二工艺腔室中使用具有所述一或多个工艺参数值的第二沉积工艺处理基板以在第一层之上沉积多层堆叠结构的近似具有目标第二厚度的第二层。
在一些实施方式中,一种方法包括接收或生成包括多个数据项目的训练数据集,所述多个数据项目中的每一数据项目包括多层堆叠结构的多个层的层厚度的组合及包括所述多层堆叠结构的装置的下线性能度量值;及基于所述训练数据集训练机器学习模型以接收多层堆叠结构的单个层的厚度或至少两个层的厚度作为输入,且输出以下项中的至少一者:多层堆叠结构的单个剩余层的目标厚度、多层堆叠结构的至少两个剩余层的目标厚度或包括多层堆叠结构的装置的所预测的下线性能度量值。
根据本公开内容的这些及其他方面提供了诸多其他特征。本公开内容的其他特征及方面将自以下具体说明、权利要求及附图变得更加清楚。
附图说明
在附图的诸图中以实例而限制的方式图示本公开内容,在附图中,相同标记指示类似元件。应注意,在本公开内容中对“一”或“一个”实施方式的不同引用未必代表同一实施方式,且这样的引用意味着至少一个。
图1A为根据实施方式的第一实例制造系统的俯视示意图。
图1B为根据实施方式的第二制造系统的俯视示意图。
图2A为根据实施方式的执行对DRAM位线形成工艺中的一或多个工艺的前馈控制的方法的流程图。
图2B示出根据实施方式的包括多插塞(poly plug)、DRAM位线堆叠结构及硬模层的基板的一部分的示意性侧视图。
图3根据本公开内容的一个方面图示用于测量群集工具中的基板上的层的厚度的系统300的简化侧视图。
图4为根据实施方式的基于产生自工艺序列中的一或多个已执行工艺的膜的光学测量来执行针对多层堆叠结构的工艺序列中的一或多个下游工艺的前馈控制的方法的流程图。
图5为根据实施方式的基于产生自一或多个已执行的沉积工艺的膜的光学测量来执行对工艺序列中的下游蚀刻工艺的前馈控制的方法的流程图。
图6为根据实施方式的基于产生自工艺序列中的一或多个已执行工艺的膜的光学测量来执行工艺序列中的一或多个下游工艺的前馈控制的方法的流程图。
图7为基于通过工艺序列中的一或多个工艺形成的一或多个层的光学测量来更新用以控制工艺序列中的下游工艺的机器学习模型的训练的方法的流程图。
图8为根据实施方式的执行与在基板上形成一或多个层的制造工艺序列相关联的实验设计(DoE)的方法的流程图。
图9为根据实施方式的训练模型以基于由制造工艺序列中的一或多个工艺形成的一或多个层的厚度值,来确定一或多个剩余层的目标厚度、用于形成该一或多个层的工艺参数值及/或下线性能度量值的方法的流程图。
图10以计算装置的实例形式图示机器的图解表示,可在该计算装置内执行一组指令用于使该机器执行本文所讨论方法中的任何一或多者。
具体实施方式
本文所述实施方式涉及基于由制造工艺序列中的一或多个已执行工艺所形成的一或多个层的厚度测量来执行对制造工艺序列中的一或多个尚待执行工艺的前馈控制的方法。在一个实施方式中,使用多层堆叠结构的一或多个已形成层的厚度来确定将为多层堆叠结构形成的一或多个剩余层的目标厚度及/或用以实现所述目标厚度的工艺参数值。在一个实施方式中,使用基板上的一或多个已形成层的厚度来确定目标工艺参数值,以用于要执行以蚀刻一或多个已沉积层的蚀刻工艺。在实施方式中,使用经训练的机器学习模型基于一或多个层的厚度来确定要形成的额外层的厚度、要用以形成所述额外层的工艺参数值、要用以蚀刻已沉积层的工艺参数值,及/或包括一个层或多个层的装置或部件的预测下线性能度量值。实施方式也涵盖训练机器学习模型以基于一或多个层厚度的输入来确定要形成的额外层的厚度、要用以形成额外层的工艺参数值、要用以蚀刻已形成层的工艺参数值,及/或包括一个或多个层的装置或部件的预测下线性能度量值。可训练的机器学习模型的实例包括线性回归模型、高斯回归模型及神经网络(诸如,卷积神经网络)。
传统上,执行一次DoE以确定制造工艺序列(例如,包括一系列沉积工艺及/或蚀刻工艺)中的每一制造工艺的工艺参数的配方设定点。一旦为制造工艺序列中的每一工艺配置了配方设定点,则为制造工艺序列中的工艺而运行配方的每一工艺腔室使用为该工艺确定的工艺参数设定点,并且假设在DoE时确定的膜品质及膜性质正在制造工艺序列中实现。然而,工艺腔室之间通常存在变化且/或工艺腔室的工艺参数会随时间漂移。这样的变化及/或漂移引起那些工艺腔室实现与工艺配方中实际设定的那些工艺参数值不同的工艺参数值。举例而言,制造工艺的工艺配方可包括达200℃的目标温度,但当设定为200℃时,第一工艺腔室可实际上实现205℃的真实温度。另外,当设定为200℃时,第二工艺腔室可实际上实现196℃的真实温度。这样的与工艺配方的预定工艺参数值的偏差可引起使用制造工艺沉积的膜的一或多种性质与目标性质不同。举例而言,执行相同沉积工艺的两个不同腔室可形成不同厚度的层,其中在第一基板上的层可具有高于目标厚度的厚度,且在第二基板上的层可具有低于目标厚度的厚度。所述层可以是用于最终形成的装置的多层堆叠结构中的一个层,且膜性质的这样的变化可能对最终形成的装置具有不利影响。
对于多层堆叠结构而言,若多层堆叠结构的第一层的厚度偏离目标厚度,则这样的偏差可引起对包括多层堆叠结构的装置的不利影响。然而,若在沉积多层堆叠结构的其他层之前检测到厚度偏差,则可调整那些其他层中的一或多者的目标厚度,以使最终的多层堆叠结构具有与倘若第一层具有第一层的目标厚度则多层堆叠结构将会具有的下线性能度量值类似的下线性能度量值。类似地,若在沉积其他层之前检测到多层堆叠结构中最初两个层中的一或多者具有偏离目标厚度的厚度,则可使用此信息以调整多层堆叠结构中的一或多个剩余层的目标厚度以改善包括多层堆叠结构的装置的下线性能。在实施方式中,在传送腔室、装载锁定或经过腔室(via)中设置光学传感器,且光学传感器用以在沉积工艺之后测量所沉积层的厚度。可接着使用经测量的厚度而以提高包括所沉积层的装置的下线性能的方式调整将沉积额外层及/或蚀刻现有层的未来工艺。
在实例中,可使用本文实施方式中所述的系统及方法以提供对DRAM位线堆叠结构中的一或多个层的前馈控制。DRAM位线堆叠结构可包括阻挡金属层、阻挡层及位线金属层。感测容限可取决于阻挡金属层、阻挡层及位线金属层中的每一者的厚度。可训练机器学习模型以将阻挡金属层厚度及/或阻挡层厚度接收作为输入,并且可输出目标阻挡层厚度及/或位线金属层厚度。机器学习模型可另外用输入及/或输出厚度值来输出包括阻挡金属层、阻挡层及位线金属层的DRAM位线堆叠结构的预测感测容限。因此,通过在形成每一层之后测量DRAM位线堆叠结构中的层的厚度,可针对已形成层与那些层的目标厚度的任何偏差正确地调整用以形成(若干)下一层的工艺。这样的调整可提高包括DRAM位线堆叠结构的DRAM存储器模块的感测容限。相同的技术也适用于任何其他类型的多层堆叠结构以改良其他下线性能度量,诸如,装置的电学性质。
在实施方式中,计算装置分析多层堆叠结构的层并且执行堆叠结构级优化(stacklevel optimization)。举例而言,可使用堆叠结构位准信息以优化包括多层堆叠结构的装置的功率性能面积及成本(power performance area and cost;PPAC)。可使用来自一或多个先前单元工艺的信息来针对一个单元工艺作出前馈决策。与优化个别工艺相反,处理逻辑可使用来自多个单元工艺的复杂光谱作为对一或多个已形成的ML模型的输入,从而使得能够优化整个堆叠结构的行为。
现参考诸图,图1A为根据本公开内容的至少一些实施方式的群集工具100(也称作系统或制造系统)的图表,群集工具100经配置用于基板制造,例如,后期多插塞制造、DRAM位线形成、三维(3D)NAND形成(例如,ONON栅极形成及/或OPOP栅极形成)等。群集工具100包括一或多个真空传送腔室(vacuum transfer chamber;VTM)101、102、工厂接口104、多个处理腔室/模块106、108、110、112、114、116及118,及工艺控制器120(控制器)。服务器计算装置145也可连接至群集工具100(例如,连接至群集工具100的控制器120)。在具有多于一个VTM的实施方式中(诸如图1A中所示),可提供一或多个通过腔室(称作经过腔室)以促进自一个VTM至另一VTM的真空传送。在与图1A中所示一致的实施方式中,可提供两个通过腔室(例如,通过腔室140及通过腔室142)。
工厂接口104包括装载端口122,装载端口122经配置以(例如自前开式标准舱(front opening unified pod;FOUP)或其他合适的含基板的箱(box)或载体)接收待使用群集工具100处理的一或多个基板。装载端口122可包括可用于装载一或多个基板的一个或多个装载区域124a~124c。示出三个装载区域。然而,可使用更多个或更少个装载区域。
工厂接口104包括大气传送模块(atmospheric transfer module;ATM)126,大气传送模块126用以传送已装载至装载端口122中的基板。更特定而言,ATM 126包括一或多个机械臂128(以虚线示出),一或多个机械臂128经配置以经由将ATM 126连接至装载端口122的门135(以虚线示出,也称作狭缝阀)将基板自装载区域124a~124c传送至ATM 126。通常每一装载端口(124a~124c)有一个门,以允许自相应装载端口至ATM 126的基板传送。机械臂128也经配置以经由将ATM 126连接至气闸(air lock)130a、130b的门132(以虚线示出,每一装载锁定有一个门)将基板自ATM 126传送至装载锁定130a、130b。装载锁定的数目可多于或少于两个,但仅出于说明目的示出两个装载锁定(130a及130b),其中每一装载锁定具有用以将所述装载锁定连接至ATM 126的门。装载锁定130a~130b可为分批装载锁定(batch load lock)或可以不是分批装载锁定。
在控制器120的控制下,装载锁定130a、130b可维持在大气压环境或真空压力环境下,并且充当用于正被传送至VTM 101、102/自VTM 101、102传送的基板的中间或临时保持空间。VTM 101包括机械臂138(以虚线示出),机械臂138经配置以将基板自装载锁定130a、130b传送至多个处理腔室106、108(也称作工艺腔室)中的一或多者,或传送至一或多个通过腔室140及142(也称作经过腔室),而不破坏真空,即,同时维持VTM 102及多个处理腔室106、108和通过腔室140及142内的真空压力环境。VTM 102包括机械臂138(以虚线示出),机械臂138经配置以将基板自气闸130a、130b传送至多个处理腔室106、108、110、112、114、116及118中的一或多者,而不破坏真空,即,同时维持VTM 102及多个处理腔室106、108、110、112、114、116及118内的真空压力环境。
在某些实施方式中,可省去装载锁定130a、130b,且控制器120可经配置以将基板直接自ATM 126移动至VTM 102。
门134(例如,狭缝阀门)将每一相应装载锁定130a、130b连接至VTM 101。类似地,门136(例如,狭缝阀门)将每一处理模块连接至与相应处理模块耦接的VTM(例如,VTM 101或VTM 102)。多个处理腔室106、108、110、112、114、116及118经配置以执行一或多个工艺。可由处理腔室106、108、110、112、114、116及118中的一或多者执行的工艺的实例包括清洁工艺(例如,自基板移除表面氧化物的预清洁工艺)、退火工艺、沉积工艺(例如,用于沉积帽层、硬模层、阻挡层、位线金属层、阻挡金属层等)、蚀刻工艺,等等。可由工艺腔室中的一或多者执行的沉积工艺的实例包括物理气相沉积(physical vapor deposition;PVD)、化学气相沉积(chemical vapor deposition;CVD)、原子层沉积(atomic layer deposition;ALD),等等。可由工艺腔室中一或多者执行的蚀刻工艺的实例包括等离子体蚀刻工艺。在一个实例实施方式中,工艺腔室106、108、110、112、114、116及118经配置以执行通常与后期多插塞制造序列及/或动态随机存取存储器(dynamic random-access memory;DRAM)位线堆叠结构制造序列相关联的工艺。在一个实例实施方式中,工艺腔室106、108、110、112、114、116及118经配置以执行通常与3DNAND形成序列相关联的工艺以(诸如)形成ONON栅或OPOP栅,这些工艺可包括用于沉积绝缘体及导体(例如,SiO2及SiN,或SiO2及多晶硅)的交替层的多层堆叠结构的工艺。
在实施方式中,群集工具100的部件中的一或多者包括光学传感器147a、147b,光学传感器147a、147b经配置以测量基板上的诸如层或膜厚度的性质。在一个实施方式中,光学传感器147a设置在经过腔室140中,且光学传感器147b设置在经过腔室147b中。替代地或附加地,一或多个光学传感器147a~147b可设置在VTM 102及/或VTM 101内。替代地或附加地,一或多个光学传感器147a~147b可设置在装载锁定130a及/或装载锁定130b中。替代地或附加地,一或多个光学传感器147a~147b可设置在工艺腔室106、108、110、112、114、116及118中的一或多者中。光学传感器147a~147b可经配置以测量沉积于基板上的层的膜厚度。在一个实施方式中,光学传感器147a~147b对应于图3的光学传感器300。在一些实施方式中,当在基板上形成了多层堆叠结构中的每个层之后,光学传感器147a~147b测量膜厚度。光学传感器147a~147b可测量制造工艺序列中的工艺之间的膜厚度,且可用以通知关于如何在制造工艺序列中执行进一步工艺的决策。在实施方式中,可在基板上执行指示膜厚度的光学测量,而无需自真空环境中移除基板。
控制器120(例如,工具及设备控制器)可控制群集工具100的各种方面,例如,处理腔室中的气压、个别气体流量、空间流量比、各种工艺腔室中的等离子体功率、各种腔室部件的温度、处理腔室的射频(radio frequency;RF)或电学状态,等等。控制器120可自群集工具100的部件中的任一者接收信号和将命令发送至群集工具100的部件中的任一者,诸如,机械臂128、138、工艺腔室106、108、110、112、114、116及118、装载锁定130a~130b、狭缝阀门、光学传感器147a~147b及/或一或多个其他传感器,及/或群集工具100的其他处理部件。控制器120可因此控制处理的起始及停止,可调整沉积速率及/或目标层厚度,可调整工艺温度,可调整沉积组成物的类型或混合,可调整蚀刻速率,及类似者。控制器120可进一步自各种传感器(例如,光学传感器147a~147b)接收并且处理测量数据(例如,光学测量数据)且基于这样的测量数据作出决策。
在各种实施方式中,控制器120可以是计算装置及/或包括计算装置,诸如,个人计算机、服务器计算机、可编程逻辑控制器(programmable logic controller;PLC)、微控制器,等等。控制器120可包括(或是)一或多个处理装置,所述处理装置可以是通用处理装置,诸如,微处理器、中央处理单元,或类似者。更特定而言,处理装置可以是复杂指令集计算(complex instruction set computing;CISC)微处理器、精简指令集计算(reducedinstruction set computing;RISC)微处理器、超长指令字(very long instructionword;VLIW)微处理器,或实施其他指令集的处理器或实施指令集的组合的处理器。处理装置也可以是一或多个专用处理装置,诸如,专用集成电路(application specificintegrated circuit;ASIC)、场可编程门阵列(field programmable gate array;FPGA)、数字信号处理器(digital signal processor;DSP)、网络处理器,或类似者。控制器120可包括数据储存装置(例如,一或多个磁盘驱动器及/或固态驱动器)、主存储器、静态存储器、网络接口,及/或其他部件。控制器120的处理装置可执行指令以执行本文所述的方法及/或实施方式中的任何一或多者。可将指令储存在计算机可读储存介质上,计算机可读储存介质可包括主存储器、静态存储器、辅助储存及/或处理装置(在指令执行期间)。
在一个实施方式中,控制器120包括前馈引擎121。前馈引擎121可以硬件、固件、软件或上述项的组合来实施。前馈引擎121经配置以接收并处理光学测量数据,可选地包括由光学传感器(诸如,光谱仪)执行的反射计测量的结果。在基板上形成层之后及/或在基板上的层经蚀刻之后,前馈引擎121可计算光学测量数据(例如,反射计测量信号)以确定层的一或多个目标厚度值及/或其他目标性质。前馈引擎121可进一步确定多层堆叠结构的一或多个额外层的经更新的目标厚度及/或其他目标性质,可确定要用于用于形成具有经更新的目标厚度及/或其他性质的层的工艺的目标工艺参数值,可确定要用于蚀刻一或多个层的工艺的目标工艺参数值,且/或可预测包括所述层的装置或部件的一或多个下线性能度量值。可测量的下线性能度量值的实例包括信号容限、良率、电压、功率、装置操作速度、装置等待时间(latency)及/或其他性能变量。
在一个实施方式中,前馈引擎121包括预测模型123,预测模型123可使一或多个层的膜厚度及/或其他膜性质与下线性能度量的预测值相关。预测模型123可附加地或替代地基于一或多个已沉积层的厚度及/或其他层性质的输入来输出用于待沉积层的推荐的目标层厚度及/或其他目标层性质。附加地或替代地,预测模型123可输出制造工艺序列中的一或多个尚待执行的工艺的工艺参数的目标工艺参数值。举例而言,所述尚待执行的工艺可以是沉积工艺及/或蚀刻工艺。在一个实施方式中,预测模型123是经训练的机器学习模型,诸如,神经网络、高斯回归模型或线性回归模型。
前馈引擎121可将一或多个已形成层的所测量厚度及/或其他层性质输入至预测模型123中,且可接收针对一或多个额外层的输出目标厚度及/或其他目标层性质、用于实现目标厚度之目标工艺参数值、用于要在一或多个层上执行的蚀刻工艺的目标工艺参数值,及/或下线性能度量的预测值。其后,可基于预测模型123的输出调整要执行以形成额外层及/或蚀刻一或多个层的工艺配方。因此,前馈引擎121能够在制造工艺期间(即,在到达下线之前)预测下线问题,并且进一步能够调整制造工艺序列中尚待执行的工艺的一或多个工艺配方以避免所预测的下线问题。
在实例中,工艺腔室106、108、110、112、114、116及118中的第一个工艺腔室可以是沉积阻挡金属层的沉积腔室,这些工艺腔室中的第二个工艺腔室可以是沉积阻挡层的沉积腔室,且这些工艺腔室中的第三个工艺腔室可以是沉积位线金属层的腔室。制造工艺序列可包括用于沉积阻挡金属层的第一工艺配方、用于沉积阻挡层的第二工艺配方及用于沉积位线金属层的第三工艺配方。这些工艺配方中的每一者可与要由相应工艺配方实现的目标层厚度相关联。第一沉积腔室可执行工艺配方以沉积阻挡金属层。光学传感器147a~147b可用以测量阻挡金属层的厚度。前馈引擎121可接着确定所测量厚度偏离阻挡金属层的目标厚度。前馈引擎121可使用预测模型123以基于阻挡金属层的所测量厚度确定阻挡层及/或位线金属层的新的目标厚度。举例而言,若阻挡金属层太厚,则可相应地(例如,通过增大及/或减小阻挡层目标厚度及位线金属层目标厚度中的一者或两者)调整阻挡层厚度及/或位线金属层厚度。可确定用于形成阻挡层的工艺配方的新工艺参数值,且第二工艺腔室可执行经调整之工艺配方以形成具有新目标厚度的阻挡层。
可通过光学传感器147a~147b再次测量基板以确定阻挡层的厚度。可接着将阻挡金属层的厚度及阻挡层的厚度与这两个层的目标厚度进行比较,以确定与目标厚度的任何偏差。若识别到任何这样的偏差,则前馈引擎121可调整位线金属层的目标厚度。前馈引擎121可使用预测模型123以基于阻挡金属层及阻挡层的所测量厚度确定位线金属层的新的目标厚度。举例而言,若阻挡金属层太厚且阻挡层太薄,则可相应地(例如,通过增大及/或减小阻挡层目标厚度及位线金属层目标厚度中的一者或两者)调整阻挡层厚度及/或位线金属层厚度。可确定用于形成金属位线层的工艺配方的新工艺参数值,且第三工艺腔室可执行经调整的工艺配方以形成具有新目标厚度的金属位线层。
可通过光学传感器147a~147b再次测量基板以确定金属位线层的厚度。可接着由前馈引擎121使用金属阻挡层、阻挡层及金属位线层的厚度以预测下线性能度量的值。若预测值偏离规范,则可作出报废基板的确定,而非花费额外资源来完成预测无法通过最终检查的装置或部件的制造。附加地或替代地,若下线性能度量值低于性能阈值,则沉积过厚或过薄的层的工艺腔室可停止工作及/或被调度以进行维护。相应地,前馈引擎121可对工艺腔室的健康状况执行诊断并且对工艺腔室进行调度以在适当时进行维护。
控制器120可操作性地连接至服务器145。服务器145可以是或包括用作与制造设施中的一些或全部工具对接的工厂车间服务器的计算装置。服务器145可将指令发送至一或多个群集工具(诸如,群集工具100)的控制器。举例而言,服务器145可自群集工具100的控制器120接收信号并且将命令发送至控制器120。
在各种实施方式中,服务器145可以是及/或包括计算装置,诸如,个人计算机、服务器计算机、可编程逻辑控制器(PLC)、微控制器,等等。服务器145可包括(或是)一或多个处理装置,所述处理装置可以是通用处理装置,诸如,微处理器、中央处理单元,或类似者。更特定而言,处理装置可以是复杂指令集计算(CISC)微处理器、精简指令集计算(RISC)微处理器、超长指令字(VLIW)微处理器,或实施其他指令集的处理器或实施指令集的组合的处理器。处理装置也可以是一或多个专用处理装置,诸如,专用集成电路(ASIC)、场可编程门阵列(FPGA)、数字信号处理器(DSP)、网络处理器,或类似者。服务器145可包括数据储存装置(例如,一或多个磁盘驱动器及/或固态驱动器)、主存储器、静态存储器、网络接口,及/或其他部件。服务器145的处理装置可执行指令以执行本文所述的方法及/或实施方式中的任何一或多者。可将指令储存在计算机可读储存介质上,计算机可读介质可包括主存储器、静态存储器、辅助储存及/或处理装置(在指令执行期间)。
在一些实施方式中,服务器145包括前馈引擎121及预测模型123。除了包括前馈引擎121及预测模型123的控制器120以外或替代于该控制器120,服务器145可包括前馈引擎121及预测模型123。在一些实施方式中,控制器120及/或服务器145对应于图10的计算装置1000。
在一些情况下,可在第一群集工具(例如,群集工具100)中对基板执行一或多个工艺以在基板上形成一或更多个膜,且可在另一群集工具中对基板执行一或多个工艺(例如,可选地当在基板上执行了平版印刷术工艺之后执行的蚀刻工艺)。可在第一群集工具及/或第二群集工具中执行光学测量以确定预测的下线性能且/或对要在基板上执行的一或多个其他工艺作出调整。在这样的实施方式中,服务器145可与两个群集工具的控制器通信,以基于经由制造工艺序列中已执行的工艺在基板上形成的一或多个层的所测量厚度来协调对制造工艺序列中尚待执行的一或多个工艺的前馈控制。
图1B是根据本公开内容的至少一些实施方式的经配置用于基板制造(例如,后期多插塞制造)的群集工具150的图表。群集工具150包括真空传送腔室(VTM)160、工厂接口164、多个腔室/模块152、154、156(其中一些或全部可以是工艺腔室)及控制器170。服务器计算装置145也可连接至群集工具150(例如,连接至群集工具150的控制器170)。
工厂接口164包括一或多个装载端口,所述装载端口经配置以(例如自前开式标准舱(FOUP)166a、166b或其他合适的含基板的箱或载体)接收要使用群集工具150处理的一或多个基板。
工厂接口164包括大气传送模块(ATM),所述大气传送模块用以传送已装载至装载端口中的基板。更特定而言,ATM包括一或更多个机械臂,所述机械臂经配置以经由将ATM连接至装载端口而将基板自装载区域传送至ATM。机械臂还经配置以经由将ATM连接至装载锁定158a~158b的门将基板自ATM传送至装载锁定158a~158b。在控制器170的控制下,装载锁定158a~158b可维持在大气压环境或真空压力环境下,并且充当用于正被传送至VTM160/正自VTM 160传送的基板的中间或临时保持空间。VTM 160包括机械臂162,机械臂162经配置以将基板自装载锁定158a~158b传送至多个处理腔室152、154、156中的一或多者,而不破坏真空,即,同时维持VTM 160及多个腔室15、154、156内的真空压力环境。
在所图示的实施方式中,光学传感器157a~157b分别设置在装载锁定158a~158b中,用于对通过装载锁定158a~158b的基板执行光学测量。替代地或附加地,一或多个光学传感器可设置在VTM 160中及/或腔室152、154、156中的一者中。
控制器170(例如,工具及设备控制器)可控制群集工具150的各种方面,例如,处理腔室中的气压、个别气体流量、空间流量比、各种腔室部件的温度、处理腔室的射频(RF)或电学状态,等等。控制器170可自群集工具150的部件中的任一者接收信号和将命令发送至群集工具150的部件中的任一者,诸如,机械臂162、工艺腔室152、154、156、装载锁定158a~158b、光学传感器157a~157b、狭缝阀门、一或多个传感器,及/或群集工具150的其他处理部件。控制器170可因此控制处理的起始及停止,可调整沉积速率、沉积组成无的类型及混合、蚀刻速率及类似者。控制器170可进一步自各种传感器(诸如,光学传感器157a~157b)接收并且处理测量数据(例如,光学测量数据)。控制器170可大体上类似于图1A的控制器120,且可包括前馈引擎121(例如,前馈引擎121可包括预测模型123)。
控制器170可操作性地连接至服务器145,服务器145还可操作性地连接至图1A的控制器120。
在实例中,通过群集工具100的各种工艺腔室106、116、118、114、110、112、108在基板上执行一或多个工艺以在基板上形成一或多个层。可使用光学传感器147a~147b测量一或多个层的厚度。所测量厚度可由前馈引擎121使用以确定一或多个待沉积层的层厚度、用于形成待沉积层的工艺的工艺参数及/或用以蚀刻已沉积层的工艺的工艺参数值。可接着自群集工具100移除基板并且将基板放置在平版印刷术工具中以图案化基板上的掩模层。可接着将基板放置至群集工具150中。可接着通过群集工具150的工艺腔室152、154、156中的一或多者在基板上执行一或多个蚀刻工艺以蚀刻一或多个膜。蚀刻工艺的一或多个目标工艺参数值可以已由前馈引擎121基于所沉积层的一或多个所测量厚度来输出。替代地或附加地,可通过群集工具150的工艺腔室152、154、156中的一或多者在基板上执行一或多个沉积工艺以沉积多层堆叠结构的一或多个层。这样的膜的目标厚度可以已由前馈引擎121基于所沉积层的一或多个所测量厚度来输出。
在一个实施方式中,群集工具100及/或群集工具150的工艺腔室经配置以执行一或多个DRAM位线堆叠工艺(例如,用于后期多插塞制造)。替代地,群集工具100及/或群集工具150可经配置以执行其他工艺,诸如,3DNAND沉积工艺。
图2A是根据实施方式的对DRAM位线形成工艺中的一或多个工艺执行前馈控制的方法220的流程图。图2B示出根据实施方式的基板200的一部分的示意性侧视图,基板200包括多插塞202、DRAM位线堆叠结构201(包括阻挡金属204、阻挡层206及位线金属层208)及硬模层210。多插塞202可以已在群集工具100之外形成。根据方法220,DRAM位线堆叠结构201可在不破坏DRAM位线堆叠结构201的各种层的沉积之间的真空的情况下在群集工具100内部形成。
在方法220的操作225处,可经由装载区域124a~124c中的一或多者将基板200装载至装载端口122中。在控制器120的控制下,ATM 126的机械臂128可将具有多插塞202的基板200自装载区域124a传送至ATM 126。机械臂128可接着将基板200放置至装载锁定130a~130b中,且装载锁定可在控制器120的控制下被抽空至真空。控制器120可接着指示机械臂138将基板300传送至处理腔室中的一或多者,以使得可完成基板200的制造—即,完成在基板200上的多插塞202顶上的位线堆叠工艺。
在操作230处,在控制器120的控制下,机械臂138可自装载锁定130a~130b取回基板200并且将基板放置至预清洁腔室(例如,工艺腔室106)中。可在不破坏真空的情况下(即,在将基板200传送至预清洁腔室的同时在VTM 101及VTM 102内维持真空压力环境)执行基板200自装载锁定至工艺腔室106的传送。处理腔室106可用以执行一或多个预清洁工艺,以移除可能存在于基板200上的污染物,例如,可能存在于基板200上的本征氧化物。
在操作235处,控制器120打开门136并且指示机械臂138将基板200传送至下一处理腔室,所述下一处理腔室可以是阻挡金属沉积腔室,诸如,工艺腔室108。可在不破坏真空的情况下执行基板200自工艺腔室106至工艺腔室108的传送。工艺腔室接着执行沉积工艺以在多插塞202之上形成阻挡金属层204。举例而言,阻挡金属可以是钛(Ti)或钽(Ta)中的一者。
在操作240处,控制器120指示机械臂138自工艺腔室108移除基板200并且指示光学传感器147a~147b生成阻挡金属层204的光学测量结果以确定阻挡金属层204的厚度。举例而言,控制器120可指示机械臂138在真空下将基板自处理腔室108传送至通过腔室140、142中的任一者。控制器120可指示光学传感器147a~147b在基板200处于通过腔室140、142中的同时生成阻挡金属层204的光学测量结果。
在操作245处,控制器120基于阻挡金属层202的所测量厚度确定阻挡层206的目标厚度。另外,控制器120可确定位线金属层208的目标厚度。举例而言,可使用前馈引擎121及/或经训练的机器学习模型(诸如,预测模型123)确定阻挡层及/或阻挡金属层的目标厚度。可在不对基板200破坏真空的情况下执行操作240、245。
在一个实施方式中,在操作250处,控制器120指示机械臂139在不破坏真空的情况下将基板200传送至另一工艺腔室(例如,工艺腔室116),并且指示工艺腔室对阻挡金属层204执行退火操作。在一些实施方式中,可在操作250之后执行操作240及/或245。退火工艺可以是任何合适的退火工艺,诸如,快速热处理(rapid thermal processing;RTP)退火。
在操作255处,控制器120可指示机械臂139在不破坏真空的情况下将基板200自通过腔室140、142或自退火工艺腔室(例如,工艺腔室116)传送至阻挡层沉积腔室(例如,工艺腔室110)。举例而言,处理腔室110可经配置以在基板200上执行阻挡层沉积工艺(例如,在阻挡金属层204顶上沉积阻挡层206)。举例而言,阻挡层206可以是氮化钛(TiN)、氮化钽(TaN)或氮化钨(WN)中的一者。
在操作260处,控制器120指示机械臂138或机械臂139自阻挡层沉积腔室移除基板200并且指示光学传感器147a~147b生成阻挡层206的光学测量结果以确定阻挡层206的厚度。举例而言,控制器120可指示机械臂139在真空下将基板自处理腔室108传送至通过腔室140、142中的任一者。控制器120可指示光学传感器147a~147b在基板200处于通过腔室140、142中的同时生成阻挡层206的光学测量结果。
在操作265处,控制器120基于阻挡层206的所测量厚度及阻挡金属层204的所测量厚度确定位线金属层208的目标厚度。举例而言,可使用前馈引擎121及/或经训练的机器学习模型(诸如,预测模型123)确定位线金属层208的目标厚度。可在不对基板200破坏真空的情况下执行操作260、265。
在操作270处,控制器120可指示机械臂139在不破坏真空的情况下将基板200自处理腔室110传送至(例如)位线金属沉积工艺腔室(例如,处理腔室112)。位线金属沉积腔室可经配置以在基板200上执行位线金属沉积工艺(例如,以在阻挡层206顶上沉积位线金属层208)。举例而言,位线金属层可以是钨(W)、钼(Mo)、钌(Ru)、铱(Ir)或铑(Rh)中的一者。
在操作275处,控制器120指示机械臂139自位线金属层沉积腔室移除基板200并且指示光学传感器147a~147b生成位线金属层208的光学测量结果以确定位线金属层208的厚度。举例而言,控制器120可指示机械臂139在真空下将基板自处理腔室112传送至通过腔室140、142中的任一者。控制器120可指示光学传感器147a~147b在基板200处于通过腔室140、142中的同时生成位线金属层208的光学测量结果。
在操作280处,控制器120基于金属位线层208的所测量厚度、阻挡层206的所测量厚度及阻挡金属层204的所测量厚度来预测下线性能度量的值。举例而言,可使用前馈引擎121及/或经训练的机器学习模型(诸如,预测模型123)确定下线性能度量值。可在不对基板200破坏真空的情况下执行操作275、280。
在一个实施方式中,在操作285处,控制器120指示机械臂139在不破坏真空的情况下将基板200传送至退火工艺腔室(例如,工艺腔室116),并且指示工艺腔室对位线金属层208执行退火操作。在一些实施方式中,可在操作285之后执行操作275及/或280。所述退火工艺可以是任何合适的退火工艺,诸如,快速热处理(RTP)退火。
在其中在操作285处执行退火工艺的一些实施方式中,在操作290处,可将经退火基板200传送至另一处理腔室,以使可选的封顶层(capping layer)209沉积在位线金属层208上。举例而言,可在真空下(例如使用机械臂139)将包括位线金属层208的经退火基板200自退火腔室(例如,处理腔室116)传送至封顶层沉积腔室(例如,处理腔室118),以在经退火的位线金属层208顶上沉积封顶层。
在操作295处,控制器120可指示机械臂139在不破坏真空的情况下将基板200传送至硬模沉积腔室(诸如,处理腔室114)。硬模沉积腔室经配置以在基板200上执行硬模沉积工艺(例如,以在位线金属层208及/或帽层209顶上沉积硬模层210)。举例而言,硬模可以是氮化硅(SiN)、氧化硅(SiO)或碳化硅(SiC)中的一者。
通过在整合式工具(例如,群集工具100)中执行以上序列中的每一者,进一步有利地避免位线金属在用于晶粒生长的退火期间氧化。
在已形成DRAM位线堆叠结构及硬模层210之后,可自群集工具100移除基板200并且使用平版印刷术工具来处理基板200以在硬模210中形成图案。可接着将基板传送至群集工具150,群集工具150可执行一或多个蚀刻工艺以蚀刻DRAM位线堆叠结构中的一或多个层。在一些实施方式中,在操作280处,控制器120进一步基于金属阻挡层、阻挡层及/或金属位线层的厚度确定要在DRAM位线堆叠结构上执行的蚀刻工艺的一或多个工艺参数值。这些工艺参数值可被传输至控制器170。控制器170可接着指示蚀刻工艺腔室(例如,工艺腔室152或154)使用所确定的蚀刻工艺参数值来执行蚀刻工艺。
与使用传统处理技术所形成的DRAM位线堆叠结构相比较而言,方法220可造成具有改善的下线性能性质的DRAM位线堆叠结构。
图3根据本公开内容的一个方面图示用于测量群集工具中的基板上的层的厚度的光学传感器系统300的简化侧视图。在实施方式中,光学传感器系统可对应于(例如)图1A至图1B的光学传感器147a~147b、157~157b。系统300可包括(例如)腔室303,腔室303可以是传送腔室(例如,VTM 101、102)、装载锁定腔室130a~130b、通过腔室140、142或群集工具的其他腔室。在一个实施方式中,腔室303是附接至群集工具的小面(facet)(例如,附接至VTM的小面)的测量腔室。
腔室303可包括处于真空压力下的内部容积,所述内部容积可以是一或多个VTM(例如,VTM 101、102)的真空环境的一部分。腔室303可包括窗320。窗320可以是(例如)透明晶体、玻璃或另一透明材料。所述透明晶体可由透明陶瓷材料制成,或可由耐用透明材料制成,诸如,蓝宝石、金刚石、石英、碳化硅或上述项的组合。
在实施方式中,系统300进一步包括光源301(例如,宽频带光源或其他电磁辐射源)、光耦合装置304(例如,准直器或反射镜)、光谱仪325、控制器120、170及(可选地)服务器145。光源301及光谱仪325可经由一或多个光纤缆线332以光学方式耦合至光耦合装置304。
在各种实施方式中,光耦合装置304可经适配以将光准直或以其他方式沿光学路径在两个方向上传输光。第一方向可包括来自光源301的光,来自光源301的光将被准直并且经由窗320传输至腔室303中。第二方向可以是反射光,所述反射光已自基板304反射并经由回传至光耦合装置304中的窗320返回。反射光可聚焦至光纤缆线332中且因此在第二方向上沿光学路径被导向至光谱仪325。另外,光纤缆线332可耦接在光谱仪325与光源301之间,用于在光源301至透明晶体120之间高效地传送光及将光高效地传送回到光谱仪325。
在实施方式中,光源发出在约200nm~800nm的光谱处的光,且光谱仪325也具有200nm~800nm的波长范围。光谱仪325可经适配以检测自光耦合装置304接收的反射光的光谱,例如,已自腔室303中的基板反射并且经由窗320返回并且通过光耦合装置304聚焦至光纤缆线332中的光。
控制器120、170可耦接至光源301、光谱仪325及腔室303。
在一个实施方式中,控制器120、170可引导光源301以闪光并且然后自光谱仪325接收光谱。控制器120、170也可保持光源关闭并且在光源301关闭时自光谱仪325接收第二光谱。控制器120、170可自第一光谱减去第二光谱以确定某一时刻的反射计测量信号。控制器120、170可接着将反射计测量信号以数学方式拟合至一或多个薄膜模型以确定被测量的膜的一或多个光学薄膜性质。
在一些实施方式中,所述一或多个光学薄膜性质可包括膜厚度、折射率(n)及/或消光系数(k)值。折射率是光在真空中的速度与光在膜中的速度的比率。消光系数是有多少光在膜中被吸收的测量值(measure)。控制器120、170可使用n及k值确定膜的成分。控制器120、170可进一步经配置以分析膜的一或多个性质的数据。控制器120、170可接着如以上在本文中使用前馈引擎所讨论的来确定要沉积的层的目标厚度值、沉积工艺及/或蚀刻工艺之目标工艺参数值,及/或下线性能性质。或者,服务器145可如以上在本文中使用前馈引擎所讨论的来确定沉积工艺及/或蚀刻工艺的目标工艺参数值,及/或下线性能性质。
应注意,本文中参考使用一或多个层的特定性质(即,厚度)来讨论实施方式,以确定额外层的目标厚度、要执行的额外工艺的工艺参数值及/或下线性能性质。然而,应理解,替代于厚度或附加于厚度,可使用可基于光学测量结果(例如,诸如折射率n及/或消光系数k)确定的所沉积层的其他层性质来确定额外层的目标厚度、要执行的额外工艺的工艺参数值及/或下线性能性质。相应地,应理解,本文中对使用厚度测量结果的任何引用适用于单独使用厚度测量结果或与折射率及/或消光系数一起使用厚度测量结果。另外,应理解,在本文实施方式中,其他可光学可测量的膜性质(诸如,折射率及/或消光系数)可取代厚度测量结果。
图4是根据实施方式的基于产生自工艺序列中的一或多个已执行工艺的膜的光学测量结果来执行针对多层堆叠结构的工艺序列中的一或多个下游工艺的前馈控制的方法400的流程图。
在方法400的操作410处,在第一工艺腔室中在基板上执行第一制造工艺以在基板上形成多层堆叠结构的第一层。在一些实施方式中,在基板上在第一层之下存在额外层。可接着自工艺腔室移除基板。
在操作415处,使用光学传感器在基板上执行光学测量以测量第一层的第一厚度。附加地或替代地,可使用光学传感器测量第一层的一或多个其他性质,诸如,折射率及/或消光系数。
在操作420处,计算装置(例如,控制器或服务器)基于第一厚度(及/或第一层的一或多个其他所测量的性质)确定多层堆叠结构的一或多个剩余层的目标厚度。附加地或替代地,计算装置可基于第一厚度(及/或第一层的一或多个其他所测量的性质)确定一或多个剩余层的一或多个其他目标性质(例如,诸如目标折射率、目标表面粗糙度、目标平均晶粒大小、目标晶粒取向等)。附加地或替代地,在操作420处,计算装置可确定将执行以形成一或多个剩余层的工艺的目标工艺参数值。举例而言,计算装置可确定将近似地造成所确定的目标层厚度的要执行的一或多个沉积工艺的工艺参数(诸如,沉积时间、气体流率、温度、压力、等离子体功率等)的工艺参数值。另外,计算装置可用所测量厚度及用一或多个剩余层的目标厚度来预测包括多层堆叠结构的装置或部件的一或多个下线性能度量值。若所预测的下线性能度量值低于性能阈值,则在一些实施方式中基板可报废或返工。附加地或替代地,若所预测的下线性能度量值低于性能阈值,则沉积第一层的工艺腔室可被调度以进行维护。在实施方式中,可通过将第一层的所测量厚度(及/或其他性质)输入至预测模型123中而执行操作420。
在操作425处,处理逻辑确定要执行以形成多层堆叠结构的第二层的第二制造工艺的一或多个工艺参数的工艺参数值。在一个实施方式中,通过将目标厚度(及/或要沉积的下一层的其他目标性质)输入至表、函数或模型中而确定工艺参数值。所述表、函数或模型可接收目标厚度(及/或其他层性质),并且可输出工艺参数值。在一个实施方式中,所述模型是经训练的机器学习模型,诸如,已经训练以基于层的输入目标厚度及/或其他输入目标性质输出配方的工艺参数值的神经网络(例如,卷积神经网络)或回归模型。在一个实施方式中,目标工艺参数值是在操作420处确定的。
在操作430处,将基板传送至第二工艺腔室,且第二工艺腔室使用所确定的工艺参数值在基板上执行第二制造工艺以在基板上形成多层堆叠结构的第二层。可接着自第二工艺腔室移除基板。
在操作435处,使用光学传感器在基板上执行光学测量以测量第二层的实际第二厚度。附加地或替代地,可使用光学传感器测量第二层的一或多个其他性质,诸如,折射率及/或消光系数。
在操作440处,计算装置(例如,控制器或服务器)基于第一层的第一厚度及第二层的实际第二厚度(及/或第一层及第二层的一或多个其他所测量性质)确定多层堆叠结构的一或多个剩余层的目标厚度。附加地或替代地,计算装置可基于第一厚度(及/或第一层的一或多个其他所测量的性质)及实际第二厚度(及/或第二层的一或多个其他所测量的性质)确定一或多个剩余层的一或多个其他目标性质(例如,诸如目标折射率、目标表面粗糙度、目标平均晶粒大小、目标晶粒取向,等)。附加地或替代地,在操作440处,计算装置可确定将执行以形成一或多个剩余层的工艺的目标工艺参数值。举例而言,计算装置可确定将近似地造成所确定的目标层厚度的要执行的一或多个沉积工艺的工艺参数(诸如,沉积时间、气体流率、温度、压力、等离子体功率,等)的工艺参数值。另外,计算装置可用所测量的第一厚度及第二厚度与一或多个剩余层的目标厚度来预测包括多层堆叠结构的装置或部件的一或多个下线性能度量值。若所预测的下线性能度量值低于性能阈值,则在一些实施方式中基板可报废或返工且/或第二工艺腔室可被调度以进行维护。在实施方式中,可通过将第一层及第二层的所测量厚度(及/或其他性质)输入至预测模型123中而执行操作440。在一些实施方式中,在操作420及440处使用相同的经训练机器学习模型。或者,可在操作420及440处使用不同的经训练机器学习模型。举例而言,在操作420处所使用的经训练机器学习模型可经训练以仅接收单个厚度,且在操作440处所使用的经训练机器学习模型可经训练以接收两个厚度值。
在其中多层堆叠结构包括两个层的一个实施方式中,在操作440处,计算装置确定所预测的下线性能度量值,但不确定任何剩余层的目标厚度。在这样的实施方式中,方法400可在操作440处结束。
在操作445处,处理逻辑可确定要执行以形成多层堆叠结构的第三层的第三制造工艺的一或多个工艺参数的工艺参数值。在一个实施方式中,通过将目标厚度(及/或要沉积的下一层的其他目标性质)输入至表、函数或模型中而确定工艺参数值。所述表、函数或模型可接收目标厚度(及/或其他层性质),并且可输出工艺参数值。在一个实施方式中,所述模型是经训练的机器学习模型,诸如,已经训练以基于层的输入目标厚度及/或其他输入目标性质输出配方的工艺参数值的神经网络(例如,卷积神经网络)或回归模型。在一个实施方式中,目标工艺参数值是在操作440处确定的。
在操作450处,将基板传送至第三工艺腔室,且第三工艺腔室使用所确定的工艺参数值在基板上执行第三制造工艺以在基板上形成多层堆叠结构的第三层。可接着自第三工艺腔室移除基板。
在操作455处,使用光学传感器在基板上执行光学测量以测量第三层的实际第三厚度。附加地或替代地,可使用光学传感器测量第三层的一或多个其他性质,诸如,折射率及/或消光系数。
在操作460处,计算装置(例如,控制器或服务器)基于第一层的第一厚度、第二层的所测量第二厚度及第三层的所测量第三厚度(及/或第一层、第二层及第三层的一或多个其他所测量性质)确定所预测的下线性能度量值。若所述下线性能度量值低于性能阈值,则在一些实施方式中基板可报废或返工。在实施方式中,可通过将第一层、第二层及第三层的所测量厚度(及/或其他性质)输入至预测模型123中而执行操作460。在一些实施方式中,在操作420、440及460处使用相同的经训练机器学习模型。替代地,可在操作420、440及460处使用不同的经训练机器学习模型。若在第三层之后存在要沉积的额外层,则在操作460处,计算装置可附加地或替代地确定下一层的目标厚度及/或用于实现所述目标厚度的目标工艺参数值。可接着针对下一层执行与操作450~460类似的操作。
图5是根据实施方式的基于产生自一或多个已执行的沉积工艺的膜的光学测量执行对工艺序列中的下游蚀刻工艺的前馈控制的方法500的流程图。
在方法500的操作510处,在第一工艺腔室中在基板上执行第一制造工艺以在基板上形成层。在一些实施方式中,在基板上在第一层之下存在额外层。在一些实施方式中,所述层是多层堆叠结构中的层。可接着自工艺腔室移除基板。
在操作515处,使用光学传感器在基板上执行光学测量以测量第一层的第一厚度。附加地或替代地,可使用光学传感器测量第一层的一或多个其他性质,诸如,折射率及/或消光系数。
在操作520处,计算装置(例如,控制器或服务器)基于第一厚度(及/或第一层的一或多个其他所测量的性质)确定要在所沉积层上执行的蚀刻工艺的一或多个工艺参数的目标工艺参数值。另外,计算装置可预测包括所述层的装置或部件的一或多个下线性能度量值。若所预测的下线性能度量值低于性能阈值,则在一些实施方式中基板可报废或返工且/或工艺腔室可被调度以进行维护。在实施方式中,可通过将所述层的所测量厚度(及/或其他性质)输入至预测模型123中而执行操作520。
在操作530处,将基板传送至第二工艺腔室(例如,蚀刻工艺腔室),且第二工艺腔室使用所确定的工艺参数值在基板上执行蚀刻工艺以蚀刻所述层。在实例中,在操作510处沉积的层可已经比目标厚度更厚,且可增加蚀刻工艺的蚀刻时间以适应此更厚的层。可接着自第二工艺腔室移除基板。
在操作535处,可选地使用光学传感器在基板上执行光学测量以测量层的蚀刻后厚度。附加地或替代地,可使用光学传感器测量层的一或多个其他蚀刻后性质。
在操作540处,计算装置(例如,控制器或服务器)可基于层的厚度及/或层的蚀刻后厚度(及/或层的一或多个其他所测量性质)确定所预测的下线性能度量值。若所预测的下线性能度量值低于性能阈值,则在一些实施方式中基板可报废或返工。在实施方式中,可通过将所述层的所测量厚度(及/或其他性质)输入至预测模型123中而执行操作540。在一些实施方式中,在操作520及540处使用相同的经训练机器学习模型。替代地,可在操作520及540处使用不同的经训练机器学习模型。
图6是根据实施方式的基于产生自工艺序列中的一或多个已执行工艺的膜的光学测量来执行工艺序列中的一或多个下游工艺的前馈控制的方法600的流程图。
在方法600的操作605处,在第一工艺腔室中在基板上执行第一制造工艺以在基板上形成层。在一些实施方式中,在基板上在第一层之下存在额外层。
在操作610处,使用光学传感器在基板上执行光学测量以测量第一层的第一厚度。附加地或替代地,可使用光学传感器测量第一层的一或多个其他性质,诸如,折射率及/或消光系数。
在操作615处,计算装置(例如,控制器或服务器)基于第一厚度(及/或第一层的一或多个其他所测量的性质)确定要在基板上执行的一或多个未来工艺的一或多个工艺参数的一或多个工艺参数值。若要在基板上沉积其他层,则计算装置可以可选地也确定一或多个剩余层的目标厚度。附加地或替代地,计算装置可基于第一厚度(及/或第一层的一或多个其他所测量的性质)确定一或多个剩余层的一或多个其他目标性质(例如,诸如目标折射率、目标表面粗糙度、目标平均晶粒大小、目标晶粒取向等)。另外,计算装置可预测包括具有所测量厚度的第一层的装置或部件的一或多个下线性能度量值。若所预测的下线性能度量值低于性能阈值,则在一些实施方式中基板可报废或返工且/或在基板上沉积第一层的工艺腔室可被调度以进行维护。在实施方式中,可通过将第一层的所测量厚度(及/或其他性质)输入至预测模型123中而执行操作615。
在操作620处,将基板传送至第二工艺腔室,且第二工艺腔室使用所确定的工艺参数值在基板上执行第二制造工艺。第二制造工艺可以是(例如)沉积工艺、蚀刻工艺、退火工艺或某一其他工艺。举例而言,第二制造工艺可以是沉积工艺以在基板上形成多层堆叠结构的第二层。
在操作625处,在第二制造工艺完成之后,可使用光学传感器在基板上执行光学测量。若第二工艺是沉积工艺,则所述光学测量可测量额外的所沉积层的一或多个性质(例如,厚度)。
在操作630处,计算装置(例如,控制器或服务器)可基于第一层的第一厚度及在操作625处所确定的基板的光学测量结果(例如,第二层的第二厚度)确定要在基板上执行的一或多个其他工艺的工艺参数的一或多个工艺参数值。附加地或替代地,计算装置可确定下线性能度量的预测值。若所预测的下线性能度量值低于性能阈值,则在一些实施方式中基板可报废或返工且/或第二工艺腔室可被调度以进行维护。在实施方式中,可通过将第一层及/或第二层的所测量厚度(及/或其他性质)输入至预测模型123中而执行操作630。
在操作635处,处理逻辑确定是否要执行额外工艺,所述额外工艺的结果要使用光学传感器来测量。若是如此,则方法返回至方框620,并且在下一工艺腔室中执行下一工艺。否则,方法进行至操作640。在操作640处,一旦装置或部件完成(或已达到可测量一或多个性能度量的完成阶段),则进行测量以确定下线性能度量。举例而言,可测量装置的感测容限及/或其他电学性质。可接着使用所测量的下线性能度量值的结果连同在操作610和/或操作625处所确定的测量结果进一步训练在操作615及630处所使用的机器学习模型。举例而言,随着新产品批次完成,可持续训练预测模型123。因此,预测模型123的准确性可随时间而持续提高。
图7是基于通过工艺序列中的一或多个工艺形成的一或多个层的光学测量来更新用以控制工艺序列中的下游工艺的机器学习模型的训练的方法700的流程图。方法700可(例如)用以周期性地重新训练预测模型123。方法700可由处理逻辑执行,所述处理逻辑可包括硬件、软件、固件或上述项的组合。在实施方式中,方法700由图1A至图1B的控制器120、170及/或服务器145执行。
在方法700的操作705处,在包括多层堆叠结构的装置或部件上进行下线测量以确定下线性能度量值。在操作710处,处理逻辑确定多层堆叠结构中的一或多个层的膜厚度。可以已经在沉积每一相应层之后测量该层的厚度。举例而言,可以已根据方法400~600中的任一者测量了层厚度。在操作715处,处理逻辑生层包括一或多个层的膜厚度及下线性能度量值的训练数据项目。在操作720处,处理逻辑接着使用训练数据项目在经训练的机器学习模型(例如,预测模型123)上执行监督学习,以更新机器学习模型的训练。
图8是根据实施方式的执行与在基板上形成一或多个层的制造工艺序列相关联的实验设计(DoE)的方法800的流程图。尽管以特定顺序或次序示出,但除非另外指定,否则可修改工艺的次序。因此,应将所图示实施方式理解为仅为实例,且所图示工艺可以不同次序执行,且一些工艺可并行地执行。另外,在各种实施方式中,可省去一或多个工艺。因此,并非在每一实施方式中皆执行所有工艺。其他工艺流程是可能的。
在方法800的操作805处,执行制造工艺的序列的多个版本。制造工艺的该序列的每一版本使用该序列中的一或多个工艺的工艺参数值的不同组合,并且造成具有层厚度的不同组合的多层堆叠结构。在一个实施方式中,所述多层堆叠结构是DRAM位线堆叠结构,且DRAM位线堆叠结构的每一版本具有阻挡金属层、阻挡层及位线金属层的层厚度的不同组合。在一些情况下,可先验地知晓多层堆叠结构的层厚度组合的最佳值,且可测试层厚度的最佳组合以及层厚度的一或多个额外组合,其中层厚度中的一或多者高于及/或低于最佳厚度。举例而言,对于DRAM位线堆叠结构而言,最佳层厚度可以是金属阻挡层为2nm,阻挡层为3nm且金属位线层为20nm。可产生DRAM位线堆叠结构的不同版本,其中一些版本仅改变高于或低于最佳厚度的厚度中的一者,一些版本改变高于及/或低于最佳厚度的厚度中的两者,且一些版本改变高于及/或低于最佳厚度的所有三个厚度。在一个实例中,处理约300个基板以产生具有一系列厚度组合的多层堆叠结构。对于制造工艺序列的版本中的每一者而言,可在基板上执行一或多个其他工艺以产生可测试的装置或部件。
在操作810处,选择制造工艺序列的版本中的一者。
在操作815处,在使用制造工艺的序列的所选择版本制造的代表性基板上执行一或多次计量测量以确定在所述代表性基板上的多层堆叠结构中的一或多个层的特性。举例而言,可执行破坏性计量测量以确定基板上的多层堆叠结构中的每一层的厚度。或者,可在制造多层堆叠结构期间在线地(in-line)进行测量(例如,通过在层形成之后执行多层堆叠结构中的每一层的非破坏性光学测量)。
在操作820处,可使用具有使用制造工艺的所选择序列形成的多层堆叠结构的基板来制造装置或部件。在一些实施方式中,在操作810之前执行操作820。可形成的装置的实例包括DRAM存储器模块及3D NAND存储器模块。
在操作825处,针对包括通过制造工艺所选择版本形成的多层堆叠结构的所制造装置或部件测量一或更多个下线性能度量。所述性能度量可包括感测容限、电压、功率、装置速度、装置等待时间、良率及/或其他性能参数。在一些实施方式中,在装置或部件上执行一或多次电学测量以确定装置或部件的一或多个电学性质。所述电学性质可对应于装置或部件的下线性能度量或是装置或部件的下线性能度量。举例而言,感测容限是被输送至存储器单元的栅极的实际上由栅极检测到的电压的百分比。较大感测容限优于较小感测容限,因为具有较大感测容限的装置可使用较少电压起作用(例如,可将较小电压施加至存储器单元的栅极以改变栅极的状态)。
在操作830处,为制造工艺的序列的所选择版本生成数据项目。所述数据项目可以是训练数据项目,所述训练数据项目包括多层堆叠结构中每一层的层厚度及下线性能度量值。
在操作835处,作出关于是否存在制造工艺序列的剩余版本的确定,所述剩余版本尚未测试(且尚未为所述剩余版本生成数据项目)。若仍存在制造工艺的序列的剩余未测试版本,则方法返回至操作810,且选择制造工艺的序列的新版本进行测试。若已测试了制造工艺的序列的所有版本,则方法继续到操作840。
在操作840处,生成训练数据集。所述训练数据集包括针对制造工艺的序列的每一版本所生成的数据项目。
图9是根据实施方式的训练模型以基于由制造工艺序列中的一或多个工艺形成的一或多个层的厚度值来确定一或多个剩余层的目标厚度、用于形成所述一或多个层的工艺参数值及/或下线性能度量值的方法900的流程图。将清楚的是,可通过参考图1A至图3所述的部件来执行方法900。举例而言,在实施方式中,可通过控制器120、控制器170及/或服务器145来执行方法900。方法900的至少一些操作可由处理逻辑执行,所述处理逻辑可包括硬件(例如,电路系统、专用逻辑、可编程逻辑、微代码等)、软件(例如,在处理装置上运行以执行硬件模拟的指令),或上述项的组合。尽管以特定顺序或次序示出,但除非另外指定,否则可修改工艺的次序。因此,应将所图示实施方式理解为仅为实例,且所图示工艺可以不同次序执行,且一些工艺可并行地执行。另外,在各种实施方式中,可省去一或多个工艺。因此,并非在每一实施方式中皆执行所有工艺。其他工艺流程是可能的。
在方法900的操作905处,处理逻辑接收训练数据集(例如,可已经根据方法800生成所述训练数据集)。所述训练数据集可包括多个数据项目,其中每一数据项目包括制造工艺序列的版本的一或多个层厚度及下线性能度量值。
在操作910处,处理逻辑训练模型以接收基板上的多层堆叠结构中的一或多个层的厚度的输入,且输出以下项中的至少一者:多层堆叠结构中的一或多个剩余层的目标厚度、要在基板上执行的一或多个未来制造工艺的工艺参数的目标工艺参数值及/或所预测的下线性能度量值。
在一个实施方式中,所述模型为机器学习模型,诸如,使用回归训练的回归模型。回归模型的实例是使用线性回归或高斯回归训练的回归模型。在一个实施方式中,在操作915处,处理逻辑使用训练数据集执行线性回归或高斯回归以训练模型。回归模型在给定X变量的已知值的情况下预测Y的值。可使用回归分析来训练回归模型,所述回归分析可包括内插及/或外推。在一个实施方式中,使用最小二乘法估计回归模型的参数。或者,可执行贝叶斯线性回归、百分比回归、最小绝对偏差、非参数回归、情景优化及/或距离度量学习以训练回归模型。
在一个实施方式中,所述模型为机器学习模型,诸如,人工神经网络(也简称为神经网络)。人工神经网络可(例如)是卷积神经网络(convolutional neural network;CNN)或深度神经网络。在一个实施方式中,在操作920处,处理逻辑执行监督机器学习以训练神经网络。
人工神经网络通常包括具有分类器或回归层的特征表示部件,特征表示部件将特征映射至目标输出空间。举例而言,卷积神经网络(CNN)承载多层卷积滤波器。在较低层处执行池化,并且可解决非线性问题,在较低层顶上通常附加多层感知器,从而将卷积层所提取的顶层特征映射至决策(例如,分类输出)。神经网络可以是具有多个隐藏层的深度网络,或具有零个或几个(例如,1~2个)隐藏层的浅层网络。深度学习是一类机器学习算法,深度学习将非线性处理单元的多个层的级联用于特征提取及变换。每一连续层使用前一层之输出作为输入。神经网络可以受监督(例如,分类)及/或无监督(例如,模式分析)的方式学习。一些神经网络(例如,诸如深度神经网络)包括层的层次架构,其中不同层学习对应于不同抽象水平的不同表示水平。在深度学习中,每一水平学习将该水平的输入数据变换成稍微更抽象及复合的表示。
对神经网络的训练可通过监督学习的方式实现,监督学习涉及经由网络馈送由经标记输入组成的训练数据集,观察神经网络的输出,定义错误(通过测量输出与标签值之间的差),及使用诸如深度梯度下降及反向传播的技术来调谐网络在网络的所有层及节点上的权重以使得错误得以最小化。在许多应用中,在训练数据集中的许多经标记输入中重复此过程产生网络,该网络在呈现有与训练数据集中存在的输入不同的输入时可产生正确的输出。在高维设定(诸如,大影像)中,当有足够大且多样化的训练数据集可用时,可实现此泛化。
在实施方式中,输入为特征向量,所述特征向量包括一或多个层的膜性质(例如,诸如膜厚度),且标签为性能度量值,诸如,下线性能度量值(例如,诸如感测容限的电学值)。在一个实施方式中,神经网络经训练以接收一或多个所沉积层的膜性质作为输入,且输出一或更多个预测的性能度量值、尚待沉积的层的膜性质及/或要在已沉积层上执行且/或用以沉积其他层的未来工艺的工艺参数值。
在操作925处,部署经训练模型。举例而言,可将经训练模型部署至一或多个工艺腔室及/或群集工具的控制器。附加地或替代地,可将经训练模型部署至连接至一或多个控制器(例如,连接至一或多个工艺腔室的控制器及/或一或多个群集工具的控制器)的服务器。部署经训练模型可包括将经训练模型保存在控制器及/或服务器的前馈引擎中。一旦部署了经训练模型,控制器及/或服务器便可使用经训练模型来执行制造工艺序列中的一或多个制造工艺的前馈控制。
图10以计算装置1000的实例形式图示机器的图解表示,可在计算装置1000内执行一组指令以引起所述机器执行本文所讨论方法中的任何一或多者。在替代实施方式中,机器可在局域网(Local Area Network;LAN)、内联网、外联网或互联网中连接(例如,网络连接)至其他机器。所述机器可在客户端-服务器网络环境中以服务器或客户端机器的身份运行,或在对等(或分布式)网络环境中用作对等机器。所述机器可以是个人计算机(personalcomputer;PC)、平板计算机、机顶盒(set-top box;STB)、个人数字助理(Personal DigitalPDA)、蜂窝电话、web装置、服务器、网络路由器、交换机或桥接器,或能够执行指定要由所述机器采取的动作的一组指令(依序或以其他方式)的任何机器。另外,虽然仅图示单个机器,但术语“机器”也应被视为包括个别地或联合地执行一组(或多组)指令以执行本文所讨论的方法中的任何一或多者的机器(例如,计算机)的任何集合。
实例计算装置1000包括处理装置1002、主存储器1004(例如,只读存储器(read-only memory;ROM)、闪存存储器、动态随机存取存储器(dynamic random access memory;DRAM)(诸如,同步DRAM(SDRAM)或Rambus DRAM(RDRAM))等)、静态存储器1006(例如,闪存存储器、静态随机存取存储器(static random access memory;SRAM)等),及辅助存储器(例如,数据储存装置1018),这些元件经由总线1030彼此通信。
处理装置1002表示一或多个通用处理器,诸如,微处理器、中央处理单元,或类似者。更特定而言,处理装置1002可以是复杂指令集计算(CISC)微处理器、精简指令集计算(RISC)微处理器、超长指令字(VLIW)微处理器、实施其他指令集的处理器,或实施指令集组合的处理器。处理装置1002也可以是一或多个专用处理装置,诸如,专用集成电路(ASIC)、场可编程门阵列(FPGA)、数字信号处理器(DSP)、网络处理器,或类似者。处理装置1002经配置以执行用于执行本文所讨论的操作及步骤的处理逻辑(指令1022)。
计算装置1000可进一步包括网络接口装置1008。计算装置1000还可包括视频显示单元1010(例如,液晶显示器(liquid crystal display;LCD)或阴极射线管(cathode raytube;CRT))、字母数字输入装置1012(例如,键盘)、光标控制装置1014(例如,鼠标),及信号生成装置1016(例如,扬声器)。
数据储存装置1018可包括机器可读储存介质(或更特定言之,为计算机可读储存介质)1028,在机器可读储存介质上储存有一或多组指令1022以体现本文所述方法或功能中的任何一或多者。在通过计算机系统1000执行指令1022期间,指令1022也可全部或至少部分地驻存在主存储器1004及/或处理装置1002内,主存储器1004及处理装置1002也构成计算机可读储存介质。
计算机可读储存介质1028也可用以储存前馈引擎121,及/或含有调用前馈引擎121的方法的软件库。虽然在实例实施方式中将计算机可读储存介质1028示为单个介质,但术语“计算机可读储存介质”应被视为包括储存一或多组指令的单个介质或多个介质(例如,集中式或分布式数据库,及/或相关联的高速缓存及服务器)。术语“计算机可读储存介质”也应被视为包括能够储存或编码一组指令的任何介质,所述一组指令用于由机器来执行且引起机器执行本文所述方法中的任何一或多者。术语“计算机可读储存介质”相应地应被视为包括但不限于诸如固态存储器的非暂时性计算机可读介质,和光学及磁性介质。
本文所述的模块、部件及其他特征(例如,关于图1A至图3)可实施为离散的硬件部件或整合在诸如ASICS、FPGA、DSP或类似装置的硬件部件的功能中。另外,模块可实施为固件或硬件装置内的功能性电路系统。另外,模块可以硬件装置与软件部件的任何组合或仅以软件来实施。
已根据对计算机存储器内的数据位的操作的算法及符号表示呈现了具体说明的一些部分。这些算法说明及表示是数据处理领域技术人员用以最有效地向其他本领域技术人员传达技术人员的工作实质的手段。此处,算法通常被认为是带来目标结果的自洽步骤序列。这些步骤是需要物理量的物理操纵的步骤。通常,尽管并非必须,但这些量采取能够被储存、传送、组合、比较及以其他方式操纵的电学或磁性信号的形式。主要出于常见用法的原因,已证明将此些信号称作位、值、元素、符号、字符、项目、数字或类似者有时很便利。
然而,应牢记,所有这些或类似术语皆与适当的物理量相关联,且仅为应用于这些量的方便标签。除非另外特别说明,否则如自以下讨论而清楚的,应了解,在整个说明中,讨论使用诸如“接收”、“识别”、“确定”、“选择”、“提供”、“储存”或类似者的术语代表计算机系统或类似电子计算装置的动作及工艺,所述计算机系统或类似电子计算装置操纵在计算机系统的寄存器及存储器内表示为物理(电子)量的数据并且将所述数据变换成在计算机系统存储器或寄存器或其他这样的信息储存、传输或显示装置内类似地表示为物理量的其他数据。
本发明的实施方式还涉及一种用于执行本文操作的设备。此设备可出于所讨论的目的而特定构造,或此设备可包括由储存在计算机系统中的计算机程序选择性地编程的通用计算机系统。这样的计算机程序可储存在计算机可读储存介质中,诸如但不限于任何类型的盘(包括软盘、光盘、CD-ROM及磁光盘、只读存储器(ROM)、随机存取存储器(RAM)、EPROM、EEPROM、磁盘储存介质、光学储存介质、闪存存储器装置、其他类型的机器可存取储存介质),或适合于储存电子指令的任何类型的介质,每一者耦接至计算机系统总线。
先前描述阐述了诸多特定细节,诸如,特定系统、部件、方法等的实例,以便提供对本公开内容的若干实施方式的良好理解。然而,本领域技术人员将清楚的是,可在没有这些特定细节的情况下实践本公开内容的至少一些实施方式。在其他情形下,未详细描述或以简单框图的形式呈现熟知部件或方法,以便避免不必要地使本公开内容模糊。因此,所阐述的特定细节仅为示例性的。特定实施可与这些示例性细节不同,且仍预期在本公开内容的范围内。
贯穿本说明书对“一个实施方式”或“实施方式”的引用意味着结合实施方式描述的特定特征、结构、或特性被包括在至少一个实施方式中。因此,贯穿本说明书在各处出现的短语“在一个实施方式中”或“在实施方式中”未必皆代表同一实施方式。另外,术语“或”旨在意味着包括性的“或”而非排他性的“或”。当在本文中使用术语“约”或“近似”时,这旨在意味着所呈现的标称值精确在±10%以内。
尽管本文中以特定次序示出并描述了方法的操作,但可变更每一方法的操作次序,以使得可以相反次序执行某些操作,使得可至少部分地与其他操作同时执行某些操作。在另一实施方式中,相异操作的指令或子操作可以间歇及/或交替的方式进行。
应理解,以上描述旨在为说明性的,而非限制性的。在阅读并理解以上描述之后,对本领域技术人员来说许多其他实施方式将是清楚的。因此,本公开内容的范围应参考所附权利要求书连同这样的权利要求书所赋予的等效物的整个范围来确定。

Claims (25)

1.一种基板处理系统,包括:
至少一个传送腔室;
第一工艺腔室,连接至所述至少一个传送腔室,其中所述第一工艺腔室经配置以执行第一工艺以在基板上沉积多层堆叠结构的第一层;
第二工艺腔室,连接至所述至少一个传送腔室,其中所述第二工艺腔室经配置以执行第二工艺以在所述基板上沉积所述多层堆叠结构的第二层;
光学传感器,经配置以在所述第一层已沉积在所述基板上之后在所述第一层上执行光学测量;和
计算装置,操作性地连接至所述第一工艺腔室、所述第二工艺腔室、所述传送腔室或所述光学传感器中的至少一者,其中所述计算装置用以:
当已在所述基板上执行了所述第一工艺之后接收所述第一层的第一光学测量结果,其中所述第一光学测量结果指示所述第一层的第一厚度;
基于所述第一层的所述第一厚度确定所述多层堆叠结构的所述第二层的目标第二厚度;和
引起所述第二工艺腔室执行所述第二工艺以将近似地具有所述目标第二厚度的所述第二层沉积至所述第一层上。
2.如权利要求1所述的基板处理系统,进一步包括:
第三工艺腔室,连接至所述至少一个传送腔室,其中所述第三工艺腔室经配置以执行第三工艺以在所述基板上沉积所述多层堆叠结构的第三层;
其中所述光学传感器进一步经配置以在所述第二层上执行所述光学测量;和
其中所述计算装置进一步用以:
当已在所述基板上执行了所述第二工艺之后接收所述第二层的第二光学测量结果,其中所述第二光学测量结果指示所述第二层的实际第二厚度;
基于所述第一层的所述第一厚度及所述第二层的所述实际第二厚度确定所述多层堆叠结构的所述第三层的目标第三厚度;和
引起所述第三工艺腔室执行所述第三工艺以将近似地具有所述目标第三厚度的所述第三层沉积至所述第二层上。
3.如权利要求2所述的基板处理系统,其中为了确定所述多层堆叠结构的所述第三层的所述目标第三厚度,所述计算装置用以:
将所述第一层的所述第一厚度及所述第二层的所述实际第二厚度输入至经训练的机器学习模型中,所述经训练的机器学习模型已经训练以针对所述第一层的所述第一厚度及所述第二层的所述实际第二厚度的输入确定所述第三层的所述目标第三厚度,当与所述第一层的所述第一厚度及所述第二层的所述实际第二厚度相组合时,所述目标第三厚度造成包括所述多层堆叠结构的装置的最佳下线性能度量值。
4.如权利要求2所述的基板处理系统,其中:
所述光学传感器进一步经配置以在所述第三层上执行所述光学测量;并且
所述计算装置进一步用以:
当已在所述基板上执行了所述第三工艺之后接收所述第三层的第三光学测量结果,其中所述第三光学测量结果指示所述第三层的实际第三厚度;和
基于所述第一层的所述第一厚度、所述第二层的所述实际第二厚度及所述第三层的所述实际第三厚度确定包括所述多层堆叠结构的装置的所预测的下线性能度量值。
5.如权利要求4所述的基板处理系统,其中为了确定包括所述多层堆叠结构的所述装置的所述所预测的下线性能度量值,所述计算装置用以:
将所述第一层的所述第一厚度、所述第二层的所述实际第二厚度及所述第三层的所述实际第三厚度输入至经训练的机器学习模型中,所述经训练的机器学习模型已经训练以针对所述第一层的所述第一厚度、所述第二层的所述实际第二厚度及所述第三厚度的所述实际第三厚度的输入预测包括所述多层堆叠结构的所述装置的所述所预测的下线性能度量值。
6.如权利要求5所述的基板处理系统,其中所述多层堆叠结构包括动态随机存取存储器(DRAM)位线堆叠结构,且其中所述所预测的下线性能度量值包括感测容限。
7.如权利要求1所述的基板处理系统,其中为了确定所述多层堆叠结构的所述第二层的所述目标第二厚度,所述计算装置用以:
将所述第一层的所述第一厚度输入至经训练的机器学习模型中,所述经训练的机器学习模型已经训练以针对所述第一层的所述第一厚度的输入来输出所述第二层的所述目标第二厚度,当与所述第一层的所述第一厚度相组合时,所述目标第二厚度造成包括所述多层堆叠结构的装置的最佳下线性能度量值。
8.如权利要求7所述的基板处理系统,其中所述经训练的机器学习模型包括神经网络。
9.如权利要求7所述的基板处理系统,其中所述经训练的机器学习模型进一步经训练以输出以下中的至少一者:所述多层堆叠结构的第三层的目标第三厚度或包括所述多层堆叠结构的装置的下线性能度量值。
10.如权利要求1所述的基板处理系统,其中所述光学传感器包括光谱仪,所述光谱仪经配置以使用反射计测量来测量所述第一厚度。
11.如权利要求1所述的基板处理系统,其中所述光学传感器是所述传送腔室、装载锁定腔室或连接至所述传送腔室的通过站的部件。
12.一种方法,包括以下步骤:
在第一工艺腔室中使用第一沉积工艺处理基板以在所述基板上沉积多层堆叠结构的第一层;
自所述第一工艺腔室移除所述基板;
使用光学传感器测量所述第一层的第一厚度;
基于所述第一层的所述第一厚度确定所述多层堆叠结构的第二层的目标第二厚度;
确定将实现所述第二层的所述第二目标厚度的第二沉积工艺的一或多个工艺参数值;和
在第二工艺腔室中使用具有所述一或多个工艺参数值的所述第二沉积工艺处理所述基板以在所述第一层之上沉积所述多层堆叠结构的近似具有所述目标第二厚度的所述第二层。
13.如权利要求12所述的方法,进一步包括以下步骤:
使用所述光学传感器或额外光学传感器测量所述第二层的实际第二厚度;
基于所述第一层的所述第一厚度及所述第二层的所述实际第二厚度确定所述多层堆叠结构的第三层的目标第三厚度;
确定将实现所述第二层的所述第三目标厚度的第三沉积工艺的一或多个额外工艺参数值;和
在第三工艺腔室中使用所述一或多个额外工艺参数值处理所述基板以执行所述第三沉积工艺以将近似具有所述目标第三厚度的所述第三层沉积至所述第二层上。
14.如权利要求13所述的方法,其中确定所述多层堆叠结构的所述第三层的所述目标第三厚度的步骤包括以下步骤:
将所述第一层的所述第一厚度及所述第二层的所述实际第二厚度输入至经训练的机器学习模型中,所述经训练的机器学习模型已经训练以针对所述第一层的所述第一厚度及所述第二层的所述实际第二厚度的输入来输出所述第三层的所述目标第三厚度,当与所述第一层的所述第一厚度及所述第二层的所述实际第二厚度相组合时,所述目标第三厚度造成包括所述多层堆叠结构的装置的最佳下线性能度量值。
15.如权利要求13所述的方法,进一步包括以下步骤:
使用所述光学传感器或所述额外光学传感器测量所述第三层的实际第三厚度;和
基于所述第一层的所述第一厚度、所述第二层的所述实际第二厚度及所述第三层的所述实际第三厚度确定包括所述多层堆叠结构的装置的所预测的下线性能度量值。
16.如权利要求15所述的方法,其中确定包括所述多层堆叠结构的所述装置的所述所预测的下线性能度量值的步骤包括以下步骤:
将所述第一层的所述第一厚度、所述第二层的所述实际第二厚度及所述第三层的所述实际第三厚度输入至经训练的机器学习模型中,所述经训练的机器学习模型已经训练以针对所述第一层的所述第一厚度、所述第二层的所述实际第二厚度及所述第三厚度的所述实际第三厚度的输入来预测包括所述多层堆叠结构的所述装置的所述所预测的下线性能度量值。
17.如权利要求16所述的方法,其中所述多层堆叠结构包括动态随机存取存储器(DRAM)位线堆叠结构,且其中所述所预测的下线性能度量值包括感测容限值。
18.如权利要求12所述的方法,其中确定所述多层堆叠结构的所述第二层的所述目标第二厚度的步骤包括以下步骤:
将所述第一层的所述第一厚度输入至经训练的机器学习模型中,所述经训练的机器学习模型已经训练以针对所述第一层的所述第一厚度的输入来输出所述第二层的所述目标第二厚度,当与所述第一层的所述第一厚度相组合时,所述目标第二厚度造成包括所述多层堆叠结构的装置的所预测的最佳下线性能度量值。
19.如权利要求18所述的方法,其中所述经训练的机器学习模型包括神经网络。
20.如权利要求18所述的方法,其中所述经训练的机器学习模型进一步经训练以输出以下中的至少一者:所述多层堆叠结构的第三层的目标第三厚度或包括所述多层堆叠结构的装置的下线性能度量值。
21.如权利要求18所述的方法,进一步包括以下步骤:
接收包括所述多层堆叠结构的所述装置的实际下线性能度量值;和
使用训练数据项目重新训练所述经训练的机器学习模型,所述训练数据项目包括所述第一层的所述第一厚度及所述第二层的所述目标第二厚度,所述训练数据项目进一步包括对应于所述实际下线性能度量值
的标签。
22.如权利要求12所述的方法,其中所述光学传感器是传送腔室、装载锁定腔室或连接至所述传送腔室的通过站的部件,且其中在不自群集工具移除所述基板的情况下在所述基板上形成所述第一层及所述第二层,所述群集工具包括所述第一工艺腔室、所述第二工艺腔室及连接至所述第一工艺腔室及所述第二工艺腔室的传送腔室。
23.一种方法,包括以下步骤:
接收或生成包括多个数据项目的训练数据集,所述多个数据项目中的每一数据项目包括多层堆叠结构的多个层的层厚度的组合及包括所述多层堆叠结构的装置的下线性能度量值;和
基于所述训练数据集训练机器学习模型以接收所述多层堆叠结构的单个层的厚度或至少两个层的厚度作为输入,且输出以下中的至少一者:所述多层堆叠结构的单个剩余层的目标厚度、所述多层堆叠结构的至少两个剩余层的目标厚度或包括所述多层堆叠结构的装置的所预测的下线性能度量值。
24.如权利要求23所述的方法,进一步包括通过以下步骤来生成所述训练数据集的步骤:
形成所述多层堆叠结构的多个版本,所述多个版本中的每一者包括所述多层堆叠结构的所述多个层的层厚度的不同组合;
针对所述多层堆叠结构的每一版本,制造包括所述多层堆叠结构的所述版本的装置;
针对包括所述多层堆叠结构的版本的每一装置,测量下线性能度量以确定下线性能度量值;和
针对所述多层堆叠结构的每一版本,使所述多层堆叠结构的所述多个层的层厚度的所述组合与所述下线性能度量值相关联。
25.如权利要求23所述的方法,其中所述多层堆叠结构包括动态随机存取存储器(DRAM)位线堆叠结构,且其中所述所预测的下线性能度量值包括感测容限值。
CN202180078843.1A 2020-11-24 2021-11-19 在装置制造期间对多层堆叠结构的前馈控制 Pending CN116472437A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US17/103,847 US20220165593A1 (en) 2020-11-24 2020-11-24 Feedforward control of multi-layer stacks during device fabrication
US17/103,847 2020-11-24
PCT/US2021/060130 WO2022115328A1 (en) 2020-11-24 2021-11-19 Feedforward control of multi-layer stacks during device fabrication

Publications (1)

Publication Number Publication Date
CN116472437A true CN116472437A (zh) 2023-07-21

Family

ID=81657241

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202180078843.1A Pending CN116472437A (zh) 2020-11-24 2021-11-19 在装置制造期间对多层堆叠结构的前馈控制

Country Status (7)

Country Link
US (1) US20220165593A1 (zh)
EP (1) EP4252276A1 (zh)
JP (1) JP2023550487A (zh)
KR (1) KR20230107875A (zh)
CN (1) CN116472437A (zh)
TW (1) TW202236471A (zh)
WO (1) WO2022115328A1 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11688616B2 (en) * 2020-07-22 2023-06-27 Applied Materials, Inc. Integrated substrate measurement system to improve manufacturing process performance

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7201936B2 (en) * 2001-06-19 2007-04-10 Applied Materials, Inc. Method of feedback control of sub-atmospheric chemical vapor deposition processes
US9870935B2 (en) * 2014-12-19 2018-01-16 Applied Materials, Inc. Monitoring system for deposition and method of operation thereof
US10989652B2 (en) * 2017-09-06 2021-04-27 Lam Research Corporation Systems and methods for combining optical metrology with mass metrology
US10971369B2 (en) * 2018-01-31 2021-04-06 Hitachi High-Tech Corporation Plasma processing method and plasma processing apparatus
WO2019182952A1 (en) * 2018-03-20 2019-09-26 Tokyo Electron Limited Self-aware and correcting heterogenous platform incorporating integrated semiconductor processing modules and method for using same
US10886155B2 (en) * 2019-01-16 2021-01-05 Applied Materials, Inc. Optical stack deposition and on-board metrology
KR20200112584A (ko) * 2019-03-21 2020-10-05 삼성전자주식회사 멀티-챔버를 갖는 박막 형성 장치

Also Published As

Publication number Publication date
WO2022115328A1 (en) 2022-06-02
US20220165593A1 (en) 2022-05-26
KR20230107875A (ko) 2023-07-18
EP4252276A1 (en) 2023-10-04
TW202236471A (zh) 2022-09-16
JP2023550487A (ja) 2023-12-01

Similar Documents

Publication Publication Date Title
US10916472B2 (en) Self-aware and correcting heterogenous platform incorporating integrated semiconductor processing modules and method for using same
US20200043764A1 (en) Self-aware and correcting heterogenous platform incorporating integrated semiconductor processing modules and method for using same
JP2024503282A (ja) 自律型基板処理システム
US20230195071A1 (en) Methods and mechanisms for generating a data collection plan for a semiconductor manufacturing system
CN116472437A (zh) 在装置制造期间对多层堆叠结构的前馈控制
US20220165541A1 (en) Etch feedback for control of upstream process
TW202245091A (zh) 用於使用虛擬模型進行處理腔室健康監測和診斷的系統和方法
US20230135102A1 (en) Methods and mechanisms for process recipe optimization
US20230306300A1 (en) Methods and mechanisms for measuring patterned substrate properties during substrate manufacturing
US20230359179A1 (en) Methods and mechanisms for adjusting film deposition parameters during substrate manufacturing
US20230008072A1 (en) Method and mechanism for contact-free process chamber characterization
US20230195078A1 (en) Methods and mechanisms for adjusting process chamber parameters during substrate manufacturing
CN116802780B (zh) 自主基板处理系统
US20230342016A1 (en) Methods and mechanisms for generating virtual knobs for model performance tuning
US20230384777A1 (en) Methods and mechanisms for preventing fluctuation in machine-learning model performance
US20240069537A1 (en) Substrate placement optimization using substrate measurements
US20240071838A1 (en) Substrate placement optimization using substrate measurements
US20230236583A1 (en) Chamber component condition estimation using substrate measurements

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination