JP2023550487A - 装置製造中の多層スタックのフィードフォワード制御 - Google Patents

装置製造中の多層スタックのフィードフォワード制御 Download PDF

Info

Publication number
JP2023550487A
JP2023550487A JP2023530849A JP2023530849A JP2023550487A JP 2023550487 A JP2023550487 A JP 2023550487A JP 2023530849 A JP2023530849 A JP 2023530849A JP 2023530849 A JP2023530849 A JP 2023530849A JP 2023550487 A JP2023550487 A JP 2023550487A
Authority
JP
Japan
Prior art keywords
layer
thickness
multilayer stack
substrate
target
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2023530849A
Other languages
English (en)
Inventor
プリヤダルシ パンダ,
レイ リアン,
レナード マイケル テデスキ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2023550487A publication Critical patent/JP2023550487A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/04Architecture, e.g. interconnection topology
    • G06N3/045Combinations of networks
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/04Architecture, e.g. interconnection topology
    • G06N3/0464Convolutional networks [CNN, ConvNet]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/08Learning methods
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/08Learning methods
    • G06N3/084Backpropagation, e.g. using gradient descent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/482Bit lines

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Biophysics (AREA)
  • Computing Systems (AREA)
  • Biomedical Technology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Computational Linguistics (AREA)
  • Data Mining & Analysis (AREA)
  • Evolutionary Computation (AREA)
  • General Health & Medical Sciences (AREA)
  • Molecular Biology (AREA)
  • Artificial Intelligence (AREA)
  • General Engineering & Computer Science (AREA)
  • Mathematical Physics (AREA)
  • Software Systems (AREA)
  • Health & Medical Sciences (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Length Measuring Devices By Optical Means (AREA)

Abstract

基板上に多層スタックを形成する方法は、基板上に多層スタックの第1の層を堆積させるために第1の堆積プロセスを用いて第1のプロセスチャンバ内で基板を処理することと、第1のプロセスチャンバから基板を取り出すことと、光学センサを用いて第1の層の第1の厚さを測定することと、第1の層の第1の厚さに基づいて、多層スタックの第2の層のためのターゲットの第2の厚さを決定することと、第2の層のための第2のターゲットの厚さを達成することになる第2の堆積プロセスのための1つ又は複数のプロセスパラメータ値を決定することと、第1の層の上にターゲットの第2の厚さをほぼ有する多層スタックの第2の層を堆積させるために、1つ又は複数のプロセスパラメータ値を有する第2の堆積プロセスを用いて、第2のプロセスチャンバにおいて基板を処理することとを含む。【選択図】図1A

Description

[0001]本開示の実施形態は、装置製造中の多層スタックのフィードフォワード制御に関する。加えて、本実施形態は、マルチプロセス製造シーケンスの上流プロセスの後に実行される光学測定に基づく、マルチプロセス製造シーケンスの下流プロセスのフィードフォワード制御に関する。
[0002]基板上に構成要素を形成するための製造プロセスシーケンスを開発するために、エンジニアは、1つ又は複数の実験計画(DoE)を実行して、製造プロセスシーケンスで実行されるプロセスのシーケンスの各プロセスのためのプロセスパラメータ値を決定する。DoEでは、各製造プロセスについて異なるプロセスパラメータ値を用いて基板を処理することによって、一般的に、複数の異なるプロセスパラメータ値が試験される。製造プロセスシーケンス中に堆積及び/又はエッチングされる1つ又は複数の層を含む装置又は構成要素は、その後、エンドオブライン(end-of-line)で試験され、ここでエンドオブラインは、構成要素又は装置の完成に対応する。このような試験の結果、1つ又は複数のエンドオブライン性能測定値(end-of-line performance metric value)が決定される。製造プロセスシーケンスにおける製造プロセスの1つ以上のプロセスパラメータのためのターゲットのプロセスパラメータ値を決定するために、及び/又は製造プロセスシーケンスにおける製造プロセスの1つ以上によって堆積及び/又はエッチングされる層のためのターゲット層の特性(本明細書では膜特性とも称される)を決定するために、1つ又は複数のDoEの結果が使用されることがある。
[0003]ターゲットプロセスパラメータ値及び/又はターゲット層特性がいったん決定されると、基板は、製造プロセスシーケンスに従って処理されることになり、DoEの結果に基づいて決定された所定のプロセスパラメータ値及び/又は層特性が、製造プロセスシーケンスの各プロセスに使用される。そして、エンジニアは、処理された基板が、DoE中に処理された基板と類似する特性を有していることを期待し、更に、製造プロセスシーケンスによって形成された層を含む製造装置又は構成要素が、ターゲットのエンドオブライン性能測定値を有していることを期待する。しかし、DoE中に決定された膜特性と製品基板上の膜の膜特性との間には、ばらつきがあることが多く、その結果、エンドオブラインの性能測定値が変化してしまうことがある。更に、各プロセスチャンバは、他のプロセスチャンバとわずかに異なる場合があり、異なる膜特性を有する膜を生成することができる。また、プロセスチャンバは経年変化することがあるため、同じプロセスレシピ(recipe)を使用しても、それらのプロセスチャンバにより生成される膜も経年変化してしまうことがある。
[0004]本明細書で説明する実施形態のいくつかは、少なくとも1つの移送チャンバと、少なくとも1つの移送チャンバに接続された第1のプロセスチャンバと、少なくとも1つの移送チャンバに接続された第2のプロセスチャンバと、第1の層が基板上に堆積された後に、第1の層に対して光学測定を実行するように構成された光学センサと、第1のプロセスチャンバ、第2のプロセスチャンバ、移送チャンバ又は光学センサのうちの少なくとも1つに動作可能に接続された計算装置とを備える基板処理システムの範囲に及ぶ。第1のプロセスチャンバは、基板上に多層スタックの第1の層を堆積させるための第1のプロセスを実行するように構成され、第2のプロセスチャンバは、基板上に多層スタックの第2の層を堆積させるための第2のプロセスを実行するように構成される。計算装置は、第1のプロセスが基板上で実行された後に、第1の層の第1の光学測定値を受信することであって、第1の光学測定値は第1の層の第1の厚さを示す、第1の層の第1の光学測定値を受信することと、第1の層の第1の厚さに基づいて、多層スタックの第2の層のためのターゲットの第2の厚さを決定することと、第2のプロセスチャンバに、第1の層上にターゲットの第2の厚さをほぼ有する第2の層を堆積させるための第2のプロセスを実行させることとを行う。
[0005]追加又は関連する実施形態では、方法は、基板上に多層スタックの第1の層を堆積させるために第1の堆積プロセスを用いて第1のプロセスチャンバ内で基板を処理することと、第1のプロセスチャンバから基板を取り出すことと、光学センサを用いて第1の層の第1の厚さを測定することと、第1の層の第1の厚さに基づいて、多層スタックの第2の層のためのターゲットの第2の厚さを決定することと、第2の層のための第2のターゲットの厚さを達成することになる第2の堆積プロセスのための1つ又は複数のプロセスパラメータ値を決定することと、第1の層の上にターゲットの第2の厚さをほぼ有する多層スタックの第2の層を堆積させるために、1つ又は複数のプロセスパラメータ値を有する第2の堆積プロセスを用いて、第2のプロセスチャンバにおいて基板を処理することとを含む。
[0006]いくつかの実施形態では、方法は、複数のデータ項目を含む訓練データセットを受信又は生成することであって、複数のデータ項目の各データ項目は、多層スタックの複数の層のための層の厚さと、多層スタックを含む装置のためのエンドオブライン性能測定値との組み合わせを含む、訓練データセットを受信又は生成することと、訓練データセットに基づいて、入力として多層スタックの単一層の厚さ又は少なくとも2つの層の厚さを受信し、多層スタックの単一の残りの層のターゲットの厚さ、多層スタックの少なくとも2つの残りの層のためのターゲットの厚さ、又は多層スタックを含む装置のための予測エンドオブライン性能測定値のうちの少なくとも1つを出力するために、機械学習モデルを訓練することとを含む。
[0007]多数の他の特徴が、本開示のこれらの態様及び他の態様に従って提供される。本開示の他の特徴及び態様は、以下の詳細な説明、特許請求の範囲、及び添付の図面からより完全に明らかになるだろう。
[0008]本開示は、限定ではなく、例として、図解されており、添付図面の図では、類似の参照番号が類似の要素を示している。本開示における「ある(an)」又は「1つの(one)」実施形態への様々な言及は、必ずしも同じ実施形態への言及ではなく、かかる言及は少なくとも1つを意味することに留意されたい。
[0009]実施形態による第1の例の製造システムの上面概略図である。 [0010]実施形態による第2の製造システムの上面概略図である。 [0011]実施形態による、DRAMビット線形成プロセスにおける1つ又は複数のプロセスのフィードフォワード制御を実行する方法のフローチャートである。 [0012]実施形態による、ポリプラグ、DRAMビット線スタック、及びハードマスク層を含む基板の一部の概略側面図を示す。 [0013]本開示の1つの態様による、クラスタツールにおいて基板上の層の厚さを測定するためのシステム300の簡略化した側面図を示す。 [0014]実施形態による、プロセスシーケンスにおける1つ又は複数の既に実行されたプロセスから得られる膜の光学測定値(optical measurements)に基づいて、多層スタックのためのプロセスシーケンスにおける1つ又は複数の下流プロセスのフィードフォワード制御を実行する方法のためのフローチャートである。 [0015]実施形態による、1つ又は複数の既に実行された堆積プロセスから得られる膜の光学測定値に基づいて、プロセスシーケンスにおける下流エッチングプロセスのフィードフォワード制御を実行する方法のためのフローチャートである。 [0016]実施形態による、プロセスシーケンスにおける1つ又は複数の既に実行されたプロセスから得られる膜の光学測定値に基づいて、プロセスシーケンスにおける1つ又は複数の下流プロセスのフィードフォワード制御を実行する方法のためのフローチャートである。 [0017]プロセスシーケンスにおける1つ又は複数のプロセスによって形成される1つ又は複数の層の光学測定値に基づいて、プロセスシーケンス内の下流プロセスを制御するために使用される機械学習モデルの訓練を更新する方法のためのフローチャートである。 [0018]実施形態による、基板上に1つ又は複数の層を形成する製造プロセスシーケンスに関連する実験計画(design of experiment:DoE)を実行する方法のためのフローチャートである。 [0019]実施形態による、製造プロセスシーケンスにおける1つ又は複数のプロセスによって形成される1つ又は複数の層の厚さ値に基づいて、1つ又は複数の残りの層のターゲットの厚さ、1つ又は複数の層を形成するためのプロセスパラメータ値及び/又はエンドオブライン性能測定値を決定するためにモデルを訓練する方法のためのフローチャートである。 [0020]本明細書で議論される方法論のいずれか1つ以上をマシンに実行させるための、命令のセットが実行されうる、計算装置の例示形態におけるマシンの図式的表現を示す。
[0021]本明細書に記載の実施形態は、製造プロセスシーケンスにおける1つ又は複数の既に実行されたプロセスによって形成される1つ又は複数の層の厚さ測定値に基づいて、製造プロセスシーケンスにおける1つ又は複数のまだ実行されていないプロセスのフィードフォワード制御を実行する方法に関する。1つの実施形態では、多層スタックの1つ又は複数の既に形成された層の厚さは、多層スタックの形成される1つ又は複数の残りの層のターゲットの厚さ及び/又はターゲットの厚さを達成するためのプロセスパラメータ値を決定するために使用される。1つの実施形態では、1つ又は複数の既に堆積した層をエッチングするために実行されるエッチングプロセスに使用するターゲットプロセスパラメータ値を決定するために、基板上に既に形成された1つ又は複数の層の厚さが使用される。実施形態では、1つ又は複数の層の厚さに基づいて、形成される追加の1つ又は複数の層の厚さ、追加の1つ又は複数の層を形成するために使用されるプロセスパラメータ値、既に堆積した1つ又は複数の層をエッチングするために使用されるプロセスパラメータ値及び/又は層若しくは複数の層を含む装置又は構成要素のための予測されるエンドオブライン性能測定値を決定するために、訓練済みの機械学習モデルが使用される。実施形態はまた、1つ又は複数の層の厚さの入力に基づいて、形成される追加の1つ又は複数の層の厚さ、追加の1つ又は複数の層を形成するために使用されるプロセスパラメータ値、既に形成された1つ又は複数の層をエッチングするために使用されるプロセスパラメータ値、及び/又は層若しくは層を含む装置又は構成要素の予測されるエンドオブライン性能測定値を決定するために、機械学習モデルを訓練することにも範囲が及ぶ。訓練されうる機械学習モデルの例は、線形回帰モデル、ガウス回帰モデル、及び畳み込みニューラルネットワークなどのニューラルネットワークを含む。
[0022]従来、製造プロセスシーケンス(例えば、堆積プロセス及び/又はエッチングプロセスのシーケンスを含む)における各製造プロセスのプロセスパラメータのレシピ設定点を決定するために、1回限りのDoEが実行される。製造プロセスシーケンスのプロセスの各々にレシピ設定点がいったん設定されると、製造プロセスシーケンスのプロセスためのレシピを実行する各プロセスチャンバは、そのプロセスについて決定したプロセスパラメータ設定点を使用し、製造プロセスシーケンスについてDoE時に決定した膜の質及び膜の特性が達成されているという仮定がなされる。しかし、プロセスチャンバ間でばらつきがあったり、及び/又はプロセスチャンバのプロセスパラメータが経時的にドリフトしたりすることがよくある。このようなばらつき及び/又はドリフトによって、これらのプロセスチャンバは、プロセスレシピで実際に設定される値とは異なるプロセスパラメータ値を達成する。例えば、ある製造プロセスのプロセスレシピは、200℃までのターゲット温度を含むが、第1のプロセスチャンバは、200℃に設定されると、実際には205℃の実温度に到達しうる。加えて、第2のプロセスチャンバは、200℃に設定されると、実際には196℃の実温度に到達しうる。プロセスレシピの所定のプロセスパラメータ値からのこのようなずれ(deviation)は、製造プロセスを用いて堆積された膜の1つ又は複数の特性を、ターゲット特性から変化させる可能性がある。例えば、同じ堆積プロセスを実行する2つの異なるチャンバは、異なる厚さの層を形成しうる。ここで、第1の基板上の層はターゲットの厚さを上回る厚さを有し、第2の基板上の層はターゲットの厚さを下回る厚さを有しうる。この層は、最終的に形成される装置のための多層スタックの1つの層であり、膜の特性のこのような変化は、最終的に形成される装置に有害な影響を与える可能性がある。
[0023]多層スタックについては、多層スタックの第1の層の厚さがターゲットの厚さから外れると、そのようなずれは、多層スタックを含む装置に有害な影響を与える可能性がある。しかし、多層スタックの更なる層が堆積される前に厚さのずれが検出される場合、次に、それらの更なる層の1つ以上のターゲットの厚さが調整され、第1の層がそれのターゲットの厚さを有していた場合に多層スタックが有していたであろうものと類似のエンドオブライン性能測定値を、最終的な多層スタックが有するようになりうる。同様に、多層スタックの最初の2つの層の1つ以上が、更なる層の堆積前にターゲットの厚さから外れる厚さを有していることが検出される場合、次にこの情報が使用され、多層スタックの1つ又は複数の残りの層のためのターゲットの厚さが調整され、多層スタックを含む装置のエンドオブライン性能が改善される可能性がある。実施形態では、光学センサは、移送チャンバ、ロードロック又はビアに配置され、堆積プロセス後に堆積された層の厚さを測定するために使用される。測定された厚さは、堆積された層を含む装置のエンドオブライン性能を向上させるように、追加の層の堆積及び/又は既存の層のエッチングを行うことになる将来のプロセスを調整するために使用されうる。
[0024]1つの例では、本明細書の実施形態に記載のシステム及び方法は、DRAMビット線スタックにおける1つ又は複数の層のフィードフォワード制御を提供するために使用することができる。DRAMのビット線スタックは、バリア金属層、バリア層、及びビット線金属層を含みうる。センシングマージンは、バリア金属層、バリア層、及びビット線金属層の各々の厚さに依存しうる。機械学習モデルは、バリア金属層の厚さ及び/又はバリア層の厚さを入力として受信するように訓練され、ターゲットのバリア層の厚さ及び/又はビット線金属層の厚さを出力しうる。機械学習モデルは、入力及び/又は出力厚さ値を有するバリア金属層、バリア層及びビット線金属層を含むDRAMビット線スタックの予測センシングマージンを追加的に出力しうる。このように、各層が形成された後に、DRAMビット線スタックの層の厚さを測定することにより、次の1つ又は複数の層を形成するために使用されるプロセスは、すでに形成された層の、それらの層のためのターゲットの厚さからのいかなるずれについても、正確に調整されうる。このような調整により、DRAMビット線スタックを含むDRAMメモリモジュールのためのセンシングマージンを改善することができる。また、同じ技法は、任意の他のタイプの多層スタックが、装置の電気的特性など、他のエンドオブライン性能測定を改善するためにも作用する。
[0025]実施形態において、計算装置は、多層スタックの層を分析し、スタックレベルの最適化を実行する。スタックレベル情報は、例えば、多層スタックを含む装置のための電力性能面積及びコスト(PPAC)を最適化するために使用されうる。フィードフォワードの決定は、1つ又は複数の以前の単位プロセスからの情報を使用して、1つの単位プロセスについて行われうる。処理ロジックは、1つ又は複数の形成されたMLモデルへの入力として、複数のユニットプロセスからの複雑なスペクトルを使用することができ、個々のプロセスの最適化とは対照的に、スタック全体の動作の最適化を可能にする。
[0026]ここで図を参照すると、図1Aは、本開示の少なくともいくつかの実施形態に従って、基板製造、例えば、ポストポリプラグ製造(post poly plug fabrication)、DRAMビット線形成、3次元(3D)NAND形成(例えば、ONONゲート形成及び/又はOPOPゲート形成)等のために構成されるクラスタツール100(システム又は製造システムとも称される)の図である。クラスタツール100は、1つ又は複数の真空移送チャンバ(VTM)101、102と、ファクトリインターフェース104と、複数の処理チャンバ/モジュール106、108、110、112、114、116、118と、プロセスコントローラ120(コントローラ)とを含む。サーバ計算装置145はまた、クラスタツール100に(例えば、クラスタツール100のコントローラ120に)接続されうる。図1Aに示すような2つ以上のVTMを有する実施形態では、1つのVTMから別のVTMへの真空移送を容易にするために、1つ又は複数の通過チャンバ(ビアと称される)が設けられうる。図1Aに示すものと一致する実施形態では、2つの通過チャンバを設けることができる(例えば、通過チャンバ140及び通過チャンバ142)。
[0027]ファクトリインターフェース104は、クラスタツール100を使用して処理される、例えば前方開口型統一ポッド(FOUP)又は他の適切な基板含有ボックス又はキャリアから、1つ又は複数の基板を受け取るように構成されるローディングポート122を含む。ローディングポート122は、1つ又は複数のローディングエリア124a~124cを含むことができ、これらは、1つ又は複数の基板をローディングするために使用することができる。3つのローディングエリアが表示されている。ただし、より多い又はより少ないローディングエリアを使用することができる。
[0028]ファクトリインターフェース104は、ローディングポート122にロードされた基板を移送するために使用される大気圧移送モジュール(ATM)126を含む。より詳細には、ATM126は、ATM126をローディングポート122に接続するドア135(点線で示され、スリットバルブとも称される)を通して、ローディングエリア124a~124cからATM126に基板を移送するように構成される1つ又は複数のロボットアーム128(点線で示される)を含む。各ローディングポート(124a~124c)には、それぞれのローディングポートからATM126への基板移送を可能にするために、通常、1つのドアがある。ロボットアーム128はまた、ATM126をエアロック130a,130bに接続するドア132(点線で示され、各ロードロックに対してそれぞれ1つずつ)を通して、ATM126からロードロック130a,130bに基板を移送するように構成される。ロードロックの数は2つより多くても少なくてもよいが、単に説明目的のために、2つのロードロック(130a及び130b)が示されており、各ロードロックはATM126に接続するためのドアを有している。ロードロック130a~bは、バッチ式ロードロックであってもよく、そうでなくてもよい。
[0029]ロードロック130a、130bは、コントローラ120の制御の下、大気圧環境又は真空圧環境のいずれかに維持することができ、VTM101、102に/VTM101、102から移送されている基板の中間的又は一時的保持空間として機能する。VTM101は、真空を破壊せずに、すなわち、VTM102及び複数の処理チャンバ106、108及び通過チャンバ140、142内部の真空圧環境を維持しながら、ロードロック130a、130bから、複数の処理チャンバ106、108(プロセスチャンバとも称される)のうちの1つ以上に、又は1つ又は複数の通過チャンバ140、142(ビアとも称される)に、基板を移送するように構成されるロボットアーム138(点線で示される)を含む。VTM102は、真空を破壊せずに、すなわち、VTM102及び複数の処理チャンバ106、108、110、112、114、116及び118内部の真空圧環境を維持しながら、エアロック130a、130bから、複数の処理チャンバ106、108、110、112、114、116及び118のうちの1つ以上に、基板を移送するように構成されるロボットアーム138(点線)を含む。
[0030]特定の実施形態では、ロードロック130a、130bを省略することができ、コントローラ120は、基板をATM126からVTM102に直接移動するように構成することができる。
[0031]ドア134、例えば、スリットバルブドアは、それぞれのロードロック130a、130bをVTM101に各々接続する。同様に、ドア136、例えば、スリットバルブドアは、それぞれの処理モジュールが結合されているVTM(例えば、VTM101又はVTM102のいずれか)に、各処理モジュールを接続する。複数の処理チャンバ106、108、110、112、114、116、及び118は、1つ又は複数のプロセスを実行するように構成される。処理チャンバ106、108、110、112、114、116、及び118のうちの1つ以上によって実行されうるプロセスの例は、洗浄プロセス(例えば、基板から表面酸化物を除去する前洗浄プロセス)、アニールプロセス、堆積プロセス(例えば、キャップ層、ハードマスク層、バリア層、ビット線金属層、バリア金属層などの堆積のための)、エッチングプロセスなどを含む。プロセスチャンバの1つ以上によって実行されうる堆積プロセスの例は、物理的気相堆積(PVD)、化学気相堆積(CVD)、原子層堆積(ALD)などを含む。プロセスチャンバの1つ以上によって実行されうるエッチングプロセスの例は、プラズマエッチプロセスを含む。1つの例示的な実施形態では、プロセスチャンバ106、108、110、112、114、116、及び118は、通常、ポストポリプラグ製造シーケンス及び/又はダイナミックランダムアクセスメモリ(DRAM)ビット線スタック製造シーケンスに関連するプロセスを実行するように構成される。1つの例示的な実施形態では、プロセスチャンバ106、108、110、112、114、116、及び118は、通常、ONONゲート又はOPOPゲートを形成するなどの3D NAND形成シーケンスに関連するプロセスを実行するように構成され、これには、絶縁体と導体(例えば、SiOとSiN、又はSiOとポリシリコン)の交互の層の多層スタックを堆積させるためのプロセスが含まれうる。
[0032]実施形態では、クラスタツール100の構成要素の1つ以上は、基板上の層又は膜の厚さなどの特性を測定するように構成された光学センサ147a、147bを含む。1つの実施形態では、光学センサ147aはビア140内に配置され、光学センサ147bはビア147b内に配置される。代替的に又は追加的には、1つ又は複数の光学センサ147a~bが、VTM102及び/又はVTM101内部に配置されうる。代替的に又は追加的には、1つ又は複数の光学センサ147a~bは、ロードロック130a及び/又はロードロック130b内に配置されうる。代替的に又は追加的には、1つ又は複数の光学センサ147a~bは、プロセスチャンバ106、108、110、112、114、116、及び118のうちの1つ以上の中に配置されうる。1つ又は複数の光学センサ147a~bは、基板上に堆積した層の膜の厚さを測定するように構成されうる。1つの実施形態では、光学センサ147a~bは、図3の光学センサ300に対応する。いくつかの実施形態では、光学センサ147a~bは、多層スタックの各層が基板上に形成された後に、膜の厚さを測定する。1つ又は複数の光学センサ147a~bは、製造プロセスシーケンスにおけるプロセス間の膜の厚さを測定し、製造プロセスシーケンスにおける更なるプロセスの実行方法に関する決定を知らせるために使用されうる。実施形態では、膜の厚さを示す光学測定は、基板を真空環境から取り出すことなく、基板上で実行されうる。
[0033]コントローラ120(例えば、ツール及び機器コントローラ)は、クラスタツール100の様々な態様、例えば、処理チャンバ内のガス圧力、個々のガス流、空間流量比、種々のプロセスチャンバ内のプラズマ出力、種々のチャンバ部品の温度、処理チャンバの高周波(RF)又は電気状態など、を制御しうる。コントローラ120は、ロボットアーム128、138、プロセスチャンバ106、108、110、112、114、116、及び118、ロードロック130a~b、スリットバルブドア、光学センサ147a~b及び/又は1つ又は複数の他のセンサ、及び/又はクラスタツール100の他の処理構成要素のようなクラスタツール100の他の処理構成要素といった、クラスタツール100の構成要素のうちのいずれかから信号を受信して、そのいずれかにコマンドを送信しうる。したがって、コントローラ120は、処理の開始及び停止を制御し、堆積速度及び/又はターゲット層の厚さを調整し、プロセス温度を調整し、堆積組成物の種類又は混合を調整し、エッチング速度などを調整しうる。コントローラ120は、更に、様々なセンサ(例えば、光学センサ147a~b)から測定データ(例えば、光学測定データ)を受信して処理し、かかる測定データに基づいて決定を下しうる。
[0034]様々な実施形態では、コントローラ120は、パーソナルコンピュータ、サーバコンピュータ、プラグラム可能な論理制御装置(PLC)、マイクロコントローラなどの計算装置でありうる及び/又はこれを含みうる。コントローラ120は、1つ又は複数の処理装置を含み(又は、1つ又は複数の処理装置であり)、マイクロプロセッサ、中央処理装置などの汎用処理装置でありうる。より詳細には、処理装置は、複合命令セットコンピューティング(CISC)マイクロプロセッサ、縮小命令セットコンピューティング(RISC)マイクロプロセッサ、超長命令語(VLIW)マイクロプロセッサ、又は他の命令セットを実装するプロセッサ、又は命令セットの組み合わせを実装するプロセッサでありうる。また、処理装置は、特定用途向け集積回路(ASIC)、フィールドプログラマブルゲートアレイ(FPGA)、デジタル信号プロセッサ(DSP)、ネットワークプロセッサなどの1つ又は複数の特殊用途処理装置であってもよい。コントローラ120は、データ記憶装置(例えば、1つ又は複数のディスクドライブ及び/又はソリッドステートドライブ)、メインメモリ、スタティックメモリ、ネットワークインターフェース、及び/又は他の構成要素を含みうる。コントローラ120の処理装置は、本明細書に記載された方法論及び/又は実施形態のいずれか1つ以上を実行するための命令を実行しうる。命令は、コンピュータ可読記憶媒体に記憶されてもよく、メインメモリ、スタティックメモリ、二次記憶及び/又は処理装置(命令の実行中)を含みうる。
[0035]1つの実施形態では、コントローラ120は、フィードフォワードエンジン121を含む。フィードフォワードエンジン121は、ハードウェア、ファームウェア、ソフトウェア、又はこれらの組み合わせで実装されうる。フィードフォワードエンジン121は、オプションで、分光計などの光学センサによって実行される反射計測の結果を含む、光学測定データを受信及び処理するように構成される。フィードフォワードエンジン121は、層に対する1つ又は複数のターゲットの厚さ値及び/又は他のターゲット特性を決定するために、層が基板上に形成された後に、及び/又は基板上の層がエッチングされた後に、光学測定データ(例えば、反射率信号)を計算しうる。フィードフォワードエンジン121は、多層スタックの1つ又は複数の追加の層の更新されたターゲットの厚さ及び/又は他のターゲット特性を更に決定し、更新されたターゲットの厚さ及び/又は他の特性を有する層を形成するためのプロセスに使用するためのターゲットプロセスパラメータ値を決定し、1つ又は複数の層のエッチングに使用するプロセスのためのターゲットプロセスパラメータ値を決定し、及び/又は層を含む装置又は構成要素のための1つ又は複数のエンドオブライン性能測定値を予測しうる。測定されうるエンドオブラインの性能測定の例は、信号マージン、歩留まり、電圧、電力、装置の動作速度、装置の待ち時間、及び/又は他の性能変数を含む。
[0036]1つの実施形態では、フィードフォワードエンジン121は、1つ又は複数の層の膜の厚さ及び/又は他の膜特性を、エンドオブライン性能測定の予測値と相関させうる予測モデル123を含む。予測モデル123は、追加的又は代替的に、1つ又は複数の既に堆積された層の厚さ及び/又は他の層特性の入力に基づいて、これから堆積される層(to-be-deposited layers)の推奨ターゲット層の厚さ及び/又は他のターゲット層特性を出力しうる。追加的に又は代替的には、予測モデル123は、製造プロセスシーケンスにおける1つ又は複数のまだ実行されていないプロセスのプロセスパラメータのためのターゲットプロセスパラメータ値を出力しうる。まだ実行されていないプロセスは、例えば、堆積プロセス及び/又はエッチングプロセスでありうる。1つの実施形態では、予測モデル123は、ニューラルネットワーク、ガウス回帰モデル、又は線形回帰モデルなどの、訓練済みの機械学習モデルである。
[0037]フィードフォワードエンジン121は、1つ又は複数の既に形成された層の測定された厚さ及び/又は他の層特性を予測モデル123に入力し、1つ又は複数の追加の層の出力ターゲットの厚さ及び/又は他のターゲット層の特性として、ターゲットの厚さを達成するためのターゲットプロセスパラメータ値、1つ又は複数の層で実行されるエッチングプロセスのためのターゲットプロセスパラメータ値、及び/又はエンドオブライン性能測定の予測値を受信しうる。その後、追加の層を形成し及び/又は1つ又は複数の層のエッチングするために実行されるプロセスレシピは、予測モデル123の出力に基づいて調整されうる。したがって、フィードフォワードエンジン121は、製造プロセス中(すなわち、エンドオブラインに到達する前)にエンドオブライン問題を予測することができ、更に、予測されたラインエンドオブライン問題を回避するために、製造プロセスシーケンス内のまだ実行されていないプロセスに対する1つ又は複数のプロセスレシピを調整することができる。
[0038]1つの例では、プロセスチャンバ106、108、110、112、114、116、及び118のうちの第1のチャンバは、バリア金属層を堆積させる堆積チャンバであり、プロセスチャンバの第2のチャンバは、バリア層を堆積させる堆積チャンバであり、プロセスチャンバの第3のチャンバは、ビット線金属層を堆積させるチャンバでありうる。製造プロセスシーケンスは、バリア金属層を堆積させるための第1のプロセスレシピ、バリア層を堆積させるための第2のプロセスレシピ、及びビット線金属層を堆積させるための第3のプロセスレシピを含みうる。プロセスレシピの各々は、それぞれのプロセスレシピによって達成されるターゲット層の厚さと関連付けられうる。第1の堆積チャンバは、バリア金属層を堆積させるためのプロセスレシピを実行しうる。1つ又は複数の光学センサ147a~bは、バリア金属層の厚さを測定するために使用されうる。次いで、フィードフォワードエンジン121は、測定された厚さがバリア金属層のターゲットの厚さから外れていると決定しうる。フィードフォワードエンジン121は、バリア金属層の測定された厚さに基づいて、バリア層及び/又はビット線金属層のための新しいターゲットの厚さを決定するために、予測モデル123を使用しうる。例えば、バリア金属層が厚すぎた場合、次に、バリア層の厚さ及び/又はビット線金属層の厚さが適宜調整されうる(例えば、バリア層及びビット線金属層のターゲットの厚さの一方又は両方を増加及び/又は減少させることによって)。バリア層を形成するためのプロセスレシピの新しいプロセスパラメータ値が決定され、第2のプロセスチャンバは、新しいターゲットの厚さを有するバリア層を形成するために、調整されたプロセスレシピを実行しうる。
[0039]基板は、バリア層の厚さを決定するために、光学センサ147a~bによって再び測定されうる。次に、ターゲットの厚さからの任意のずれを決定するために、バリア金属層の厚さ及びバリア層の厚さが、これら2つの層のためのターゲットの厚さと比較されうる。そのようなずれが識別される場合、次にフィードフォワードエンジン121は、ビット線金属層のターゲットの厚さを調整しうる。フィードフォワードエンジン121は、バリア金属層及びバリア層の測定された厚さに基づいて、ビット線金属層の新しいターゲットの厚さを決定するために、予測モデル123を使用しうる。例えば、バリア金属層が厚すぎ、バリア層が薄すぎる場合、次いでバリア層の厚さ及び/又はビット線金属層の厚さが適宜調整されうる(例えば、バリア層及びビット線金属層のターゲットの厚さの一方又は両方を増加及び/又は減少させることによって)。金属ビット線層を形成するためのプロセスレシピの新しいプロセスパラメータ値が決定され、第3のプロセスチャンバは、新しいターゲットの厚さを有する金属ビット線層を形成するために、調整されたプロセスレシピを実行しうる。
[0040]基板は、金属ビット線層の厚さを決定するために、光学センサ147a~bによって再び測定されうる。その後、エンドオブライン性能測定の値を予測するために、金属バリア層、バリア層、及び金属ビット線層の厚さがフィードフォワードエンジン121によって使用されうる。予測値が仕様から外れた場合、最終検査で不合格になると予測される装置又は構成要素の製造を完了するために、追加のリソースを費やすよりも、基板を廃棄する決定が下されうる。追加的に又は代替的には、エンドオブラインの性能測定値が性能閾値を下回る場合、厚すぎる又は薄すぎる層を堆積させたプロセスチャンバは、サービスから外され、及び/又はメンテナンスが予定されうる。したがって、フィードフォワードエンジン121は、プロセスチャンバの健全性に関する診断を実行し、適切な場合にプロセスチャンバにメンテナンスが予定されうる。
[0041]コントローラ120は、サーバ145に動作可能に接続されうる。サーバ145は、製作施設内のいくつかのツール又はすべてのツールとインターフェース接続するファクトリフロアサーバとして動作する計算装置であってもよく、これを含んでもよい。サーバ145は、クラスタツール100などの1つ又は複数のクラスタツールのコントローラに指示を送信しうる。例えば、サーバ145は、クラスタツール100のコントローラ120から信号を受信し、コマンドを送信しうる。
[0042]様々な実施形態において、サーバ145は、パーソナルコンピュータ、サーバコンピュータ、プラグラム可能な論理制御装置(PLC)、マイクロコントローラなどの計算装置でありうる及び/又はこれを含みうる。サーバ145は、1つ又は複数の処理装置を含んでいても(であっても)よく、その処理装置は、マイクロプロセッサ、中央処理装置などの汎用処理装置でありうる。より詳細には、処理装置は、複合命令セットコンピューティング(CISC)マイクロプロセッサ、縮小命令セットコンピューティング(RISC)マイクロプロセッサ、超長命令語(VLIW)マイクロプロセッサ、又は他の命令セットを実装するプロセッサ、又は命令セットの組み合わせを実装するプロセッサでありうる。また、処理装置は、特定用途向け集積回路(ASIC)、フィールドプログラマブルゲートアレイ(FPGA)、デジタル信号プロセッサ(DSP)、ネットワークプロセッサなどの1つ又は複数の特殊用途処理装置であってもよい。サーバ145は、データ記憶装置(例えば、1つ又は複数のディスクドライブ及び/又はソリッドステートドライブ)、メインメモリ、スタティックメモリ、ネットワークインターフェース、及び/又は他の構成要素を含みうる。サーバ145の処理装置は、本明細書に記載された方法論及び/又は実施形態のいずれか1つ以上を実行するための命令を実行しうる。命令は、コンピュータ可読記憶媒体に記憶されてもよく、メインメモリ、スタティックメモリ、二次記憶及び/又は処理装置(命令の実行中)を含みうる。
[0043]いくつかの実施形態では、サーバ145は、フィードフォワードエンジン121及び予測モデル123を含む。サーバ145は、フィードフォワードエンジン121及び予測モデル123を含むコントローラ120に加えて又はその代わりに、フィードフォワードエンジン121及び予測モデル123を含みうる。いくつかの実施形態では、コントローラ120及び/又はサーバ145は、図10の計算装置1000に対応する。
[0044]いくつかの例では、基板上に1つ又は複数の膜を形成するために、第1のクラスタツール(例えば、クラスタツール100)において基板上で1つ又は複数のプロセスが実行され、別のクラスタツールにおいて基板上で1つ又は複数のプロセス(例えば、基板上でリソグラフィプロセスを実行した後にオプションで実行されるエッチングプロセス)が実行されうる。予測されるエンドオブラインの性能を決定するために、及び/又は基板上で実行される1つ又は複数の更なるプロセスのための調整を行うために、第1のクラスタツール及び/又は第2のクラスタツール内で、光学測定が実行されうる。このような実施形態では、製造プロセスシーケンスにおいて既に実行されたプロセスを通して基板上に形成された1つ又は複数の層の測定された厚さに基づいて、まだ実行されていない1つ又は複数のプロセスのフィードフォワード制御を調整するために、サーバ145が両方のクラスタツールのコントローラと通信しうる。
[0045]図1Bは、本開示の少なくともいくつかの実施形態による、基板製造、例えばポストポリプラグ製造のために構成されるクラスタツール150の図である。クラスタツール150は、真空移送チャンバ(VTM)160、ファクトリインターフェース164、複数のチャンバ/モジュール152、154、156(その一部又は全部がプロセスチャンバであってもよい)、及びコントローラ170を含む。サーバ計算装置145はまた、クラスタツール150に(例えば、クラスタツール150のコントローラ170に)接続されうる。
[0046]ファクトリインターフェース164は、クラスタツール150を使用して処理される、例えば前方開口型統一ポッド(FOUP)166a、166b又は他の適切な基板含有ボックス又はキャリアから、1つ又は複数の基板を受け取るように構成される1つ又は複数のローディングポートを含む。
[0047]ファクトリインターフェース164は、ローディングポートにロードされた基板を移送するために使用される大気圧移送モジュール(ATM)を含む。より詳細には、ATMは、ローディングエリアからATMに基板を移送するように構成される1つ又は複数のロボットアームを含み、それを通してATMをローディングポートに接続する。また、ロボットアームは、ATMをロードロック158a~bに接続するドアを通して、ATMからロードロック158a~bに基板を移送するように構成される。ロードロック158a~bは、コントローラ170の制御の下、大気圧環境又は真空圧環境のいずれかに維持することができ、VTM160に/から移送されている基板のための中間又は一時保持空間として機能する。VTM160は、真空を破壊することなく、すなわち、VTM160及び複数のチャンバ152、154、156内部の真空圧環境を維持しながら、ロードロック158a~bから複数の処理チャンバ152、154、156のうちの1つ以上に基板を移送するように構成されるロボットアーム162を含む。
[0048]図示された実施形態では、光学センサ157a~bは、ロードロック158a~bを通過する基板上で光学測定を実行するために、ロードロック158a~bにそれぞれ配置される。代替的に又は追加的には、1つ又は複数の光学センサが、VTM160内及び/又はチャンバ152、154、156のうちの1つに配置されうる。
[0049]コントローラ170(例えば、ツール及び機器コントローラ)は、クラスタツール150の様々な態様、例えば、処理チャンバ内のガス圧力、個々のガス流、空間流量比、様々なチャンバ部品の温度、処理チャンバの高周波(RF)又は電気状態などを制御しうる。コントローラ170は、ロボットアーム162、プロセスチャンバ152、154、156、ロードロック158a~b、光学センサ157a~b、スリットバルブドア、1つ又は複数のセンサ、及び/又はクラスタツール150の他の処理構成要素といった、クラスタツール150の構成要素のいずれかから信号を受信して、そのいずれかにコマンドを送信しうる。このようにコントローラ170は、処理の開始及び停止を制御し、堆積速度、堆積組成物の種類又は混合、エッチング速度などを調整しうる。コントローラ170は、光学センサ157a~bなどの様々なセンサから測定データ(例えば、光学測定データ)を更に受信及び処理しうる。コントローラ170は、図1Aのコントローラ120と実質的に類似であり、フィードフォワードエンジン121(例えば、予測モデル123を含みうる)を含みうる。
[0050]コントローラ170は、サーバ145に動作可能に接続され、このサーバはまた、図1Aのコントローラ120に動作可能に接続されうる。
[0051]1つの例では、基板上に1つ又は複数の層を形成するために、クラスタツール100の様々なプロセスチャンバ106、116、118、114、110、112、108によって、基板上で1つ又は複数のプロセスが実行される。1つ又は複数の層の厚さは、1つ又は複数の光学センサ147a~bを使用して測定されうる。1つ又は複数のこれから堆積される層のための層の厚さ、これから堆積される層を形成するためのプロセスのためのプロセスパラメータ及び/又は既に堆積された層をエッチングするためのプロセスのためのプロセスパラメータ値を決定するために、測定された厚さが、フィードフォワードエンジン121によって使用されうる。その後、基板はクラスタツール100から取り出され、基板上のマスク層をパターニングするためにリソグラフィツール内に配置されうる。その後、基板はクラスタツール150内に配置されうる。その後、1つ又は複数の膜をエッチングするために、クラスタツール150のプロセスチャンバ152、154、156の1つ以上によって、基板上で1つ又は複数のエッチングプロセスが実行されうる。エッチングプロセスのための1つ又は複数のターゲットプロセスパラメータ値は、1つ又は複数の堆積層の測定された1つ又は複数の厚さに基づいて、フィードフォワードエンジン121によって出力された可能性がある。代替的に又は追加的には、多層スタックの1つ又は複数の層を堆積させるために、クラスタツール150のプロセスチャンバ152、154、156の1つ以上によって基板上で1つ又は複数の堆積プロセスが実行されうる。このような膜のターゲットの厚さは、堆積した1つ又は複数の層の測定された1つ又は複数の厚さに基づいて、フィードフォワードエンジン121によって出力された可能性がある。
[0052]1つの実施形態では、クラスタツール100及び/又はクラスタツール150のプロセスチャンバは、1つ又は複数のDRAMビット線スタックプロセス(例えば、ポストポリプラグ製造のための)を実行するように構成される。代替的には、クラスタツール100及び/又はクラスタツール150は、3D NAND堆積プロセスなどの他のプロセスを実行するように構成されうる。
[0053]図2Aは、実施形態による、DRAMビット線形成プロセスにおける1つ又は複数のプロセスのフィードフォワード制御を実行する方法220のためのフローチャートである。図2Bは、実施形態による、ポリプラグ202、DRAMビット線スタック201(バリア金属204、バリア層206、及びビット線金属層208を含む)、及びハードマスク層210を含む基板200の一部の概略的側面図を示している。ポリプラグ202は、クラスタツール100の外部に形成されうる。DRAMビット線スタック201は、方法220に従って、DRAMビット線スタック201の様々な層の堆積の間の真空を破壊せずに、クラスタツール100の内部に形成されうる。
[0054]方法220の工程225において、基板200は、ローディングエリア124a~124cのうちの1つ以上を介して、ローディングポート122内にロードされうる。ATM126のロボットアーム128は、コントローラ120の制御下で、ポリプラグ202を有する基板200を、ローディングエリア124aからATM126に移送することができる。ロボットアーム128は、次に、基板200をロードロック130a~b内に配置することができ、ロードロックは、コントローラ120の制御下で真空になるまでポンプダウンされうる。その後、コントローラ120は、基板200の製造が完了するように、すなわち、基板200上のポリプラグ202の上部のビット線スタックプロセスが完了するように、ロボットアーム138に、基板300を処理チャンバの1つ以上に移送するように指示することができる。
[0055]工程230において、ロボットアーム138は、コントローラ120の制御下で、ロードロック130a~bから基板200を取り出し、基板を前洗浄チャンバ(例えば、プロセスチャンバ106)内に配置することができる。ロードロックからプロセスチャンバ106への基板200の移送は、真空破壊せずに実行することができる(すなわち、基板200が前洗浄チャンバに移送される間、VTM101及びVTM102内で真空圧環境が維持される)。1つ又は複数の前洗浄プロセスを実行し、基板200上に存在しうる汚染物質、例えば、基板200上に存在する可能性のある自然酸化、を除去するために、処理チャンバ106が使用されうる。
[0056]工程235において、コントローラ120は、ドア136を開き、プロセスチャンバ108などのバリア金属堆積チャンバでありうる次の処理チャンバに基板200を移送するよう、ロボットアーム138に指示する。プロセスチャンバ106からプロセスチャンバ108への基板200の移送は、真空引きを行わずに実行されうる。次に、プロセスチャンバは、ポリプラグ202の上にバリア金属層204を形成するための堆積プロセスを実行する。バリア金属は、例えば、チタン(Ti)、タンタル(Ta)のうちの1つでありうる。
[0057]工程240において、コントローラ120は、プロセスチャンバ108から基板200を取り除くよう、ロボットアーム138に指示し、バリア金属層204の光学測定値を生成し、バリア金属層204の厚さを決定するよう、光学センサ147a~bに指示する。例えば、コントローラ120は、ロボットアーム138に、処理チャンバ108から通過チャンバ140、142のいずれかに真空下で基板を移送するよう指示しうる。コントローラ120は、基板200が通過チャンバ140、142内にある間に、バリア金属層204の光学測定値を生成するよう光学センサ147a~bに指示しうる。
[0058]工程245において、コントローラ120は、バリア金属層202の測定された厚さに基づいて、バリア層206のターゲットの厚さを決定する。加えて、コントローラ120は、ビット線金属層208のターゲットの厚さを決定しうる。バリア層及び/又はバリア金属層のターゲットの厚さを決定することは、例えば、フィードフォワードエンジン121及び/又は予測モデル123などの訓練済みの機械学習モデルを用いて行われうる。工程240、245は、基板200の真空破壊を行わずに実行できる。
[0059]1つの実施形態では、工程250において、コントローラ120は、真空破壊を行わずに別のプロセスチャンバ(例えば、プロセスチャンバ116)に基板200を移送するよう、ロボットアーム139に指示し、バリア金属層204でアニール工程を実行するよう、プロセスチャンバに指示する。いくつかの実施形態では、工程240及び/又は245は、工程250の後に実行されうる。アニーリングプロセスは、急速熱処理(RTP)アニールなどの任意の適切なアニーリングプロセスでありうる。
[0060]工程255において、コントローラ120は、真空破壊を行わずに、通過チャンバ140、142から、又はアニールプロセスチャンバ(例えば、プロセスチャンバ116)からバリア層堆積チャンバ(例えば、プロセスチャンバ110)に基板200を移送するよう、ロボットアーム139に指示しうる。処理チャンバ110は、例えば、基板200にバリア層堆積プロセスを実行する(例えば、バリア金属層204の上にバリア層206を堆積させる)ように構成されうる。バリア層206は、例えば、窒化チタン(TiN)、窒化タンタル(TaN)、又は窒化タングステン(WN)のうちの1つでありうる。
[0061]工程260において、コントローラ120は、バリア層堆積チャンバから基板200を取り除くよう、ロボットアーム138又はロボットアーム139に指示し、バリア層206の光学測定値を生成し、バリア層206の厚さを決定するよう、光学センサ147a~bに指示する。例えば、コントローラ120は、ロボットアーム139に、処理チャンバ108から通過チャンバ140、142のいずれかに真空下で基板を移送するよう指示しうる。コントローラ120は、基板200が通過チャンバ140、142内にある間に、バリア層206の光学測定値を生成するよう光学センサ147a~bに指示しうる。
[0062]工程265において、コントローラ120は、バリア層206の測定された厚さ及びバリア金属層204の測定された厚さに基づいて、ビット線金属層208のターゲットの厚さを決定する。ビット線金属層208のターゲットの厚さの決定は、例えば、フィードフォワードエンジン121及び/又は予測モデル123のような訓練済みの機械学習モデルを用いて行われうる。工程260、265は、基板200の真空破壊を行わずに実行できる。
[0063]工程270において、コントローラ120は、真空破壊せずに、処理チャンバ110から、例えばビット線金属堆積プロセスチャンバ(例えば、処理チャンバ112)へ、基板200を移送するよう、ロボットアーム139に指示しうる。ビット線金属堆積チャンバは、基板200にビット線金属堆積プロセスを実行する(例えば、バリア層206の上にビット線金属層208を堆積させる)ように構成されうる。ビット線金属層は、例えば、タングステン(W)、モリブデン(Mo)、ルテニウム(Ru)、イリジウム(Ir)、ロジウム(Rh)のうちの1つでありうる。
[0064]工程275において、コントローラ120は、ビット線金属層堆積チャンバから基板200を取り除くよう、ロボットアーム139に指示し、ビット線金属層208の光学測定値を生成し、ビット線金属層208の厚さを決定するよう、光学センサ147a~bに指示する。例えば、コントローラ120は、ロボットアーム139に、処理チャンバ112から通過チャンバ140、142のいずれかに真空下で基板を移送するよう指示しうる。コントローラ120は、基板200が通過チャンバ140、142内にある間に、ビット線金属層208の光学測定値を生成するよう、光学センサ147a~bに指示しうる。
[0065]工程280において、コントローラ120は、金属ビット線層208の測定された厚さ、バリア層206の測定された厚さ、及びバリア金属層204の測定された厚さに基づいて、エンドオブライン性能測定の値を予測する。エンドオブライン性能測定値の決定は、例えば、フィードフォワードエンジン121及び/又は予測モデル123などの訓練済みの機械学習モデルを用いて行われうる。工程275、280は、基板200の真空破壊を行わずに実行できる。
[0066]1つの実施形態では、工程285において、コントローラ120は、真空破壊を行わずに、アニーリングプロセスチャンバ(例えば、プロセスチャンバ116)に基板200を移送するよう、ロボットアーム139に指示し、ビット線金属層208でアニール工程を実行するよう、プロセスチャンバに指示する。いくつかの実施形態では、工程275及び/又は280は、工程285の後に実行されうる。アニーリングプロセスは、急速熱処理(RTP)アニールなどの任意の適切なアニーリングプロセスでありうる。
[0067]アニール工程が工程285で実行されるいくつかの実施形態では、工程290で、ビット線金属層208上にオプションのキャッピング層209を堆積させるために、アニールされた基板200が別の処理チャンバに移送されうる。例えば、ビット線金属層208を含むアニールされた基板200は、アニールされたビット線金属層208の上にキャッピング層を堆積させるために、例えばロボットアーム139を用いて、アニーリングチャンバ(例えば、処理チャンバ116)からキャッピング層堆積チャンバ(例えば、処理チャンバ118)へ真空下で移送されうる。
[0068]工程295において、コントローラ120は、真空破壊せずに、ハードマスク堆積チャンバ(例えば、処理チャンバ114など)に基板200を移送するよう、ロボットアーム139に指示しうる。ハードマスク堆積チャンバは、基板200にハードマスク堆積プロセスを実行する(例えば、ビット線金属層208及び/又はキャップ層209の上にハードマスク層210を堆積させる)ように構成される。ハードマスクは、例えば、窒化ケイ素(SiN)、酸化ケイ素(SiO)、炭化ケイ素(SiC)のうちの1つでありうる。
[0069]統合ツール(例えば、クラスタツール100)において上記シーケンスの各々を実行することにより、粒成長のためのアニール中のビット線金属の酸化が更に有利に回避される。
[0070]DRAMビット線スタック及びハードマスク層210が形成された後に、基板200はクラスタツール100から取り出され、ハードマスク210にパターンを形成するために、リソグラフィツールを使用して処理されうる。その後、基板はクラスタツール150に移送され、クラスタツール150は、DRAMビット線スタックの1つ又は複数の層をエッチングするために1つ又は複数のエッチング処理を実行しうる。いくつかの実施形態では、工程280において、コントローラ120は、更に、金属バリア層、バリア層及び/又は金属ビット線層の厚さに基づいて、DRAMビット線スタック上で実行されるエッチングプロセスのための1つ又は複数のプロセスパラメータ値を決定する。これらのプロセスパラメータ値は、コントローラ170に伝達されうる。次いで、コントローラ170は、決定された1つ又は複数のエッチングプロセスパラメータ値を使用して、エッチングプロセスを実行するよう、エッチングプロセスチャンバ(例えば、プロセスチャンバ152又は154)に指示しうる。
[0071]方法220は、従来の処理技術を使用して形成されたDRAMビット線スタックと比較して、改善されたエンドオブライン性能特性を有するDRAMビット線スタックをもたらしうる。
[0072]図3は、本開示の1つの態様による、クラスタツールにおける基板上の層の厚さを測定するための光学センサシステム300の簡略化した側面図を示している。光学センサシステムは、例えば、実施形態における図1A~Bの光学センサ147a~b、157~bに対応しうる。システム300は、例えば、チャンバ303を含んでもよく、このチャンバは、移送チャンバ(例えば、VTM101、102)、ロードロックチャンバ130a~b、通過チャンバ140、142、又はクラスタツールの他のチャンバであってもよい。1つの実施形態では、チャンバ303は、クラスタツールのファセット(例えば、VTMのファセットに)に取り付けられた測定チャンバである。
[0073]チャンバ303は、真空圧にある内部空間を含み、これは、1つ又は複数のVTM(例えば、VTM101、102)の真空環境の一部でありうる。チャンバ303は、窓320を含みうる。窓320は、例えば、透明な結晶、ガラス、又は他の透明な材料でありうる。透明な結晶は、透明なセラミック材料で作られてもよく、又はサファイア、ダイヤモンド、石英、炭化ケイ素、又はこれらの組み合わせなどの耐久性のある透明な材料で作られてもよい。
[0074]実施形態では、システム300は、光源301(例えば、広帯域光源又は他の電磁放射源)、光結合装置304(例えば、コリメータ又はミラー)、分光計325、コントローラ120、170、及びオプションでサーバ145を更に含む。光源301及び分光計325は、1つ又は複数の光ファイバのケーブル332を通して、光結合装置304に光学結合されうる。
[0075]様々な実施形態において、光結合装置304は、光路に沿った2方向の光をコリメートするか、又は他の方法で伝送するように適合されうる。第1の方向は、コリメートされ、窓320を通してチャンバ303内に透過されることになる光源301からの光を含みうる。第2の方向は、基板304から反射され、窓320を再び通過し、光結合装置304内に戻る反射光でありうる。反射光は、光ファイバのケーブル332内に集光され、その結果、光路に沿った第2の方向で分光計325に方向付けられうる。更に、光ファイバのケーブル332は、光源301から、透明な結晶120へ、そして分光計325に戻るまでの光の効率的な伝達のために、分光計325と光源301との間に結合されうる。
[0076]実施形態では、光源は約200~800nmのスペクトルで光を放出し、分光計325も200~800nmの波長域を有する。分光計325は、光結合装置304から受け取った反射光、例えば、チャンバ303内の基板から反射して窓320を通って戻り、光結合装置304によって光ファイバのケーブル332内に集光された光、のスペクトルを検出するように適合されうる。
[0077]コントローラ120、170は、光源301、分光計325と、チャンバ303の両方に結合されうる。
[0078]1つの実施形態では、コントローラ120、170は、光源301に、点滅した後に、分光計325から光スペクトルを受け取るよう指示しうる。また、コントローラ120、170は、光源301がオフのときに、光源をオフのままにして、分光計325から第2のスペクトルを受け取りうる。コントローラ120、170は、ある瞬間の反射計測信号(reflectometry signal)を決定するために、第1のスペクトルから第2のスペクトルを減算しうる。次いで、コントローラ120、170は、測定される薄膜の1つ又は複数の光学薄膜特性を決定するために、反射計測信号を1つ又は複数の薄膜モデルに数学的に適合させうる。
[0079]いくつかの実施形態では、1つ又は複数の光学薄膜特性は、膜の厚さ、屈折率(n)、及び/又は消光係数(k)値を含みうる。屈折率とは、真空中の光の速度対膜中の光の速度の比である。消光係数は、膜内に光がどれだけ吸収されるかを示す尺度である。コントローラ120、170は、n値及びk値を用いて、膜の組成を決定しうる。コントローラ120、170は、膜の1つ又は複数の特性のデータを分析するように更に構成されうる。次いで、コントローラ120、170は、フィードフォワードエンジンを用いて、本明細書で上述したように、堆積される層のターゲットの厚さ値、堆積プロセス及び/又はエッチングプロセスのターゲットプロセスパラメータ値、及び/又はエンドオブライン性能特性を決定しうる。あるいは、サーバ145は、フィードフォワードエンジンを用いて、本明細書で上述したように、堆積プロセス及び/又はエッチングプロセスのターゲットプロセスパラメータ値、及び/又はエンドオブライン性能特性を決定しうる。
[0080]実施形態は、追加の層のターゲットの厚さ、実行される追加のプロセスのためのプロセスパラメータ値、及び/又はエンドオブライン性能特性を決定するために、1つ又は複数の層の特定の特性(すなわち、厚さ)を用いて参照しつつ本明細書で議論されることに留意されたい。しかしながら、光学測定に基づいて決定することができる堆積層の他の層特性(例えば、屈折率n及び/又は消光係数kなど)が、追加の層のターゲットの厚さ、実行される追加のプロセスのためのプロセスパラメータ値及び/又はエンドオブライン性能特性を決定するために厚さの代わりに又は厚さに加えて用いられうることを理解すべきである。したがって、本明細書において厚さ測定値の使用に関するいかなる言及も、厚さ測定値のみの使用、又は屈折率及び/又は消光係数を伴う厚さ測定値の使用に適用されると理解すべきである。加えて、屈折率及び/又は消光係数などの他の光学的に測定可能な膜特性が、本明細書の実施形態における厚さ測定の代わりになりうることを理解されたい。
[0081]図4は、実施形態による、プロセスシーケンスにおける1つ又は複数の既に実行されたプロセスから得られる膜の光学測定値に基づいて、多層スタックのためのプロセスシーケンスにおける1つ又は複数の下流プロセスのフィードフォワード制御を実行する方法400のためのフローチャートである。
[0082]方法400の工程410において、基板上に多層スタックの第1の層を形成するために、第1の製造プロセスが第1のプロセスチャンバ内の基板上で実行される。いくつかの実施形態では、第1の層の下の基板上に追加の層が存在する。その後、基板がプロセスチャンバから取り出されうる。
[0083]工程415において、基板上で光学測定を実行し、第1の層の第1の厚さを測定するために、光学センサが使用される。追加的に又は代替的には、屈折率及び/又は消光係数といった、第1の層の1つ又は複数の他の特性が、光学センサを使用して測定されうる。
[0084]工程420において、計算装置(例えば、コントローラ又はサーバ)は、第1の厚さ(及び/又は第1の層の1つ又は複数の他の測定特性)に基づいて、多層スタックの1つ又は複数の残りの層のためのターゲットの厚さを決定する。追加的に又は代替的には、計算装置は、第1の厚さ(及び/又は第1の層の1つ又は複数の他の測定された特性)に基づいて、1つ又は複数の残りの層のための1つ又は複数の他のターゲット特性(例えば、ターゲット屈折率、ターゲット表面粗さ、ターゲット平均粒径、ターゲット粒配向など)を決定しうる。追加的に又は代替的には、工程420において、計算装置は、1つ又は複数の残りの層を形成するために実行されることになるプロセスのためのターゲットプロセスパラメータ値を決定しうる。例えば、計算装置は、決定されたターゲット層の厚さをほぼもたらすことになるように実行される1つ又は複数の堆積プロセスについて、堆積時間、ガス流量、温度、圧力、プラズマ出力などのプロセスパラメータのためのプロセスパラメータ値を決定しうる。加えて、計算装置は、測定された厚さを有し、1つ又は複数の残りの層のターゲットの厚さを有する多層スタックを含む装置又は構成要素のための1つ又は複数のエンドオブライン性能測定値を予測しうる。予測エンドオブライン性能測定値が性能閾値を下回る場合、いくつかの実施形態では、基板はスクラップ又は再加工されうる。追加的に又は代替的には、予測エンドオブライン性能測定値が性能閾値を下回る場合、第1の層を堆積させたプロセスチャンバは、メンテナンスが予定されうる。実施形態において、第1の層の測定された厚さ(及び/又は他の特性)を予測モデル123に入力することによって、工程420が実行されうる。
[0085]工程425において、処理ロジックは、多層スタックの第2の層を形成するために実行される第2の製造プロセスに関する1つ又は複数のプロセスパラメータのプロセスパラメータ値を決定する。1つの実施形態では、プロセスパラメータ値は、ターゲットの厚さ(及び/又は堆積させる次の層の他のターゲット特性)を表、関数又はモデルに入力することによって決定される。表、関数、又はモデルは、ターゲットの厚さ(及び/又は他の層特性)を受信し、プロセスパラメータ値を出力しうる。1つの実施形態では、モデルは、層の入力ターゲットの厚さ及び/又は他の入力ターゲット特性に基づいてレシピのプロセスパラメータ値を出力するように訓練されたニューラルネットワーク(例えば、畳み込みニューラルネットワーク)又は回帰モデルのような、訓練済みの機械学習モデルである。1つの実施形態では、ターゲットプロセスパラメータ値は、工程420で決定された。
[0086]工程430において、基板は第2のプロセスチャンバに移送され、第2のプロセスチャンバは、決定されたプロセスパラメータ値を使用して基板上で第2の製造プロセスを実行し、基板上に多層スタックの第2の層を形成する。その後、基板は第2のプロセスチャンバから取り出されうる。
[0087]工程435において、基板上で光学測定を実行し、第2の層の実際の第2の厚さを測定するために、光学センサが使用される。追加的に又は代替的には、屈折率や消光係数といった、第2の層の1つ又は複数の他の特性が、光学センサを用いて測定されうる。
[0088]工程440において、計算装置(例えば、コントローラ又はサーバ)は、第1の層の第1の厚さ及び第2の層の実際の第2の厚さ(及び/又は第1の層及び第2の層の1つ又は複数の他の測定された特性)に基づいて、多層スタックの1つ又は複数の残りの層のためのターゲットの厚さを決定する。追加的に又は代替的には、計算装置は、第1の厚さ(及び/又は第1の層の1つ又は複数の他の測定された特性)及び実際の第2の厚さ(及び/又は第2の層の1つ又は複数の他の測定された特性)に基づいて、1つ又は複数の残りの層のための1つ又は複数の他のターゲット特性(例えば、ターゲット屈折率、ターゲット表面粗さ、ターゲット平均粒径、ターゲット粒配向など)を決定しうる。追加的に又は代替的には、工程440において、計算装置は、1つ又は複数の残りの層を形成するために実行されることになるプロセスのためのターゲットプロセスパラメータ値を決定しうる。例えば、計算装置は、決定されたターゲット層の厚さをほぼもたらすことになるように実行される1つ又は複数の堆積プロセスについて、堆積時間、ガス流量、温度、圧力、プラズマ出力などのプロセスパラメータのためのプロセスパラメータ値を決定しうる。加えて、計算装置は、測定された第1の厚さ及び第2の厚さを有し、1つ又は複数の残りの層のターゲットの厚さを有する多層スタックを含む装置又は構成要素のための1つ又は複数のエンドオブライン性能測定値を予測しうる。予測されるエンドオブライン性能測定値が性能閾値を下回る場合、次に基板は、いくつかの実施形態において、スクラップ又は再加工され、及び/又は第2のプロセスチャンバは、メンテナンスが予定されうる。工程440は、実施形態において、第1及び第2の層の測定された厚さ(及び/又は他の特性)を予測モデル123に入力することによって実行されうる。いくつかの実施形態では、工程420及び440で、同じ訓練済みの機械学習モデルが使用される。代替的には、工程420と440で、異なる訓練済みの機械学習モデルが使用されてもよい。例えば、工程420で使用される訓練済みの機械学習モデルは、単一の厚さのみを受け取るように訓練され、工程440で使用される訓練済みの機械学習モデルは、2つの厚さ値を受け取るように訓練されうる。
[0089]多層スタックが2つの層を含む1つの実施形態では、工程440において、計算装置は、予測エンドオブライン性能測定値を決定するが、残りの任意の層のためのターゲットの厚さを決定しない。そのような実施形態では、方法400は、工程440で終了しうる。
[0090]工程445において、処理ロジックは、多層スタックの第3の層を形成するために実行される第3の製造プロセスのための1つ又は複数のプロセスパラメータのプロセスパラメータ値を決定しうる。1つの実施形態では、プロセスパラメータ値は、ターゲットの厚さ(及び/又は堆積させる次の層の他のターゲット特性)を表、関数又はモデルに入力することによって決定される。表、関数、又はモデルは、ターゲットの厚さ(及び/又は他の層特性)を受信し、プロセスパラメータ値を出力しうる。1つの実施形態では、モデルは、層の入力ターゲットの厚さ及び/又は他の入力ターゲット特性に基づいてレシピのプロセスパラメータ値を出力するように訓練されたニューラルネットワーク(例えば、畳み込みニューラルネットワーク)又は回帰モデルのような、訓練済みの機械学習モデルである。1つの実施形態では、ターゲットプロセスパラメータ値は、工程440で決定された。
[0091]工程450において、基板は第3のプロセスチャンバに移送され、第3のプロセスチャンバは、決定されたプロセスパラメータ値を使用して基板上で第3の製造プロセスを実行し、基板上に多層スタックの第3の層を形成する。その後、第3のプロセスチャンバから基板が取り出されうる。
[0092]工程455において、基板上で光学測定を実行し、第3の層の実際の第3の厚さを測定するために、光学センサが使用される。追加的に又は代替的には、屈折率及び/又は消光係数など、第3の層の1つ又は複数の他の特性が、光学センサを用いて測定されうる。
[0093]工程460において、計算装置(例えば、コントローラ又はサーバ)は、第1の層の第1の厚さ、第2の層の測定された第2の厚さ及び第3の層の測定された第3の厚さ(及び/又は第1の層、第2の層及び第3の層の1つ又は複数の他の測定された特性)に基づいて、予測エンドオブライン性能測定値を決定する。エンドオブライン性能測定値が性能閾値を下回る場合、次に基板は、いくつかの実施形態では、スクラップ又は再加工されうる。工程460は、実施形態において、第1の層、第2の層及び第3の層の測定された厚さ(及び/又は他の特性)を予測モデル123に入力することによって実行されうる。いくつかの実施形態では、工程420、440及び460において、同じ訓練済みの機械学習モデルが使用される。代替的には、工程420、440、460において、異なる訓練済みの機械学習モデルが使用されてもよい。第3の層の後に堆積される追加の層がある場合、次に工程460において、計算装置は、追加的に又は代替的には、次の層のためのターゲットの厚さ及び/又はターゲットの厚さを達成するためのターゲットプロセスパラメータ値を決定しうる。次に、工程450~460と類似の工程が、次の層について実行されうる。
[0094]図5は、実施形態による、1つ又は複数の既に実行された堆積プロセスから得られる膜の光学測定値に基づいて、プロセスシーケンスにおける下流エッチングプロセスのフィードフォワード制御を実行する方法500のためのフローチャートである。
[0095]方法500の工程510において、基板上に層を形成するために、第1のプロセスチャンバ内の基板上で第1の製造プロセスが実行される。いくつかの実施形態では、第1の層の下の基板上に追加の層が存在する。いくつかの実施形態では、層は、多層スタックの層である。その後、基板がプロセスチャンバから取り出されうる。
[0096]工程515において、基板上で光学測定を実行し、第1の層の第1の厚さを測定するために、光学センサが使用される。追加的に又は代替的には、屈折率及び/又は消光係数といった、第1の層の1つ又は複数の他の特性が、光学センサを使用して測定されうる。
[0097]工程520において、計算装置(例えば、コントローラ又はサーバ)は、第1の厚さ(及び/又は第1の層の1つ又は複数の他の測定された特性)に基づいて、堆積層上で実行されるエッチングプロセスの1つ又は複数のプロセスパラメータのためのターゲットプロセスパラメータ値を決定する。加えて、計算装置は、層を含む装置又は構成要素のための1つ又は複数のエンドオブライン性能測定値を予測しうる。予測されるエンドオブライン性能測定値が性能閾値を下回る場合、次に、いくつかの実施形態では、基板がスクラップ又は再加工され、及び/又はプロセスチャンバにメンテナンスが予定されうる。工程520は、実施形態において、層の測定された厚さ(及び/又は他の特性)を予測モデル123に入力することによって実行されうる。
[0098]工程530において、基板は、第2のプロセスチャンバ(例えば、エッチングプロセスチャンバ)に移送され、第2のプロセスチャンバは、決定されたプロセスパラメータ値を使用して基板上でエッチングプロセスを実行し、層をエッチングする。一例では、工程510で堆積された層は、ターゲットの厚さよりも厚かった可能性があり、エッチングプロセスのためのnエッチング時間は、より厚い層に対応するために増加される可能性がある。その後、基板は第2のプロセスチャンバから取り出されうる。
[0099]工程535において、基板上で光学測定を実行し、層のエッチング後の厚さを測定するために、光学センサがオプションで使用されうる。追加的に又は代替的には、光学センサを使用して、層の1つ又は複数の他のエッチング後の特性が測定されうる。
[00100]工程540において、計算装置(例えば、コントローラ又はサーバ)は、層の厚さ及び/又は層のエッチング後の厚さ(及び/又は層の1つ又は複数の他の測定特性)に基づいて、予測されるエンドオブライン性能測定値を決定しうる。予測エンドオブライン性能測定値が性能閾値を下回る場合、いくつかの実施形態では、基板はスクラップ又は再加工されうる。工程540は、実施形態において、層の測定された厚さ(及び/又は他の特性)を予測モデル123に入力することによって実行されうる。いくつかの実施形態では、工程520及び540で、同じ訓練済みの機械学習モデルが使用される。代替的には、工程520と540で、異なる訓練済みの機械学習モデルが使用されてもよい。
[00101]図6は、実施形態による、プロセスシーケンスにおける1つ又は複数の既に実行されたプロセスから得られる膜の光学測定値に基づいて、プロセスシーケンスにおける1つ又は複数の下流プロセスのフィードフォワード制御を実行する方法600のためのフローチャートである。
[00102]方法600の工程605において、基板上に層を形成するために、第1のプロセスチャンバ内の基板上で第1の製造プロセスが実行される。いくつかの実施形態では、第1の層の下の基板上に追加の層が存在する。
[00103]工程610において、基板上で光学測定を実行し、第1の層の第1の厚さを測定するために、光学センサが使用される。追加的に又は代替的には、屈折率及び/又は消光係数といった、第1の層の1つ又は複数の他の特性が、光学センサを使用して測定されうる。
[00104]工程615において、計算装置(例えば、コントローラ又はサーバ)は、第1の厚さ(及び/又は第1の層の1つ又は複数の他の測定特性)に基づいて、基板上で実行される1つ又は複数の将来のプロセスのための1つ又は複数のプロセスパラメータ値を決定する。基板上に更なる層が堆積される場合、計算装置はまた、オプションで、1つ又は複数の残りの層のターゲットの厚さを決定しうる。追加的に又は代替的には、計算装置は、第1の厚さ(及び/又は第1の層の1つ又は複数の他の測定された特性)に基づいて、1つ又は複数の残りの層のための1つ又は複数の他のターゲット特性(例えば、ターゲット屈折率、ターゲット表面粗さ、ターゲット平均粒径、ターゲット粒配向など)を決定しうる。加えて、計算装置は、測定された厚さを有する第1の層を含む装置又は構成要素のための1つ又は複数のエンドオブライン性能測定値を予測しうる。予測されるエンドオブライン性能測定値が性能閾値を下回る場合、次に基板はスクラップ又は再加工され、及び/又は基板上に第1の層を堆積させたプロセスチャンバには、いくつかの実施形態において、メンテナンスが予定されうる。実施形態において、第1の層の測定された厚さ(及び/又は他の特性)を予測モデル123に入力することによって、工程615が実行されうる。
[00105]工程620において、基板は第2のプロセスチャンバに移送され、第2のプロセスチャンバは、決定されたプロセスパラメータ値を使用して、基板上で第2の製造プロセスを実行する。第2の製造プロセスは、例えば、堆積プロセス、エッチングプロセス、アニールプロセス、又はいくつかの他のプロセスでありうる。例えば、第2の製造プロセスは、基板上に多層スタックの第2の層を形成するための堆積プロセスでありうる。
[00106]工程625において、光学センサは、第2の製造プロセスの完了後に基板上で光学測定を実行するために使用されうる。第2のプロセスが堆積プロセスである場合、次に光学測定は、追加の堆積層の1つ以上の特性(例えば、厚さ)を測定しうる。
[00107]工程630において、計算装置(例えば、コントローラ又はサーバ)は、第1の層の第1の厚さ及び工程625で決定された基板の光学測定値(例えば、第2の層の第2の厚さ)に基づいて、基板上で実行される1つ又は複数の更なるプロセスのプロセスパラメータについての1つ又は複数のプロセスパラメータ値を決定しうる。追加的に又は代替的には、計算装置は、エンドオブライン性能測定の予測値を決定しうる。予測されるエンドオブライン性能測定値が性能閾値を下回る場合、次に基板は、いくつかの実施形態において、スクラップ又は再加工され、及び/又は第2のプロセスチャンバは、メンテナンスが予定されうる。工程630は、実施形態において、第1及び/又は第2の層の測定された厚さ(及び/又は他の特性)を予測モデル123に入力することによって実行されうる。
[00108]工程635において、処理ロジックは、その結果が光学センサを使用して測定される追加のプロセスが実行されるかどうかを決定する。追加のプロセスが実行される場合、方法はブロック620に戻り、次のプロセスが次のプロセスチャンバで実行される。追加のプロセスが実行されない場合、方法は工程640に進む。工程640では、装置又は構成要素がいったん完成すると(又は1つ又は複数の性能測定が測定できる完成段階に達すると)、エンドオブライン性能測定を決定するための測定が行われる。例えば、装置のセンシングマージン及び/又は他の電気的特性が測定されうる。工程610及び/又は625で決定された測定結果とともに、測定されたエンドオブライン性能測定値の結果は、その後、工程615及び630で使用された機械学習モデルを更に訓練するために使用されうる。例えば、予測モデル123は、新しい製品ロットが完成すると、継続的に訓練されうる。その結果、予測モデル123の精度は、時間の経過とともに向上し続ける可能性がある。
[00109]図7は、プロセスシーケンス内の1つ又は複数のプロセスによって形成された1つ又は複数の層の光学測定値に基づいて、プロセスシーケンス内の下流プロセスを制御するために使用される機械学習モデルの訓練を更新する方法700のためのフローチャートである。方法700は、例えば、予測モデル123を定期的に再訓練するために使用されうる。方法700は、ハードウェア、ソフトウェア、ファームウェア、又はこれらの組み合わせを含みうる処理ロジックによって実行されうる。実施形態では、方法700は、図1A~Bのコントローラ120、170及び/又はサーバ145によって実行される。
[00110]方法700の動作705において、エンドオブライン性能測定値を決定するために、多層スタックを含む装置又は構成要素上で、ラインエンドオブライン測定が行われる。工程710において、処理ロジックは、多層スタックにおける1つ又は複数の層の膜の厚さを決定する。各々のそれぞれの層の厚さは、その層の堆積後に測定されたものでありうる。例えば、層の厚さは、方法400~600のいずれかに従って測定されたものでありうる。工程715において、処理ロジックは、1つ又は複数の層の膜の厚さと、エンドオブライン性能測定値とを含む訓練データ項目を生成する。工程720において、処理ロジックは、次に、機械学習モデルの訓練を更新するために、訓練データ項目を使用して、訓練済みの機械学習モデル(例えば、予測モデル123)に対して教師あり学習を実行する。
[00111]図8は、実施形態による、基板上に1つ又は複数の層を形成する製造プロセスシーケンスに関連する実験計画(design of experiment:DoE)を実行する方法800のためのフローチャートである。特定のシーケンス又は順序で表示されているが、特に指定がない限り、処理の順序は変更可能である。したがって、図示された実施形態は例としてのみ理解されるべきであり、図示されたプロセスは異なる順序で実行することができ、いくつかのプロセスは並行して実行することができる。加えて、様々な実施形態において、1つ又は複数のプロセスは省略することができる。したがって、すべての実施形態において、すべてのプロセスが実行されるわけではない。その他のプロセスフローも可能である。
[00112]方法800の工程805において、製造プロセスのシーケンスの複数のバージョンが実行される。製造プロセスのシーケンスの各バージョンは、シーケンス内の1つ又は複数のプロセスのためのプロセスパラメータ値の異なる組み合わせを使用し、層の厚さの異なる組み合わせを有する多層スタックをもたらす。1つの実施形態では、多層スタックはDRAMビット線スタックであり、DRAMビット線スタックの各バージョンは、バリア金属層、バリア層及びビット線金属層のための層の厚さの異なる組み合わせを有している。いくつかの例では、多層スタックのための層の厚さの組み合わせの最適値が先験的に知られており、層の厚さのその最適な組み合わせ、並びに層の厚さの1つ又は複数が最適な厚さを上回る及び/又は下回る層の厚さの1つ又は複数の追加の組み合わせが試験されうる。例えば、DRAMのビット線スタックについて、最適な層の厚さは、金属バリア層では2nm、バリア層では3nm、金属ビット線層では20nmでありうる。DRAMビット線スタックの異なるバージョンが生成されうる。いくつかのバージョンは、最適な厚さを上回る又は下回る厚さの1つだけを変化させ、いくつかのバージョンは、最適な厚さを上回る及び/又は下回る厚さの2つを変化させ、いくつかのバージョンは、最適な厚さを上回る及び/又は下回る厚さの3つすべてを変化させる。1つの例として、ある範囲の厚さの組み合わせを有する多層スタックを製造するために、約300の基板が処理される。製造プロセスのシーケンスのバージョンの各々について、試験可能な装置又は構成要素を製造するために、1つ又は複数の更なるプロセスが基板上で実行されうる。
[00113]工程810において、製造プロセスシーケンスのバージョンのうちの1つが選択される。
[00114]工程815において、製造プロセスのシーケンスの選択されたバージョンを使用して製造された代表基板上で、1つ又は複数の測定が実行され、代表基板上の多層スタックの1つ又は複数の層の特性を決定する。例えば、基板上の多層スタックの各層の厚さを決定するために、破壊的計測測定が実行されうる。代替的には、多層スタックの製造中にインラインで測定が行われてもよい(例えば、層が形成された後に、多層スタックの各層の非破壊光学測定を実行することによって)。
[00115]工程820において、選択された製造プロセスのシーケンスを使用して形成された多層スタックを有する基板を使用して、装置又は構成要素が製造されうる。いくつかの実施形態では、工程820は工程810の前に実行される。形成されうる装置の例は、DRAMメモリモジュール及び3D NANDメモリモジュールを含む。
[00116]工程825において、選択されたバージョンの製造プロセスによって形成された多層スタックを含む製造された装置又は構成要素について、1つ又は複数のエンドオブライン性能測定が測定される。性能測定は、センシングマージン、電圧、電力、装置速度、装置の待ち時間、歩留まり、及び/又は他の性能パラメータを含みうる。いくつかの実施形態では、装置又は構成要素の1つ又は複数の電気的特性を決定するために、装置又は構成要素に対して1つ又は複数の電気的測定が実行される。電気的特性は、装置又は構成要素のエンドオブライン性能測定に対応しうるか、又はエンドオブライン性能測定でありうる。例えば、センシングマージンは、メモリユニット用のゲートに供給される電圧のうち、実際にゲートで検出される電圧の割合である。より大きなセンシングマージンは、より小さなセンシングマージンよりも優れている。これは、より大きなセンシングマージンを有する装置が、より少ない電圧で機能しうる(例えば、ゲートの状態を変化させるために、メモリユニットのゲートに、より小さな電圧が印加されうる)からである。
[00117]工程830で、製造プロセスのシーケンスの選択されたバージョンについて、データ項目が生成される。データ項目は、多層スタックの各層についての層の厚さと、1つ又は複数のエンドオブライン性能測定値とを含む訓練データ項目でありうる。
[00118]工程835において、まだ試験されていない(及びデータ項目がまだ生成されていない)製造プロセスのシーケンスの残りのバージョンがあるかどうかについての判定が行われる。製造プロセスのシーケンスの未試験のバージョンがまだ残っている場合、方法は工程810に戻り、製造プロセスのシーケンスの新しいバージョンが選択され試験される。製造プロセスのシーケンスのバージョンのすべてが試験された場合、本方法は工程840に続く。
[00119]工程840において、訓練データセットが生成される。訓練データセットは、製造プロセスのシーケンスのバージョンの各々について生成されたデータ項目を含む。
[00120]図9は、実施形態による、製造プロセスシーケンスにおける1つ又は複数のプロセスによって形成される1つ又は複数の層の厚さ値に基づいて、1つ又は複数の残りの層のターゲットの厚さ、1つ又は複数の層を形成するためのプロセスパラメータ値及び/又はエンドオブライン性能測定値を決定するためにモデルを訓練する方法900のためのフローチャートである。方法900は、明らかになるように、図1A~3を参照して説明した構成要素を用いて実行されうる。例えば、方法900は、実施形態において、コントローラ120、コントローラ170及び/又はサーバ145によって実行されうる。方法900の少なくともいくつかの工程は、ハードウェア(例えば、回路、専用ロジック、プログラマブルロジック、マイクロコードなど)、ソフトウェア(例えば、ハードウェアシミュレーションを実行するために処理装置上で実行される命令)、又はこれらの組み合わせを含みうる処理論理によって実行されうる。特定のシーケンス又は順序で表示されているが、特に指定がない限り、処理の順序は変更可能である。したがって、図示された実施形態は例としてのみ理解されるべきであり、図示されたプロセスは異なる順序で実行することができ、いくつかのプロセスは並行して実行することができる。加えて、様々な実施形態において、1つ又は複数のプロセスは省略することができる。したがって、すべての実施形態において、すべてのプロセスが実行されるわけではない。その他のプロセスフローも可能である。
[00121]方法900の工程905において、処理ロジックは、訓練データセット(例えば、方法800に従って生成された可能性がある)を受信する。訓練データセットは、複数のデータ項目を含みうる。各データ項目は、製造プロセスのシーケンスのバージョンの1つ又は複数の層の厚さと、エンドオブライン性能測定値とを含む。
[00122]工程910において、処理ロジックは、基板上の多層スタックの1つ又は複数の層についての厚さの入力を受け取り、多層スタックの1つ又は複数の残りの層のためのターゲットの厚さ、基板上で実行される1つ又は複数の将来の製造プロセスのプロセスパラメータのためのターゲットプロセスパラメータ値及び/又は予測エンドオブライン性能測定値の少なくとも1つを出力するようにモデルを訓練する。
[00123]1つの実施形態では、モデルは、回帰を用いて訓練された回帰モデルなどの機械学習モデルである。回帰モデルの例は、線形回帰やガウス回帰を用いて学習させた回帰モデルである。1つの実施形態では、工程915において、処理ロジックは、モデルを訓練するために訓練データセットを用いて線形回帰又はガウス回帰を実行する。回帰モデルは、X変数の既知の値を考慮してYの値を予測する。回帰モデルは、内挿及び/又は外挿を含みうる回帰分析を用いてトレーニングされうる。1つの実施形態では、回帰モデルのパラメータは、最小二乗法を用いて推定される。代替的には、ベイズ線形回帰、パーセンテージ回帰、最小絶対偏差、ノンパラメトリック回帰、シナリオ最適化及び/又は距離メトリック学習が、回帰モデルを訓練するために実行されうる。
[00124]1つの実施形態では、モデルは、人工ニューラルネットワーク(単にニューラルネットワークとも称される)のような機械学習モデルである。人工ニューラルネットワークは、例えば、畳み込みニューラルネットワーク(Convolutional Neural Network:CNN)又はディープニューラルネットワークでありうる。1つの実施形態では、工程920で、処理ロジックは、ニューラルネットワークを訓練するために教師あり機械学習を実行する。
[00125]人工ニューラルネットワークは、概して、特徴をターゲット出力空間にマッピングする分類器又は回帰層を有する特徴表現構成要素を含む。例えば、畳み込みニューラルネットワーク(CNN)は、複数の層の畳み込みフィルタを備える。下層ではプーリングが実行され、非線形性への対処が行われうる。その下層の上部には、一般的には多層パーセプトロンが追加され、畳み込み層によって抽出される上層の特徴を決定(例えば、分類出力)にマッピングする。ニューラルネットワークは、複数の隠れ層を有する深いネットワークであってもよく、ゼロ又は少数(例えば、1~2)の隠れ層を有する浅いネットワークであってもよい。ディープラーニングは、特徴抽出及び変換のために非線形処理ユニットの多層のカスケードを使用する機械学習アルゴリズムのクラスである。連続する各層は、前の層からの出力を入力として使用する。ニューラルネットワークは、教師あり(例えば、分類)及び/又は教師なし(例えば、パターン分析)の方法で学習しうる。いくつかのニューラルネットワーク(例えば、ディープニューラルネットワークなど)は、層の階層を含み、異なる層は、異なる抽象レベルに対応する異なるレベルの表現を学習する。ディープラーニングでは、各レベルがその入力データをもう少し抽象的で複合的な表現に変換することを学習する。
[00126]ニューラルネットワークを訓練することは、ネットワークを通してラベル付けされた入力からなる訓練データセットを供給することと、その出力を観察することと、(出力とラベル付けされた値との間の差を測定することによって)誤差を定義することと、誤差が最小化されるようにそのすべての層及びノードにわたってネットワークの重みを調整するために、深い勾配降下(deep gradient descent)及び逆行性伝播(backpropagation)などの技術を使用することとを含む、教師あり学習方法で達成されうる。多くのアプリケーションでは、このプロセスを訓練データセット内の多くのラベル付き入力にわたって繰り返すことで、訓練データセットに含まれる入力とは異なる入力が提示さると、正しい出力を生成可能なネットワークが得られる。大きな画像のような高次元の設定では、十分に大きく多様な訓練データセットが利用可能になると、この一般化が実現される。
[00127]実施形態では、入力は、1つ又は複数の層の膜特性(例えば、膜の厚さなど)を含む特徴ベクトルであり、ラベルは、エンドオブライン性能測定値(例えば、感知マージンなどの電気的な値)などの性能測定値である。1つの実施形態では、1つ又は複数の堆積された層の膜特性を入力として受信し、1つ又は複数の予測性能測定値、まだ堆積されていない層の膜特性、及び/又は既に堆積した層上で実行される将来のプロセスのためのプロセスパラメータ値を出力し、及び/又は更なる層を堆積させるために、ニューラルネットワークが訓練される。
[00128]工程925において、訓練されたモデルが展開される。訓練されたモデルは、例えば、1つ又は複数のプロセスチャンバ及び/又はクラスタツールのコントローラに展開されうる。追加的に又は代替的には、訓練済みモデルは、1つ又は複数のコントローラ(例えば、1つ又は複数のプロセスチャンバのコントローラ及び/又は1つ又は複数のクラスタツールのコントローラ)に接続されたサーバに展開されうる。訓練されたモデルを展開することは、コントローラ及び/又はサーバのフィードフォワードエンジン内に訓練されたモデルを保存することを含みうる。訓練済みモデルがいったん展開されると、コントローラ及び/又はサーバは、製造プロセスのシーケンスにおける1つ又は複数の製造プロセスのフィードフォワード制御を実行するために、訓練済みモデルを使用しうる。
[00129]図10は、本明細書で議論される方法論のいずれか1つ以上をマシンに実行させるための命令のセットが実行されうる、計算装置1000の例示的形態におけるマシンの図式的表現を示す。代替的な実施形態では、マシンは、ローカルエリアネットワーク(LAN)、イントラネット、エクストラネット、又はインターネットにおいて他のマシンに接続(例えば、ネットワーク化)されることがある。マシンは、クライアントサーバネットワーク環境においてサーバ又はクライアントマシンとして動作し、又はピアツーピア(又は分散)ネットワーク環境においてピアマシンとして動作しうる。マシンは、パーソナルコンピュータ(PC)、タブレットコンピュータ、セットトップボックス(STB)、パーソナルデジタルアシスタント(PDA)、携帯電話、ウェブアプライアンス、サーバ、ネットワークルータ、スイッチ若しくはブリッジ、又はそのマシンが取るべき行動を指定する(連続した若しくは連続していない)命令のセットを実行可能な任意のマシンでありうる。更に、単一のマシンのみが図示されているが、「マシン」という用語はまた、本明細書で議論される方法論のいずれか1つ以上を実行するための命令の1つのセット(又は複数のセット)を個別に又は連携して実行するマシン(例えば、コンピュータ)の任意のコレクションを含むとも解釈されよう。
[00130]例の計算装置1000は、バス1030を介して互いに通信する、処理装置1002、主メモリ1004(例えば、読み出し専用メモリ(ROM)、フラッシュメモリ、ダイナミックランダムアクセスメモリ(DRAM)(同期DRAM(SDRAM)又はラムバスDRAM(RDRAM)など)、スタティックメモリ1006(例えば、フラッシュメモリ、スタティックランダムアクセスメモリ(SRAM)など)、及び二次メモリ(例えば、データ記憶装置1018など)を含む。
[00131]処理装置1002は、マイクロプロセッサ、中央処理装置などの1つ又は複数の汎用プロセッサを表す。より詳細には、処理装置1002は、複合命令セットコンピューティング(CISC)マイクロプロセッサ、縮小命令セットコンピューティング(RISC)マイクロプロセッサ、超長命令語(VLIW)マイクロプロセッサ、他の命令セットを実装するプロセッサ、又は命令セットの組み合わせを実装するプロセッサでありうる。処理装置1002はまた、特定用途向け集積回路(ASIC)、フィールドプログラマブルゲートアレイ(FPGA)、デジタル信号プロセッサ(DSP)、ネットワークプロセッサなどといった、1つ又は複数の特殊用途処理装置でありうる。処理装置1002は、本明細書で議論される工程及びステップを実行するための処理ロジック(命令1022)を実行するように構成される。
[00132]計算装置1000は、ネットワークインターフェース装置1008を更に含みうる。また、計算装置1000は、ビデオディスプレイユニット1010(例えば、液晶ディスプレイ(LCD)又は陰極線管(CRT))、英数字入力装置1012(例えば、キーボード)、カーソル制御装置1014(例えば、マウス)、及び信号生成装置1016(例えば、スピーカ)を含みうる。
[00133]データ記憶装置1018は、本明細書に記載の方法論又は機能のいずれか1つ以上を具現化する1つ又は複数の命令セット1022が記憶されるマシン可読記憶媒体(又はより具体的にはコンピュータ可読記憶媒体)1028を含みうる。命令1022はまた、コンピュータシステム1000によるその実行中に、完全に又は少なくとも部分的に、メインメモリ1004内及び/又は処理装置1002内に存在しうる。メインメモリ1004及び処理装置1002はまた、コンピュータ可読記憶媒体を構成する。
[00134]コンピュータ可読記憶媒体1028はまた、フィードフォワードエンジン121、及び/又はフィードフォワードエンジン121を呼び出す方法を含むソフトウェアライブラリを記憶するためにも使用されうる。コンピュータ可読記憶媒体1028は、例示的な実施形態では、単一の媒体であるように示されているが、「コンピュータ可読記憶媒体」という用語は、1つ又は複数の命令セットを記憶する単一の媒体又は複数の媒体(例えば、集中データベース若しくは分散データベース、及び/又は関連キャッシュ及びサーバ)を含むと解釈されるべきである。「コンピュータ可読記憶媒体」という用語はまた、マシンによる実行のための命令のセットを記憶又は符号化することができ、本明細書に記載の方法論のいずれか1つ以上をマシンに実行させる任意の媒体をも含むものと解釈されよう。したがって、「コンピュータ可読記憶媒体」という用語は、ソリッドステートメモリ、光学媒体及び磁気媒体などの非一時的コンピュータ可読媒体を含むが、これらに限定されないものと解釈されよう。
[00135]本明細書(例えば、図1A~3に関連して)で説明したモジュール、構成要素、及び他の特徴は、ディスクリートハードウェア構成要素として実装することも、ASICS、FPGA、DSP又は類似の装置などのハードウェア構成要素の機能性に統合することもできる。加えて、モジュールは、ハードウェア装置内のファームウェア又は機能回路として実装可能である。更に、モジュールは、ハードウェア装置とソフトウェア構成要素との任意の組み合わせで実装することも、ソフトウェアのみで実装することも可能である。
[00136]詳細な説明のいくつかの部分は、コンピュータメモリ内のデータビットに対する動作のアルゴリズム及び記号的表現の観点から提示されてきた。これらのアルゴリズムの記述及び表現は、本発明の内容を他の当業者に最も効果的に伝えるために、データ処理技術分野の当業者によって使用される手段である。アルゴリズムとは、ここでは、一般に、ターゲットの結果を導く自己矛盾のない一連のステップと考えられる。これらのステップは、物理量の物理的操作を必要とするものである。通常、必ずしもそうではないが、これらの量は、記憶、転送、結合、比較、その他の操作が可能な電気信号又は磁気信号の形態をとる。これらの信号は、ビット、値、要素、記号、文字、用語、数字等で表されることが、主に共通使用の理由で便利であることが証明されている。
[00137]しかしながら、これらの用語及び類似の用語のすべては、適切な物理量に関連付けられるべきであり、こうした物理量に適用される便宜上の標識にすぎないことに留意するべきである。以下の説明から明らかなように、特に別段の記載がない限り、本明細書を通して、「受信する(receiving)」、「識別する(identifying)」、「決定する(determining)」、「選択する(selecting)」、「提供する(providing)」、「記憶する(storing)」などといった用語を利用する議論は、コンピュータシステム又は類似の電子計算装置の動作及びプロセスを指すと理解されたい。このコンピュータシステム又は類似の電子計算装置は、コンピュータシステムのレジスタ及びメモリ内で物理量(電子量)として表されるデータを操作し、コンピュータシステムのメモリ若しくはレジスタ、又はかかる情報の他の記憶装置、送信装置、若しくは表示装置内で物理量として同様に表される他のデータへと変換する。
[00138]本発明の実施形態はまた、本明細書の工程を実行するための装置に関する。この装置は、議論された目的のために特別に構築されてもよく、又はコンピュータシステムに記憶されたコンピュータプログラムによって選択的にプログラムされる汎用コンピュータシステムを備えてもよい。かかるコンピュータプログラムは、限定されないが、フロッピーディスク、光ディスク、CD-ROM、及び光磁気ディスクを含む任意のタイプのディスク、読み取り専用メモリ(ROM)、ランダムアクセスメモリ(RAM)、EPROM、EEPROM、磁気ディスク記憶媒体、光記憶媒体、フラッシュメモリ装置、他のタイプのマシンアクセス可能記憶媒体、又はコンピュータシステムバスに各々が結合された電子命令の記憶に適した任意のタイプの媒体などのコンピュータ読み取り可能記憶媒体に記憶されうる。
[00139]前述の説明は、本開示のいくつかの実施形態の良好な理解を提供するために、特定のシステム、構成要素、方法などの例などの多数の特定の詳細を明記している。しかしながら、本開示の少なくともいくつかの実施形態は、これらの特定の詳細なしに実施されうることが、当業者には明らかであろう。他の例では、本開示を不必要に曖昧にすることを回避するために、周知の構成要素又は方法は、詳細に説明されないか、又は単純なブロック図形式で提示される。したがって、記載された特定の詳細は、単に例示的なものである。特定の実施態様は、これらの例示的な詳細とは異なり、なおも本開示の範囲内にあると考えられうる。
[00140]本明細書全体を通して、「1つの実施形態」又は「実施形態」への言及は、実施形態に関連して説明された特定の特徴、構造、又は特性が、少なくとも1つの実施形態に含まれることを意味する。したがって、本明細書全体の様々な場所における「1つの実施形態では」又は「実施形態では」という語句が現れても、必ずしもすべてが同じ実施形態を参照しているわけではない。加えて、「又は」という用語は、排他的な「又は」ではなく、包括的な「又は」を意味することを意図している。「約(about)」又は「ほぼ(approximately)」という用語が本明細書で使用される場合、これは、提示される公称値が±10%以内で正確であることを意味することが意図される。
[00141]本明細書の方法の工程は特定の順序で図示され説明されるが、特定の工程が逆の順序で実行され、特定の工程が他の工程と同時に少なくとも部分的に実行されるように、各方法の工程の順序が変更されてもよい。別の実施形態では、別個の工程の命令又はサブ工程は、断続的及び/又は交互でありうる。
[00142]上記の説明は、例示を意図したものであり、限定を意図したものではないと理解すべきである。上記の説明を読み理解すれば、多くの他の実施形態が当業者に明らかになるだろう。したがって、開示の範囲は、添付の特許請求の範囲を参照し、そのような特許請求の範囲が権利を有する均等物の全範囲と共に決定されるべきである。

Claims (25)

  1. 少なくとも1つの移送チャンバと、
    前記少なくとも1つの移送チャンバに接続された第1のプロセスチャンバであって、多層スタックの第1の層を基板上に堆積させるための第1のプロセスを実行するように構成される、第1のプロセスチャンバと、
    前記少なくとも1つの移送チャンバに接続された第2のプロセスチャンバであって、前記多層スタックの第2の層を前記基板上に堆積させるための第2のプロセスを実行するように構成される、第2のプロセスチャンバと、
    前記第1の層が前記基板上に堆積された後に、前記第1の層上で光学測定を実行するように構成された光学センサと、
    前記第1のプロセスチャンバ、前記第2のプロセスチャンバ、前記移送チャンバ又は前記光学センサのうちの少なくとも1つに動作可能に接続された計算装置と
    を備え、前記計算装置は、
    前記第1のプロセスが前記基板上で実行された後に、前記第1の層の第1の光学測定値を受信することであって、前記第1の層の第1の厚さを示す第1の光学測定値を受信することと、
    前記第1の層の前記第1の厚さに基づいて、前記多層スタックの前記第2の層のためのターゲットの第2の厚さを決定することと、
    前記第2のプロセスチャンバに、前記第1の層上に前記ターゲットの第2の厚さをほぼ有する前記第2の層を堆積させるための前記第2のプロセスを実行させることと
    を行う、基板処理システム。
  2. 前記少なくとも1つの移送チャンバに接続された第3のプロセスチャンバであって、前記多層スタックの第3の層を前記基板上に堆積させるための第3のプロセスを実行するように構成される、第3のプロセスチャンバ
    を更に備え、
    前記光学センサは、前記第2の層に対して前記光学測定を実行するように更に構成され、
    前記計算装置は、
    前記第2のプロセスが前記基板上で実行された後に、前記第2の層の第2の光学測定値を受信することであって、前記第2の層の実際の第2の厚さを示す第2の光学測定値を受信することと、
    前記第1の層の前記第1の厚さ及び前記第2の層の前記実際の第2の厚さに基づいて、前記多層スタックの前記第3の層のためのターゲットの第3の厚さを決定することと、
    前記第3のプロセスチャンバに、前記第2の層上に前記ターゲットの第3の厚さをほぼ有する前記第3の層を堆積させるための前記第3のプロセスを実行させることと
    を更に行う、請求項1に記載の基板処理システム。
  3. 前記多層スタックの前記第3の層のための前記ターゲットの第3の厚さを決定するために、前記計算装置は、
    前記第1の層の前記第1の厚さ及び前記第2の層の前記実際の第2の厚さの入力のために、前記第1の層の前記第1の厚さ及び前記第2の層の前記実際の第2の厚さと組み合わされると、前記多層スタックを含む装置のための最適なエンドオブライン性能測定値をもたらす前記第3の層の前記ターゲットの第3の厚さを決定するように訓練された訓練済みの機械学習モデルに、前記第1の層の前記第1の厚さ及び前記第2の層の前記実際の第2の厚さを入力すること
    を行う、請求項2に記載の基板処理システム。
  4. 前記光学センサは、前記第3の層に対して前記光学測定を実行するように更に構成され、
    前記計算装置は、
    前記第3のプロセスが前記基板上で実行された後に、前記第3の層の第3の光学測定値を受信することであって、前記第3の層の実際の第3の厚さを示す第3の光学測定値を受信することと、
    前記第1の層の前記第1の厚さ、前記第2の層の前記実際の第2の厚さ、及び前記第3の層の前記実際の第3の厚さに基づいて、前記多層スタックを含む装置のための予測エンドオブライン性能測定値を決定することと
    を更に行う、請求項2に記載の基板処理システム。
  5. 前記多層スタックを含む前記装置のための予測エンドオブライン性能測定値を決定するために、前記計算装置は、
    前記第1の層の前記第1の厚さ、前記第2の層の前記実際の第2の厚さ及び前記第3の層の前記実際の第3の厚さの入力のために、前記多層スタックを含む前記装置のための前記予測エンドオブライン性能測定値を予測するように訓練された訓練済みの機械学習モデルに、前記第1の層の前記第1の厚さ、前記第2の層の前記実際の第2の厚さ及び前記第3の層の前記実際の第3の厚さを入力すること
    を行う、請求項4に記載の基板処理システム。
  6. 前記多層スタックは、ダイナミックランダムアクセスメモリ(DRAM)ビット線スタックを含み、前記予測エンドオブライン性能測定値は、センシングマージンを含む、請求項5に記載の基板処理システム。
  7. 前記多層スタックの前記第2の層のための前記ターゲットの第2の厚さを決定するために、前記計算装置は、
    前記第1の層の前記第1の厚さの入力ために、前記第1の層の前記第1の厚さと組み合わされると、前記多層スタックを含む装置のための最適なエンドオブライン性能測定値をもたらす前記第2の層の前記ターゲットの第2の厚さを出力するように訓練された訓練済みの機械学習モデルに、前記第1の層の前記第1の厚さを入力すること
    を行う、請求項1に記載の基板処理システム。
  8. 前記訓練済みの機械学習モデルは、ニューラルネットワークを含む、請求項7に記載の基板処理システム。
  9. 前記訓練済みの機械学習モデルは、前記多層スタックの第3の層のターゲットの第3の厚さ又は前記多層スタックを含む装置のためのエンドオブライン性能測定値のうちの少なくとも1つを出力するように更に訓練される、請求項7に記載の基板処理システム。
  10. 前記光学センサは、反射計測を用いて前記第1の厚さを測定するように構成された分光計を含む、請求項1に記載の基板処理システム。
  11. 前記光学センサは、前記移送チャンバ、前記移送チャンバに接続されたロードロックチャンバ又は通過ステーションの構成要素である、請求項1に記載の基板処理システム。
  12. 基板上に多層スタックの第1の層を堆積させるための第1の堆積プロセスを用いて、第1のプロセスチャンバ内で前記基板を処理することと、
    前記第1のプロセスチャンバから前記基板を取り出すことと、
    光学センサを用いて前記第1の層の第1の厚さを測定することと、
    前記第1の層の前記第1の厚さに基づいて、前記多層スタックの第2の層のためのターゲットの第2の厚さを決定することと、
    前記第2の層のための前記第2のターゲットの厚さを達成することになる第2の堆積プロセスのための1つ又は複数のプロセスパラメータ値を決定することと、
    前記第1の層の上に前記ターゲットの第2の厚さをほぼ有する前記多層スタックの前記第2の層を堆積させるために、前記1つ又は複数のプロセスパラメータ値を有する前記第2の堆積プロセスを用いて、第2のプロセスチャンバにおいて前記基板を処理することと
    を含む、方法。
  13. 前記光学センサ又は追加の光学センサを用いて、前記第2の層の実際の第2の厚さを測定することと、
    前記第1の層の前記第1の厚さ及び前記第2の層の前記実際の第2の厚さに基づいて、前記多層スタックの第3の層のためのターゲットの第3の厚さを決定することと、
    前記第2の層のための前記第3のターゲットの厚さを達成することになる第3の堆積プロセスのための1つ又は複数の追加のプロセスパラメータ値を決定することと、
    前記第3の堆積プロセスを実行して、前記第2の層の上に前記ターゲットの第3の厚さをほぼ有する前記第3の層を堆積させるために、前記1つ又は複数の追加のプロセスパラメータ値を用いて、第3のプロセスチャンバにおいて前記基板を処理することと
    を更に含む、請求項12に記載の方法。
  14. 前記多層スタックの前記第3の層のための前記ターゲットの第3の厚さを決定することは、
    前記第1の層の前記第1の厚さ及び前記第2の層の前記実際の第2の厚さの入力のために、前記第1の層の前記第1の厚さ及び前記第2の層の前記実際の第2の厚さと組み合わされると、前記多層スタックを含む装置のための最適なエンドオブライン性能測定値をもたらす前記第3の層の前記ターゲットの第3の厚さを出力するように訓練された訓練済みの機械学習モデルに、前記第1の層の前記第1の厚さ及び前記第2の層の前記実際の第2の厚さを入力すること
    を含む、請求項13に記載の方法。
  15. 前記光学センサ又は前記追加の光学センサを用いて、前記第3の層の実際の第3の厚さを測定することと、
    前記第1の層の前記第1の厚さ、前記第2の層の前記実際の第2の厚さ、及び前記第3の層の前記実際の第3の厚さに基づいて、前記多層スタックを含む装置のための予測エンドオブライン性能測定値を決定することと
    を更に含む、請求項13に記載の方法。
  16. 前記多層スタックを含む前記装置の前記予測エンドオブライン性能測定値を決定することは、
    前記第1の層の前記第1の厚さ、前記第2の層の前記実際の第2の厚さ及び前記第3の層の前記実際の第3の厚さの入力のために、前記多層スタックを含む前記装置のための前記予測エンドオブライン性能測定値を予測するように訓練された訓練済みの機械学習モデルに、前記第1の層の前記第1の厚さ、前記第2の層の前記実際の第2の厚さ及び前記第3の層の前記実際の第3の厚さを入力すること
    を含む、請求項15に記載の方法。
  17. 前記多層スタックは、ダイナミックランダムアクセスメモリ(DRAM)ビット線スタックを含み、前記予測エンドオブライン性能測定値は、センシングマージン値を含む、請求項16に記載の方法。
  18. 前記多層スタックの前記第2の層のための前記ターゲットの第2の厚さを決定することは、
    前記第1の層の前記第1の厚さの入力ために、前記第1の層の前記第1の厚さと組み合わされると、前記多層スタックを含む装置のための予測された最適なエンドオブライン性能測定値をもたらす前記第2の層の前記ターゲットの第2の厚さを出力するように訓練された訓練済みの機械学習モデルに、前記第1の層の前記第1の厚さを入力すること
    を含む、請求項12に記載の方法。
  19. 前記訓練済みの機械学習モデルは、ニューラルネットワークを含む、請求項18に記載の方法。
  20. 前記訓練済みの機械学習モデルは、前記多層スタックの第3の層のターゲットの第3の厚さ又は前記多層スタックを含む装置のためのエンドオブライン性能測定値のうちの少なくとも1つを出力するように更に訓練される、請求項18に記載の方法。
  21. 前記多層スタックを含む前記装置のための実際のエンドオブライン性能測定値を受信することと、
    前記第1の層の前記第1の厚さ及び前記第2の層の前記ターゲットの第2の厚さを含む訓練データ項目を用いて、前記訓練済みの機械学習モデルを再訓練することと
    を更に含み、前記訓練データ項目は、前記実際のエンドオブライン性能測定値に対応するラベルを更に含む、請求項18に記載の方法。
  22. 前記光学センサは、移送チャンバ、前記移送チャンバに接続されたロードロックチャンバ又は通過ステーションの構成要素であり、前記第1のプロセスチャンバ、前記第2のプロセスチャンバ、並びに前記第1のプロセスチャンバ及び前記第2のプロセスチャンバに接続された移送チャンバを含むクラスタツールから前記基板を取り出さずに、前記第1の層及び前記第2の層が前記基板上に形成される、請求項12に記載の方法。
  23. 複数のデータ項目を含む訓練データセットを受信又は生成することであって、前記複数のデータ項目の各データ項目は、多層スタックの複数の層のための層の厚さと、前記多層スタックを含む装置のためのエンドオブライン性能測定値との組み合わせを含む、訓練データセットを受信又は生成することと、
    前記訓練データセットに基づいて、入力として前記多層スタックの単一層の厚さ又は少なくとも2つの層の厚さを受信し、前記多層スタックの単一の残りの層のターゲットの厚さ、前記多層スタックの少なくとも2つの残りの層のためのターゲットの厚さ、又は前記多層スタックを含む装置のための予測エンドオブライン性能測定値のうちの少なくとも1つを出力するために、機械学習モデルを訓練することと
    を含む、方法。
  24. 前記多層スタックの複数のバージョンを形成することであって、前記複数のバージョンの各々が、前記多層スタックの前記複数の層のための層の厚さの異なる組み合わせを含む、前記多層スタックの複数のバージョンを形成することと、
    前記多層スタックの各バージョンについて、前記多層スタックの前記バージョンを含む装置を製造することと、
    前記多層スタックのバージョンを含む各装置について、エンドオブライン性能測定値を決定するために、エンドオブライン性能測定を測定することと、
    前記多層スタックの各バージョンについて、前記多層スタックの前記複数の層のための層の厚さの前記組み合わせを、前記エンドオブライン性能測定値に関連付けることと
    によって前記訓練データセットを生成することを更に含む、請求項23に記載の方法。
  25. 前記多層スタックは、ダイナミックランダムアクセスメモリ(DRAM)ビット線スタックを含み、前記予測エンドオブライン性能測定値は、センシングマージン値を含む、請求項23に記載の方法。
JP2023530849A 2020-11-24 2021-11-19 装置製造中の多層スタックのフィードフォワード制御 Pending JP2023550487A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US17/103,847 US20220165593A1 (en) 2020-11-24 2020-11-24 Feedforward control of multi-layer stacks during device fabrication
US17/103,847 2020-11-24
PCT/US2021/060130 WO2022115328A1 (en) 2020-11-24 2021-11-19 Feedforward control of multi-layer stacks during device fabrication

Publications (1)

Publication Number Publication Date
JP2023550487A true JP2023550487A (ja) 2023-12-01

Family

ID=81657241

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2023530849A Pending JP2023550487A (ja) 2020-11-24 2021-11-19 装置製造中の多層スタックのフィードフォワード制御

Country Status (7)

Country Link
US (1) US20220165593A1 (ja)
EP (1) EP4252276A1 (ja)
JP (1) JP2023550487A (ja)
KR (1) KR20230107875A (ja)
CN (1) CN116472437A (ja)
TW (1) TW202236471A (ja)
WO (1) WO2022115328A1 (ja)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11688616B2 (en) * 2020-07-22 2023-06-27 Applied Materials, Inc. Integrated substrate measurement system to improve manufacturing process performance

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7201936B2 (en) * 2001-06-19 2007-04-10 Applied Materials, Inc. Method of feedback control of sub-atmospheric chemical vapor deposition processes
US9870935B2 (en) * 2014-12-19 2018-01-16 Applied Materials, Inc. Monitoring system for deposition and method of operation thereof
US10989652B2 (en) * 2017-09-06 2021-04-27 Lam Research Corporation Systems and methods for combining optical metrology with mass metrology
US10971369B2 (en) * 2018-01-31 2021-04-06 Hitachi High-Tech Corporation Plasma processing method and plasma processing apparatus
WO2019182952A1 (en) * 2018-03-20 2019-09-26 Tokyo Electron Limited Self-aware and correcting heterogenous platform incorporating integrated semiconductor processing modules and method for using same
US10886155B2 (en) * 2019-01-16 2021-01-05 Applied Materials, Inc. Optical stack deposition and on-board metrology
KR20200112584A (ko) * 2019-03-21 2020-10-05 삼성전자주식회사 멀티-챔버를 갖는 박막 형성 장치

Also Published As

Publication number Publication date
WO2022115328A1 (en) 2022-06-02
US20220165593A1 (en) 2022-05-26
KR20230107875A (ko) 2023-07-18
EP4252276A1 (en) 2023-10-04
TW202236471A (zh) 2022-09-16
CN116472437A (zh) 2023-07-21

Similar Documents

Publication Publication Date Title
US11709477B2 (en) Autonomous substrate processing system
JP2023550487A (ja) 装置製造中の多層スタックのフィードフォワード制御
US20230195071A1 (en) Methods and mechanisms for generating a data collection plan for a semiconductor manufacturing system
JP2023550470A (ja) 上流プロセス制御のためのエッチングフィードバック
TW202245091A (zh) 用於使用虛擬模型進行處理腔室健康監測和診斷的系統和方法
US20230306300A1 (en) Methods and mechanisms for measuring patterned substrate properties during substrate manufacturing
US20230359179A1 (en) Methods and mechanisms for adjusting film deposition parameters during substrate manufacturing
US20230008072A1 (en) Method and mechanism for contact-free process chamber characterization
US20230135102A1 (en) Methods and mechanisms for process recipe optimization
US20230342016A1 (en) Methods and mechanisms for generating virtual knobs for model performance tuning
CN116802780B (zh) 自主基板处理系统
US20230195078A1 (en) Methods and mechanisms for adjusting process chamber parameters during substrate manufacturing
US20230384777A1 (en) Methods and mechanisms for preventing fluctuation in machine-learning model performance
TW202347063A (zh) 製程控制旋鈕估計
CN117916871A (zh) 用于将传感器耦合至传输腔室机器人的方法和机构
Pfitzner et al. Trends in European R&D-Advanced Process Control Down to Atomic Scale for Micro-and Nanotechnologies

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20230721