TW202345235A - 在基板製造期間用於調整處理腔室參數的方法及機制 - Google Patents

在基板製造期間用於調整處理腔室參數的方法及機制 Download PDF

Info

Publication number
TW202345235A
TW202345235A TW111145642A TW111145642A TW202345235A TW 202345235 A TW202345235 A TW 202345235A TW 111145642 A TW111145642 A TW 111145642A TW 111145642 A TW111145642 A TW 111145642A TW 202345235 A TW202345235 A TW 202345235A
Authority
TW
Taiwan
Prior art keywords
calibration curve
substrate
data
process recipe
machine learning
Prior art date
Application number
TW111145642A
Other languages
English (en)
Inventor
純磊 張
張濤
賈拉杰 帕雅佩利
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202345235A publication Critical patent/TW202345235A/zh

Links

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/18Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form
    • G05B19/4155Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form characterised by programme execution, i.e. part programme or machine function execution, e.g. selection of a programme
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45212Etching, engraving, sculpturing, carving
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Automation & Control Theory (AREA)
  • Human Computer Interaction (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

一種電子元件製造系統能夠獲取用位於根據一製程配方對一基板執行一沉積製程的一製程腔室內的計量設備產生的計量資料,其中該製程配方包含複數個設定參數,且其中該沉積製程在該基板的一表面上產生複數個膜層。製造系統可根據計量資料進一步產生校正曲線。製造系統可進一步藉由對製程配方應用校正曲線而產生更新後的製程配方。製造系統可進一步根據更新後的製程配方對基板執行蝕刻製程。

Description

在基板製造期間用於調整處理腔室參數的方法及機制
本揭示案係關於電子部件,且更特定而言係關於在基板製造期間用於調整處理腔室參數的方法及機制。
可藉由用製造設備執行一或多個製造製程來生產產品。舉例而言,可使用半導體製造設備經由半導體製造製程來生產半導體元件(例如基板)。製造設備可根據製程配方在基板表面上沉積多層膜,且可執行蝕刻製程以在所沉積的膜上形成複雜的圖案。舉例而言,製造設備可執行化學氣相沉積(chemical vapor deposition; CVD)製程以在基板上沉積交替的層。在製造製程期间可使用感測器決定製造設備的製造參數,並可使用計量設備決定由製造設備生產的產品的性質資料,例如基板上層的總厚度。大體而言,製造設備在沉積製程期間可監測個別的感測器,以偵測問題。然而,監測個別的感測器無法在製造製程期間提供校正資料,從而可導致劣質或無用的產品。因此,需要能在製造製程期間產生校正資料的系統。
為提供對本揭示案的一些態樣的基本理解,下文簡要地概述本揭示案。本概述並非對本揭示案的廣泛概述。本概述將不指明本揭示案的重要或關鍵要素,亦不描述本揭示案的特定實施方式的任何範疇或請求項的任何範疇。其唯一目的是以簡單的形式提出本揭示案的一些概念,作為對其後所提供的更詳細描述的前序。
在本揭示案的一態樣中,電子元件製造系統包括:移送腔室;製程腔室,其耦接至移送腔室;及計量設備,其位於移送腔室或製程腔室中之至少一者中。製造系統經配置以獲取用位於根據製程配方對基板執行沉積製程的製程腔室內的計量設備產生的計量資料,其中製程配方包含複數個設定參數,且其中沉積製程在基板表面上產生複數個膜層。製造系統可基於計量資料進一步產生校正曲線。製造系統可進一步藉由對製程配方應用校正曲線而產生更新後的製程配方。製造系統可進一步根據更新後的製程配方對基板執行蝕刻製程。
本揭示案的另一態樣包括根據本文所描述的任何態樣或實施例的方法。
本揭示案的另一態樣包含非暫時性電腦可讀儲存媒體,其包含指令,當由在操作中耦接至記憶體的處理裝置執行時,指令執行根據本文所描述的任何態樣或實施例的操作。
本文描述的技術係關於在基板製造期間用於調整製程腔室參數的方法及機制。在製造系統的製程腔室執行的沉積製程(例如沉積(CVD)製程、原子層沉積(atomic layer deposition; ALD)製程等)中,可在基板表面上沉積膜。舉例而言,在CVD製程中,將基板曝露於一或多種前驅物,該等前驅物與基板表面反應以產生所要的沉積物。膜可包括在沉積製程期間形成的一或多個材料層,且每一層可包括特定的厚度梯度(例如沿所沉積膜的層的厚度變化)。舉例而言,第一層可在基板表面上直接形成(稱為膜的近端層或近端),並具有第一厚度。在基板表面上形成第一層之後,在第一層上形成具有第二厚度的第二層。此製程繼續,直至沉積製程完成,且形成膜的最終層(稱為膜的遠端層或遠端)。膜可包括不同材料的交替層。舉例而言,膜可包括氧化物及氮化物層的交替層(氧化物-氮化物-氧化物-氮化物堆疊或ONON堆疊)、交替的氧化物及多晶矽層(氧化物-多晶矽-氧化物-多晶矽堆疊或OPOP堆疊)等等。
舉例而言,可對膜執行在基板表面上形成圖案的蝕刻製程、使膜的表面光滑的化學機械拋光(chemical-mechanical polishing; CMP)製程或製造基板成品所需的任何其他製程。蝕刻製程可包括使樣品表面曝露於高能製程氣體(例如電漿),以破壞表面處的材料,隨後可藉由真空系統移除材料。
製程腔室可根據製程配方執行每一基板製造製程(例如沉積製程、蝕刻製程、拋光製程等)。製程配方界定在製程期間對基板執行的一組特定操作,且可包括與每一操作相關的一或多個設定。舉例而言,沉積製程配方可包括製程腔室的溫度設定、製程腔室的壓力設定、沉積於基板表面上的膜含有的材料的前驅物的流速設定等。因此,每一膜層的厚度可與此等製程腔室設定相關。
在基板製造製程期間,製程腔室可經歷惡化的條件,例如汙染物積聚、某些部件的腐蝕等。此等惡化的條件可導致基板出現缺陷(例如實際的層厚度偏離預期層厚度、層厚度不均勻、不完整蝕刻等)。舉例而言,不均勻的層厚度可使氣體分配板距基板表面過近或過遠,從而影響後續蝕刻製程期間的電漿流動及/或溫度。這可導致劣質的產品,製造良率降低以及大量的停機時間及修理時間。在另一實例中,在生產運行(例如處理一批基板)的開始期間,可處理第一基板並用其校準製程腔室。特定而言,一旦處理第一基板,可自基板提取計量資料,並且基於計量資料,技術員可手動調整批次的剩餘部分的設定。然而,這是耗時且易出錯的製程,可導致延遲達到最佳的製程腔室設定(例如製程氣體的壓力及流速、溫度等),由此導致製造延遲及/或膜中發生變形。
本揭示案的態樣及實施方式藉由基於位於製程腔室內的計量設備產生的資料產生校正曲線來解決現有技術的此等及其他缺點。特定而言,計量設備可在製程腔室(稱為「原位計量設備」)內或耦接至製程腔室。原位計量設備可經配置以在基板保留於製程腔室中時在製造製程(例如沉積製程、蝕刻製程等)之前、期間及/或之後產生基板的計量資料。在一些實施例中,原位計量設備可包含光學設備(例如IR照相機),用以量測基板上的膜或蝕刻物的尺寸(例如厚度、高度等)。舉例而言,原位計量設備可用光學設備獲取沿整個基板的約3000個位置的尺寸資料。在另一實例中,原位計量設備可用光學設備獲取僅沿基板邊緣的尺寸資料,這可用於改進沿所沉積膜的邊緣的蝕刻製程。原位計量設備可用計量資料產生厚度曲線,該厚度曲線指示基板表面上的一或多個厚度值。厚度曲線可指示基板上的膜的厚度、基板上的每一蝕刻物的深度等。
原位計量設備在製造製程期間的不同時間可產生厚度曲線。舉例而言,可對基板執行製程配方的第一步驟,且原位計量設備隨後可產生基板的第一厚度曲線。隨後,可對基板執行製程配方的第二步驟,且原位計量設備隨後可產生基板的第二厚度曲線,以此類推。
製造系統可用厚度曲線產生校正曲線。校正曲線可包含對製程配方或製程腔室的參數施加的一或多個調整或偏置(例如校正動作)。舉例而言,校正曲線可包括對製程腔室的溫度設定、製程腔室的壓力設定、沉積於基板表面上的膜所包括的材料的前驅物的流速設定、提供至製程腔室的功率、兩個或更多個設定的比率等的調整。製造系統可藉由決定預期曲線(例如製程配方產生的預期厚度曲線)與所產生的厚度曲線之間的差異產生校正曲線。舉例而言,製造系統可藉由對差異應用演算法及/或在已知故障庫中查找差異(其中每一差異與校正曲線相關)產生校正曲線。可對沉積製程、蝕刻製程等的一或多個步驟應用校正曲線。在一些實施例中,在完成當前製程步驟之後,可對製程配方的後續步驟應用每一校正曲線。這使製造設備可補償當前基板的製造期間已出現的偏差。在其他實施例中,對於後續的基板,可對與用於產生該校正曲線的計量資料相關的製程步驟應用每一校正曲線。這使製造系統可產生後續基板的製造的調整。
在一些實施例中,製造系統可基於校正曲線對第二製程腔室執行腔室匹配操作。腔室匹配可用於使因硬體變化導致的基板之間的製程變化最小化。舉例而言,硬體變化可包括不同的夾定溫度,不同的蓋子溫度,每一製程腔室的電力輸送的差異,氣體流量及/或壓力的差異等。腔室匹配操作可包括調整第二製程腔室的一或多個參數或調整用於在第二製程腔室中產生基板的配方的一或多個參數。
在一些實施例中,預測系統可訓練機器學習模型並將其應用於與厚度曲線相關的當前感測器值,以產生輸出,例如指示對製程配方的一或多個參數的校正的一或多個值。在一些實施例中,輸出是指示基板上膜的預期厚度曲線與基板上膜的實際厚度曲線之間的差異的值。在一些實施例中,系統隨後可將差異(預期厚度曲線與實際厚度曲線之間的差異)與已知故障(例如偏差)模式庫相比較,以決定校正曲線。在一些實施例中,系統可基於校正模式調整執行校正動作,以調整沉積製程配方的一或多個參數(例如製程腔室的溫度設定、製程腔室的壓力設定、沉積於基板表面上的膜中所包括的的材料的前驅物的流速設定等),蝕刻製程的一或多個參數或任何其他製造製程的一或多個參數。
本揭示案的態樣得到以下技術優點:執行製程配方的參數的最佳化所需的時間顯著減少。所揭示的配置使系統可對製程腔室加以週期性表徵,例如在製程配方的每一步驟期間。本揭示案的態樣具有進一步的技術優點:偵測製造製程期間基板出現問題或故障所需的時間顯著減少,能量消耗改進,諸如此類。本揭示案亦可產生診斷資料並執行校正動作,從而避免不一致及異常的產品以及未排程的使用者時間或停機時間。
第1圖描繪根據本揭示案之態樣之說明性電腦系統架構100。在一些實施例中,電腦系統架構100可為用於處理基板的製造系統的一部分,例如第3圖的製造系統300。電腦系統架構100包括客戶端裝置120、製造設備124、計量設備128、預測伺服器112(例如產生預測資料、提供模型適應、使用知識庫等)及資料儲存器140。預測伺服器112可為預測系統110的一部分。預測系統110可進一步包括伺服器機器170及180。製造設備124可包括感測器126,感測器126經配置以擷取製造系統中處理的基板的資料。在一些實施例中,製造設備124及感測器126可為感測器系統的一部分,該感測器系統包括感測器伺服器(例如製造設施的現場服務伺服器(field service server; FSS))及感測器識別符讀取器(例如感測器系統的前開式晶圓傳送盒(front opening unified pod; FOUP)射頻識別(radio frequency identification; RFID)讀取器)。在一些實施例中,計量設備128可為計量系統的一部分,該計量系統包括計量伺服器(例如計量資料庫、計量檔案夾等)及計量識別符讀取器(例如計量系統的FOUP RFID讀取器)。
製造設備124可在一段時間內遵循配方或執行作業以生產產品,如電子元件。製造設備124可包括製程腔室,例如參考第4圖所描述的製程腔室400。製造設備124可在製程腔室處對基板(例如晶圓等)執行製程。基板製程的實例包括在基板表面上沉積一或多層膜的沉積製程、在基板表面上形成圖案的蝕刻製程等。製造設備124可根據製程配方執行每一製程。製程配方界定在製程期間對基板執行的一組特定操作,且可包括與每一操作相關的一或多個設定。舉例而言,沉積製程配方可包括製程腔室的溫度設定、製程腔室的壓力設定、沉積於基板表面上的膜所包括的材料的前驅物的流速設定等。
在一些實施例中,製造設備124包含感測器126,感測器126經配置以產生與製造系統100處理的基板相關的資料。舉例而言,製程腔室可包括一或多個感測器,其經配置以在對基板執行製程(例如沉積製程)之前、期間及/或之後產生與基板相關的光譜或非光譜資料。在一些實施例中,感測器126產生的光譜資料可表示沉積於基板表面上的一或多個材料的濃度。經配置以產生與基板相關的光譜資料的感測器126可包括反射量測感測器、橢圓偏振感測器、熱光譜感測器、電容感測器等。經配置以產生與基板相關的非光譜資料的感測器126可包括溫度感測器、壓力感測器、流速感測器、壓力感測器等。參考第3圖及第4圖提供關於製造設備124的更多細節。
在一些實施例中,感測器126提供與製造設備124相關(例如與用製造設備124生產諸如晶圓的對應產品相關)的感測器資料(例如感測器值、特徵、追蹤資料)。製造設備124可遵循配方或藉由在一段時間內執行作業以生產產品。在一段時間(例如相當於配方或作業的至少一部分)內接收的感測器資料可稱為隨時間推移自不同感測器126接收的追蹤資料(例如歷史追蹤資料、當前追蹤資料)。感測器資料可包括溫度(例如加熱器溫度)、間距(spacing; SP)、壓力、高頻射頻(high frequency radio frequency; HFRF)、靜電卡盤(electrostatic chuck; ESC)電壓、電流、物質流動、功率、電壓等。感測器資料可與製造參數相關或指示製造參數,如硬體參數,例如製造設備124的設定或部件(例如大小、類型等)或製造設備124的製程參數。當製造設備124執行製造製程時,可提供感測器資料(例如處理產品時的設備讀數)。對於每一基板,感測器資料可為不同的。
計量設備127、128可提供與製造設備124處理的基板相關的計量資料。計量資料可包括膜性質資料(例如晶圓空間膜性質)、尺寸(例如厚度、高度等)、介電常數、摻雜劑濃度、密度、缺陷等的值。在一些實施例中,計量資料可進一步包括一或多個表面曲線性質資料(例如蝕刻速率、蝕刻速率均勻性、基板表面上包括的一或多個特徵的關鍵尺寸、基板表面上的關鍵尺寸均勻性、邊緣佈置誤差等)。計量資料可為成品或半成品的。對於每一基板,計量資料可為不同的。可使用(例如)反射量測技術、橢圓偏振技術、TEM技術等產生計量資料。
計量設備127可為製造設備124的一部分。舉例而言,計量設備127可在製程腔室內或耦接至製程腔室,可經配置以在基板保留於製程腔室中時在製程(例如沉積製程、蝕刻製程等)之前、期間及/或之後產生基板的計量資料。在一些情況下,計量設備127可稱為原位計量設備。在另一實例中,計量設備127可耦接至製造設備124的另一站。舉例而言,計量設備可耦接至轉移腔室(例如第3圖的移送腔室310)、裝載閘(例如裝載閘320)或工廠介面(例如工廠介面306)。
客戶端裝置120可包括計算裝置,例如個人電腦(personal computer; PC)、膝上型電腦、行動電話、智慧型手機、平板電腦、隨身型電腦、網路連接電視機(「智慧型TV」)、網路連接媒體播放機(例如藍芽播放機)、機上盒、雲上(over-the-top; OTT)串流裝置、操作盒等。在一些實施例中,可自客戶端裝置120接收計量資料。客戶端裝置120可顯示圖形化使用者介面(graphical user interface; GUI),其中GUI使使用者提供製造系統處理的基板的計量量測值作為輸入。客戶端裝置120可包括校正動作部件122。校正動作部件122可接收與製造設備124相關的指示的使用者輸入(例如經由透過客戶端裝置120顯示的圖形化使用者介面(GUI))。在一些實施例中,校正動作部件122將指示傳輸至預測系統110,自預測系統110接收輸出(例如預測資料),基於輸出決定校正動作,並使校正動作得到實施。在一些實施例中,校正動作部件122自預測系統110接收校正動作的指示,並使校正動作得到實施。每一客戶端裝置120可包括作業系統,其允許使用者進行產生、觀察或編輯資料(例如與製造設備124相關的指示、與製造設備124相關的校正動作等)中之一或多者。
資料儲存器140可為記憶體(例如隨機存取記憶體)、驅動器(例如硬驅動機、快閃驅動器)、資料庫系統或能夠儲存資料的另一類型的部件或裝置。資料儲存器140可包括多個儲存部件(例如多個驅動器或多個資料庫),其可涵蓋多個計算裝置(例如多個伺服器電腦)。資料儲存器140可儲存與在製造設備124處理基板相關的資料。舉例而言,資料儲存器140可儲存基板製程之前、期間或之後感測器126在製造設備124處收集的資料(稱為製程資料)。製程資料可指歷史製程資料(例如對於製造系統處理的前一基板所產生的製程資料)及/或當前製程資料(例如對於製造系統處理的當前基板所產生的製程資料)。資料儲存器亦可儲存與在製造設備124處理的基板的一部分相關的光譜資料或非光譜資料。光譜資料可包括歷史光譜資料及/或當前光譜資料。
資料儲存器140亦可儲存與製造系統所處理的一或多個基板相關的上下文資料。上下文資料可包括配方名稱、配方步驟數目、預防維護指示器、操作員等。上下文資料可指歷史上下文資料(例如與對前一基板執行的前一製程相關的上下文資料)及/或當前製程資料(例如與當前製程或對前一基板執行的未來製程相關的上下文資料)。上下文資料可進一步包含與製程腔室的特定子系統相關的識別感測器。
資料儲存器140亦可儲存任務資料。任務資料可包括沉積製程期間對基板執行的一或多組操作,且可包含與每一操作相關的一或多個設定。舉例而言,沉積製程的任務資料可包括製程腔室的溫度設定、製程腔室的壓力設定、沉積於基板表面上的膜的材料的前驅物的流速設定等。在另一實例中,任務資料可包括流量值的界定壓力點處的控制壓力。任務資料可指歷史任務資料(例如與對前一基板執行的前一製程相關的任務資料)及/或當前任務資料(例如與當前製程或對基板執行的未來製程相關的任務資料)。
在一些實施例中,資料儲存器140可儲存預期曲線、厚度曲線及校正曲線。預期曲線可包含與預期將由某一製程配方產出的所要膜曲線相關的一或多個資料點。在一些實施例中,預期曲線可包括膜的所要厚度。厚度曲線包含與製造設備124產生的當前膜曲線相關的一或多個資料點。可用計量設備127、128量測厚度曲線。校正曲線可包括對製程腔室或製程配方的參數施加的一或多個調整或偏置。舉例而言,校正曲線可包括對製程腔室的溫度設定、製程腔室的壓力設定、沉積於基板表面上的膜所包括的材料的前驅物的流速設定、提供至製程腔室的功率、兩個或更多個設定的比率等的調整。可藉由以下方式來產生校正曲線:比較預期曲線(例如預期由製程配方產生的厚度曲線)並用已知故障模式庫及/或演算法決定為達到預期曲線而對製程配方的參數所施加的調整。可對與沉積製程、蝕刻製程等相關的步驟應用校正曲線。
在一些實施例中,資料儲存器140可經配置以儲存製造系統的使用者不可存取的資料。舉例而言,製造系統的使用者(例如操作員)不可存取對於製造系統處理的基板所獲取的製程資料、光譜資料、上下文資料等。在一些實施例中,製造系統的使用者不可存取資料儲存器140儲存的所有資料。在其他或類似的實施例中,使用者不可存取資料儲存器140儲存的資料的一部分,而使用者可存取資料儲存器140儲存的資料的另一部分。在一些實施例中,可使用使用者未知的加密機制對資料儲存器140儲存的資料的一或多個部分(例如使用私用加密鍵加密資料)加以加密。在其他或類似的實施例中,資料儲存器140可包含多個資料儲存器,其中使用者不可存取的資料儲存於一或多個第一資料儲存器中,而使用者可存取的資料儲存於一或多個第二資料儲存器中。
在一些實施例中,資料儲存器140可經配置以儲存與已知故障模式相關的資料。故障模式可為與製程腔室子系統相關的一或多個問題或故障的相關的一或多個值(例如向量、純量等)。在一些實施例中,故障模式可與校正動作相關。舉例而言,故障模式可包含參數調整步驟,其校正由故障模式所指示的問題或故障。舉例而言,預測系統可將決定的故障模式與已知故障模式庫比較,以決定子系統發生的故障的類型,故障的起因,用以校正故障的建議校正動作,諸如此類。
在一些實施例中,預測系統110包含預測伺服器112、伺服器機器170及伺服器機器180。預測伺服器112、伺服器機器170及伺服器機器180各自可包括一或多個計算裝置,例如機架式伺服器、路由器電腦、伺服器電腦、個人電腦、主機電腦、膝上型電腦、平板電腦、桌上型電腦、圖形處理單元(Graphics Processing Unit; GPU)、加速器特殊應用積體電路(Application-Specific Integrated Circuit; ASIC)(例如張量處理單元(Tensor Processing Unit; TPU))等。
伺服器機器170包括訓練集產生器172,其能夠產生訓練資料集(例如一組資料輸入及一組目標輸出),以訓練、驗證及/或測試機器學習模型190。機器學習模型190可為能夠自資料學習的任何演算法模型。下文參考第2圖詳細描述資料集產生器172的一些操作。在一些實施例中,資料集產生器172可將訓練資料分為訓練集、驗證集及測試集。在一些實施例中,預測系統110產生多組訓練資料。
伺服器機器180可包括訓練引擎182、驗證引擎184、選擇引擎185及/或測試引擎186。引擎可指硬體(例如電路系統、專用邏輯、可程式邏輯、微碼、處理裝置等)、軟體(例如在處理裝置上運行的指令、通用電腦系統或專用機器)、韌體、微碼或其組合。訓練引擎182能夠訓練一或多個機器學習模型190。機器學習模型190可指訓練引擎182使用包括訓練輸入及對應目標輸出(對於各別的訓練輸入的正確回答)的訓練資料(本文亦稱為訓練集)建立的模型製品。訓練引擎182可在訓練資料中尋找將訓練輸入映射至目標輸出(待預測的回答)的模式,並且提供擷取此等模式的機器學習模型190。機器學習模型190可使用統計模型化、支援向量機(support vector machine; SVM)、徑向基底函數(Radial Basis Function; RBF)、叢集、監督式機器學習、半監督式機器學習、非監督式機器學習、k最近鄰演算法(k-NN)、線性迴歸、隨機森林、神經網路(例如人工神經網路)等中之一或多者。
可用於執行上文的一些或所有任務的一類機器學習模型是人工神經網路,例如深度神經網路。人工神經網路大體而言包括特徵表示部件,其具有將特徵映射為所要輸出空間的分類器或迴歸層。舉例而言,卷積神經網路(convolutional neural network; CNN)具有多層卷積過濾器。在較低層執行池化,並可解決非線性,在較低層上通常附加多層感知器,將由卷積層提取的頂層特徵映射為決策(例如分類輸出)。深度學習是一類機器學習演算法,其使用非線性處理單元的多個層的級聯以進行特徵提取及變換。每一逐次層使用來自前一層的輸出作為輸入。深度神經網路可按監督式(例如分類)及/或無監督(例如型樣分析)的方式學習。深度神經網路包括層的階層,其中不同的層學習對應於不同等級的抽象化的不同等級的表示。在深度學習中,每一等級學習將其輸入資料變換為稍抽象及合成的表示。舉例而言,在電漿製程調諧中,原始輸入可為製程結果曲線(例如指示基板表面上的一或多個厚度值的厚度曲線);第二層可編製與電漿製程系統之受控元件的一或多個區之狀態相關的特徵資料(例如區的定向,電漿曝露持續時間等);第三層可包括開始配方(例如作為決定更新後製程配方處理基板以產生符合臨界標準的製程結果的開始點的配方)。應指出,深度學習過程可自行學習將哪些特徵最佳地置於哪一等級。「深度學習」中的「深度」係指資料經由其傳輸的層的數量。更精確而言,深度學習系統具有較大的信用分配路徑(credit assignment path; CAP)深度。CAP是自輸入至輸出的變換鍊。CAP描述輸入與輸出之間潛在的因果關聯。對於前饋神經網路,CAP的深度可為網路的深度,且可為隱藏層的數量加一。對於遞歸神經網路,其中訊號可透過層傳播多於一次,CAP深度可能不受限制。
在一實施例中,一或多個機器學習模型是遞歸神經網路(recurrent neural network; RNN)。RNN是一類神經網路,其包括使神經網路獲取時序相依性的記憶體。RNN能夠學習輸入-輸出映射,輸入-輸出映射取決於當前輸入及過去輸入。RNN將處理過去及未來的流速量測結果,並基於此連續的計量資訊作出預測。可用訓練資料集訓練RNN來產生固定數量的輸出(例如決定一組基板處理速率,決定對基板製程配方的修改)。可使用的一類RNN是長短期記憶(long short term memory; LSTM)神經網路。
可按監督式學習方式實現神經網路的訓練,其涉及經由網路饋送由有標輸入組成的訓練資料集,觀察其輸出,定義誤差(藉由量測輸出與標號值之間的差)以及使用諸如深梯度下降及後向傳播的技術來調諧網路的所有層及節點的權重,使得將誤差降至最小。在許多應用中,對訓練資料集的許多有標輸入重複此過程產生一網路,當提供與訓練資料集中存在的輸入不同的輸入時,該網路可產生正確的輸出。
可用含有數百、數千、數萬、數十萬或更多感測器資料及/或製程結果資料(如計量資料,例如與感測器資料相關的一或多個厚度曲線)的訓練資料集形成訓練資料集。
為實現訓練,處理邏輯可將訓練資料集輸入至一或多個未訓練的機器學習模型中。在將第一輸入輸入至機器學習模型中之前,可初始化機器學習模型。處理邏輯基於訓練資料集訓練未訓練的機器學習模型,以產生執行上文所述的各個操作的一或多個訓練後機器學習模型。可藉由將感測器資料中之一或多者一次一個地輸入至機器學習模型而進行訓練。
機器學習模型處理輸入以產生輸出。人工神經網路包括一輸入層,該輸入層由一資料點的值組成。下一層稱為隱藏層,且隱藏層處的節點各自接收輸入值中之一或多者。每一節點含有應用於輸入值的參數(權重)。因此,每一節點基本上將輸入值輸入至多變數函數(例如非線性數學轉換)以產生輸出值。下一層可為另一隱藏層或輸出層。在任一情況下,下一層處的節點自前一層處的節點接收輸出值,且每一節點將權重應用於彼等值,並隨後產生其自身的輸出值。這可在每一層進行。最後一層是輸出層,此處機器學習模型可產生的每一類、預測及/或輸出都有一節點。
因此,輸出可包括一或多個預測或推理。舉例而言,輸出預測或推理可包括對於腔室部件上的膜積聚、腔室部件的腐蝕,腔室部件的預測故障等的一或多個預測。處理邏輯基於機器學習模型的輸出(例如預測或推理)與輸入訓練資料的相關目標標號之間的差異決定誤差(亦即分類誤差)。處理邏輯基於誤差調整機器學習模型中的一或多個節點的權重。對於人工神經網路中的每一節點,可決定誤差項或差量。基於此誤差,人工神經網路對於其節點中之一或多者調整其參數中之一或多者(節點的一或多個輸入的權重)。以反向傳播方式更新參數,使得首先更新最高層處的節點,然後更新下一層處的節點,以此類推。人工神經網路含有多層「神經元」,其中每一層接收前一層的神經元作為輸入值。對於每一神經元,參數包括與自前一層的神經元中之每一者接收的值相關的權重。因此,調整參數可包括對於人工神經網路中的一或多層處的一或多個神經元調整分配至每一輸入的權重。
在一或多輪訓練之後,處理邏輯可決定是否滿足停止準則。停止準則可為準確度的目標位準、來自訓練資料集的處理後影像的目標數量、對一或多個先前資料點的參數的改變的目標量、其組合及/或其他準則。在一個實施例中,當已至少處理最少數量的資料點且至少達到臨界準確度,則滿足停止準則。舉例而言,臨界準確度可為70%、80%或90%的準確度。在一個實施例中,如果機器學習模型的準確度已停止提高,則滿足停止準則。如果未滿足停止準則,則進一步進行訓練。如果滿足停止準則,則訓練完成。一旦訓練了機器學習模型,則可用訓練資料集的保留部分測試模型。
一旦產生一或多個訓練後機器學習模型190,則可將其作為預測部件114或預測部件114的部件儲存於預測伺服器112中。
驗證引擎184能夠用來自訓練集產生器172的驗證集的一組對應特徵驗證機器學習模型190。模型參數一經最佳化,即可進行模型驗證,以決定模型是否已改進,並決定深度神經網路的當前準確度。驗證引擎184可基於驗證集的特徵的對應集合決定機器學習模型190的準確度。驗證引擎184可廢除準確度未滿足臨界準確度的訓練後機器學習模型190。在一些實施例中,選擇引擎185能夠選擇準確度滿足臨界準確度的訓練後機器學習模型190。在一些實施例中,選擇引擎185能夠選擇具有訓練後機器學習模型190的最高準確度的訓練後機器學習模型190。
測試引擎186能夠用來自資料集產生器172的測試集的一組對應特徵測試訓練後機器學習模型190。舉例而言,可使用測試集的第一組特徵對使用訓練集的第一組特徵訓練的第一訓練後機器學習模型190加以測試。測試引擎186可基於測試集決定具有所有訓練後機器學習模型的最高準確度的訓練後機器學習模型190。
如下文詳細地描述,預測伺服器112包括預測部件114,其能夠提供指示製程腔室的每一子系統的預期行為的資料,並對當前感測器資料輸入運行訓練後機器學習模型190,以獲取一或多個輸出。預測伺服器112可進一步提供指示製程腔室子系統的健康情況及診斷的資料。下文將進一步詳細地對此加以解釋。
可經由網路130將客戶端裝置120、製造設備124、感測器126、計量設備128、預測伺服器112、資料儲存器140、伺服器機器170及伺服器機器180相互耦接。在一些實施例中,網路130是公共網路,其向客戶端裝置120提供對預測伺服器112、資料儲存器140及其他可用計算裝置的存取。在一些實施例中,網路130是專用網路,其向客戶端裝置120提供對製造設備124、計量設備128、資料儲存器140及其他專用計算裝置的存取。網路130可包括一或多個廣域網路(wide area network; WAN)、區域網路(local area network; LAN)、有線網路(例如乙太網路)、無線網路(例如802.11網路或Wi-Fi網路)、蜂巢式網路(例如長期演進(Long Term Evolution; LTE)網路)、路由器、集線器、交換機、伺服器電腦、雲端計算網路及/或其組合。
應指出在一些其他實施方式中,較少數量的機器可提供伺服器機器170及180及預測伺服器112的功能。舉例而言,在一些實施例中,可將伺服器機器170及180整合為單一機器,而在一些其他或類似的實施例中,可將伺服器機器170及180及預測伺服器112整合為單一機器。
大體而言,在一個實施方式中描述的由伺服器機器170、伺服器機器180及/或預測伺服器112執行的功能亦可對客戶端裝置120執行。另外,一起運行的不同或多個部件可執行屬於特定部件的功能性。
在實施例中,可將「使用者」表示為單一個體。然而,本揭示案的其他實施例涵蓋作為由複數個使用者及/或自動源控制的實體的「使用者」。舉例而言,將結合成一群管理者的一組個別的使用者視為「使用者」。
第2圖是根據本揭示案的態樣的訓練機器學習模型的方法200的流程圖。可用包括硬體(例如電路系統、專用邏輯等)、軟體(例如在通用電腦系統或專用機器上運行的)、韌體或其某一組合的處理邏輯執行方法200。在一個實施方式中,可用電腦系統(例如第1圖的電腦系統架構100)執行方法200。在其他或類似的實施方式中,可用圖中未描繪的一或多個其他機器執行方法200的一或多個操作。在一些態樣中,可用伺服器機器170、伺服器機器180及/或預測伺服器112執行方法200的一或多個操作。
出於解釋的簡潔性,將方法描繪且描述為一系列行為。然而,根據本揭示案的行為可按各種次序及/或同時發生,並可與本文未提出並描述的其他行為一起發生。另外,不執行所說明的所有行為來實施根據所揭示標的的方法。另外,熟習此項技術者將理解並意識到,可替代地用狀態圖或事件將方法表示為一系列互相關聯的狀態。另外,應瞭解本說明書揭示的方法能夠在製造品上儲存,便於將此等方法傳輸且轉移至計算裝置。本文所使用的製造品的術語將包含任何電腦可讀裝置或儲存媒體可存取的電腦程式。
在方塊210,處理邏輯將訓練集T初始化為空集(例如{})。
在方塊212,處理邏輯獲取與經執行以在前一基板的表面上沉積一或多層膜的前一沉積製程相關的感測器資料(例如感測器值、特徵、追蹤資料)。感測器資料可進一步與製程腔室的子系統相關。子系統的特徵可在於與製程腔室的操作參數相關的一組感測器。操作參數可為溫度、流速、壓力等。舉例而言,壓力子系統的特徵可在於量測氣體流量、腔室壓力、控制閥角度、預抽管道(泵之間的真空管線)壓力、泵速等的一或多個感測器。每一製程腔室可包含多個不同的子系統,例如壓力子系統、流量子系統、溫度子系統等。
在一些實施例中,與沉積製程相關的感測器資料是對於先前對製造系統的前一基板執行的前一沉積製程的一或多個先前沉積設定相關的歷史資料。舉例而言,歷史資料可為與儲存於資料儲存器140的先前沉積製程相關的歷史上下文資料。在一些實施例中,一或多個先前沉積設定可包括先前沉積製程的前一溫度設定、先前沉積設定的前一壓力設定、沉積於先前基板的表面上的先前膜的一或多種材料的前驅物的前一流速設定或與沉積製程相關的任何其他設定中之至少一者。流速設定可指先前沉積製程的初始情況的前驅物的流速設定(稱為初始流速設定)、先前沉積製程的最終情況的前驅物的流速設定(稱為最終流速設定)或沉積製程期間前驅物的流速的升降載速率。在一個實例中,先前膜的前驅物可包括含硼前驅物或含矽前驅物。在一些實施例中,感測器資料亦可與對先前基板執行的前一蝕刻製程或在製程腔室中進行的任何其他製程相關。
在方塊214,處理邏輯獲取與沉積於先前基板的表面上的膜相關的計量資料。計量資料可包含膜性質資料(例如晶圓空間膜性質)、尺寸(例如厚度、高度等)、介電常數、摻雜劑濃度、密度、缺陷等的值。計量資料可與諸如硬體參數的製造參數相關,或指示製造參數,例如製造設備的設定或部件(例如大小、類型等)或者製造設備的製程參數。在實例中,計量資料可包括與沉積於先前基板的表面上的膜相關的厚度資料(例如厚度曲線)。厚度資料可與製程配方的某一相關步驟相關。根據前文描述的實施例,處理邏輯可自資料儲存器140獲取厚度資料。
在方塊216,處理邏輯基於與對先前基板執行的先前沉積製程相關的所獲取感測器資料產生第一訓練資料。在方塊218,處理邏輯基於與沉積於先前基板的表面上的膜的配方相關的計量資料產生第二訓練資料。
在方塊220,處理邏輯產生第一訓練資料與第二訓練資料之間的映射。映射指包括或基於對先前基板執行的先前沉積製程的第一訓練資料及包括或基於與沉積於先前基板的表面上的膜的配方相關的厚度資料的第二訓練資料,其中第一訓練資料與第二訓練資料相關(或映射至第二訓練資料)。在方塊224,處理邏輯將映射添加至訓練集T。
在方塊226,處理邏輯決定訓練集T是否包括充分的訓練資料量以訓練機器學習模型。應指出在一些實施方式中,可僅基於訓練集中映射的數量決定訓練集T的充分性,而在一些其他實施方式中,除輸入/輸出映射的數量以外或代替輸入/輸出映射的數量,可基於一或多個其他標準(例如訓練實例的多樣性的度量等)決定訓練集T的充分性。回應於決定訓練集不包括訓練機器學習模型的充分的訓練資料,方法200返回至方塊212。回應於決定訓練集T包括訓練機器學習模型的充分的訓練資料,方法200繼續至方塊228。
在方塊228,處理邏輯提供訓練集T以訓練機器學習模型。在一個實施方式中,將訓練集T提供至伺服器機器180的訓練引擎182以進行訓練。舉例而言,在神經網路的情況下,將給定輸入/輸出映射的輸入值輸入至神經網路,且將輸入/輸出映射的輸出值儲存於神經網路的輸出節點中。隨後根據學習演算法(例如後向傳播)調整神經網路中的連接權重,並且對於訓練集T中的其他輸入/輸出映射重複程序。
在一些實施例中,處理邏輯可執行離群值偵測方法,從而在訓練機器學習模型之前自訓練集T去除異常。離群值偵測方法可包括識別與訓練資料的大部分有顯著不同的值的技術。此等值可自誤差、雜訊等產生。
在方塊228之後,可用機器學習模型產生指示所沉積膜的故障模式(例如異常厚度)的一或多個值,產生指示待執行的校正動作的類型的預測資料,及/或執行校正動作以校正建議的問題或故障。可藉由將故障模式與已知故障模式庫比較產生預測資料。
在一些實施例中,製造系統可包含多於一個製程腔室。舉例而言,第3圖的例示性製造系統300圖示多個製程腔室314、316、318。應指出在一些實施例中,所獲取的訓練機器學習模型的資料以及所收集的作為輸入提供至機器學習模型的資料可與製造系統的同一製程腔室相關。在其他或類似的實施例中,所獲取的訓練機器學習模型的資料以及所收集的作為輸入提供至機器學習模型的資料可與製造系統的不同製程腔室相關。在其他或類似的實施例中,所獲取的訓練機器學習模型的資料可與第一製造系統的製程腔室相關,而所收集的作為輸入提供至機器學習模型的資料可與第二製造系統的製程腔室相關。
第3圖是根據本揭示案的態樣的例示性製造系統300的俯視示意圖。製造系統300可對基板302執行一或多個製程。基板302可為任何合適的剛性、固定尺寸、平坦的物品,例如適合製造電子元件或其上電路元件的含矽磁碟或晶圓、圖案化晶圓、玻璃板或類似者。
製造系統300可包括製程工具304及耦接至製程工具304的工廠介面306。製程工具304可包括外殼308,其中有移送腔室310。移送腔室310可包括安置於其周圍或相耦接的一或多個製程腔室(亦稱為處理腔室)314、316、318。經由各別的埠(例如狹縫閥或類似者)將製程腔室314、316、318耦接至移送腔室310。移送腔室310亦可包括移送腔室機器人312,其經配置以在製程腔室314、316、318、裝載閘320等之間傳送基板302。移送腔室機器人312可包括一或多個臂,其中每一臂包括在每一臂的末端處的一或多個端效器。端效器可經配置以搬運特定的物件,例如晶圓、感測器盤、感測器工具等。
製程腔室314、316、318可經調適以對基板302執行任何數量的製程。相同或不同的基板製程可在每一處理腔室314、316、318中進行。基板製程可包括原子層沉積(ALD)、物理氣相沉積(physical vapor deposition; PVD)、化學氣相沉積(CVD)、蝕刻、退火、硬化、預清洗、金屬或金屬氧化物移除或類似者。可對其中的基板執行其他製程。製程腔室314、316、318中之每一者可包括經配置以在基板製程之前、之後或期間擷取基板302的資料的一或多個感測器。舉例而言,一或多個感測器可經配置以在基板製程中對基板302的一部分擷取光譜資料及/或非光譜資料。在其他或類似的實施例中,一或多個感測器可經配置以在基板製程之前、之後或期間擷取與製程腔室314、316、318中環境相關的資料。舉例而言,一或多個感測器可經配置以在基板製程期間擷取與製程腔室314、316、318中環境的溫度、壓力、氣體濃度等相關的資料。在一些實施例中,製程腔室314、316、318可包含計量設備340。
計量設備340與計量設備127、128類似或相同。在一些實施例中,如圖所示,計量設備340可位於製程工具內。在其他實施例中,計量設備340可位於一或多個製程腔室314、316、318內。在一些實施例中,可用傳送機器人312將基板放置於計量設備340上。在其他實施例中,計量設備340可為基板支撐組件(未圖示)的一部分。
裝載閘320亦可耦接至外殼308及移送腔室310。裝載閘320可經配置以與轉移腔室310的一側及工廠介面306介接,且與其耦接。裝載閘320可具有環境控制的氣氛,其在一些實施例中可自真空環境(其中可將基板傳送至移送腔室310及自移送腔室310傳送)變為大氣壓或近大氣壓的惰性氣體環境(其中可將基板傳送至工廠介面306及自工廠介面306傳送)。工廠介面306可為任何合適的外殼,例如設備前端模組(Equipment Front End Module; EFEM)。工廠介面306可經配置以自靠在工廠介面306的各個裝載埠324的基板載體322(例如前開式晶圓傳送盒(Front Opening Unified Pod; FOUP))接收基板302。工廠介面機器人326(以虛線表示)可經配置以在載體(亦稱為容器)322與裝載閘320之間傳送基板302。載體322可為基板儲存載體或替換零件儲存載體。
亦可將製造系統300連接至客戶端裝置(未圖示),該客戶端裝置經配置以將關於製造系統300的資訊提供至使用者(例如操作員)。在一些實施例中,客戶端裝置可經由一或多個圖形化使用者介面(GUI)將資訊提供至製造系統300的使用者。舉例而言,客戶端裝置可經由GUI在製程腔室314、316、318進行的沉積製程期間提供關於沉積於基板表面302上的膜的目標厚度曲線的資訊。根據本文描述的實施例,客戶端裝置亦可將關於修改的資訊提供至所預測的各別組沉積設定以對應至目標曲線的製程配方。
製造系統300亦可包含系統控制器328。系統控制器328可為及/或包括計算裝置,例如個人電腦、伺服器電腦、可程式設計邏輯控制器(programmable logic controller; PLC)、微控制器等。系統控制器328可包括一或多個處理裝置,其可為通用處理裝置,例如微處理器、中央處理單元或類似者。更特定而言,處理裝置可為複雜指令集計算(complex instruction set computing ; CISC)微處理器、精簡指令集計算(reduced instruction set computing; RISC)微處理器、極長指令字(very long instruction word; VLIW)微處理器或執行其他指令集的處理器或實施指令集組合的處理器。處理裝置亦可為一或多個專用處理裝置,例如特殊應用積體電路(application specific integrated circuit; ASIC)、現場可程式閘陣列(field programmable gate array; FPGA)、數位訊號處理器(digital signal processor; DSP)、網路處理器或類似者。系統控制器328可包括資料儲存裝置(例如一或多個磁碟機及/或固態驅動器)、主記憶體、靜態記憶體、網路介面及/或其他部件。系統控制器328可執行指令,以執行本文描述的方法及/或實施例中之任一或多者。在一些實施例中,系統控制器328可執行指令以根據製程配方在製造系統300執行一或多個操作。可將指令儲存於電腦可讀儲存媒體中,其可包括主記憶體、靜態記憶體、輔助儲存器及/或處理裝置(在執行指令期間)。
系統控制器328可自製造系統300的各個部分(例如處理腔室314、316、318,轉移腔室310,裝載閘320等)上或其中的感測器接收資料。在一些實施例中,系統控制器328接收的資料可包括針對基板302的一部分的光譜資料及/或非光譜資料。在其他或類似的實施例中,如前文所描述,系統控制器328接收的資料可包括在處理腔室314、316、318處理基板302相關的資料。出於本描述的目的,將系統控制器328描述為自製程腔室314、316、318中的感測器接收資料。然而,系統控制器328可自製造系統300的任何部分接收資料,並且可根據本文描述的實施例使用自該部分接收的資料。在說明性實例中,系統控制器328可在製程腔室314、316、318處的基板製程之前、之後或期間自製程腔室314、316、318的一或多個感測器接收資料。可將自製造系統300的各個部分的感測器接收的資料儲存於資料儲存器350中。資料儲存器350可為系統控制器328中包括的部件,或可為與系統控制器328獨立的部件。在一些實施例中,資料儲存器350可為關於第1圖描述的資料儲存器140。
第4圖是根據本揭示案的實施例的製程腔室400的截面側視示意圖。在一些實施例中,製程腔室400可對應於關於第3圖描述的製程腔室314、316、318。製程腔室400可用於提供腐蝕性電漿環境的製程。舉例而言,製程腔室400可為電漿蝕刻器或電漿蝕刻反應器等的腔室。在另一實例中,如前文所描述,製程腔室可為用於沉積製程的腔室。在一個實施例中,製程腔室400包括腔室體402及封入內部容積406的噴頭430。噴頭430可包括噴頭基座及噴頭氣體分配板。替代而言,在一些實施例中可用蓋或噴嘴替換噴頭430,或在其他實施例中用多個餅狀噴頭隔室及電漿產生單元替換噴頭230。腔室體402可由鋁、不鏽鋼或其他合適的材料(例如鈦(Ti))製成。腔室體402大體而言包括側壁408及底部410。腔室體402中可界定排氣埠426,且可將內部容積406耦接至泵系統428。泵系統428可包含一或多個泵及節流閥,用於抽空且調節製程腔室400的內部容積406的壓力。
腔室體402的側壁408可支撐噴頭430。可打開噴頭420(或蓋子),允許進入製程腔室400的內部容積406,且可在關閉時提供對製程腔室400的密封。氣體板458可耦接至製程腔室400,以經由噴頭430或蓋及噴嘴(例如經由噴頭或蓋及噴嘴的孔)向內部容積406提供製程及/或清潔氣體。舉例而言,氣體板458可提供沉積於基板302的表面上的膜451的材料的前驅物。在一些實施例中,前驅物可包括矽基前驅物或硼基前驅物。噴頭430可包括氣體分配板(gas distribution plate; GDP),且可具有遍及GDP的多個氣體輸送孔432(亦稱為通道)。使基板支撐組件448佈置於噴頭430下方的製程腔室400的內部容積406中。基板支撐組件448在處理期間(例如在沉積製程中)用(例如)靜電夾盤450保持基板302。
在一些實施例中,處理腔室400可包含計量設備(未圖示),其經配置以在製程腔室400處執行的製程期間產生原位計量量測。計量設備可在操作中耦接至系統控制器(例如前文所描述的系統控制器328)。在一些實施例中,在特定沉積製程期間,計量設備可經配置以產生膜451的計量量測值(例如厚度)。系統控制器可根據來自計量設備的所接收的計量量測值產生膜451的厚度曲線。在其他或類似的實施例中,處理腔室400不包括計量設備。在此類實施例中,在製程腔室400完成沉積製程之後,系統控制器可接收膜451的一或多個計量量測值。系統控制器可基於一或多個計量量測值決定沉積速率,且可基於沉積製程的所決定的濃度梯度及所決定的沉積速率關聯產生膜451的厚度曲線。
第5圖是根據本揭示案的態樣的決定製程配方的校正曲線的方法500的流程圖。可用包括硬體(例如電路系統、專用邏輯等)、軟體(例如在通用電腦系統或專用機器上運行的)、韌體或其某一組合的處理邏輯執行方法500。在一個實施方式中,可藉由電腦系統(例如第1圖的電腦系統架構100)執行方法500。在其他或類似的實施方式中,可藉由圖中未描繪的一或多個其他機器執行方法500的一或多個操作。在一些態樣中,可藉由製造設備124及/或客戶端裝置122執行方法500的一或多個操作。
在操作510中,處理邏輯根據製程配方對基板執行沉積製程。沉積製程可在一或多個製程腔室中進行。製程配方可包括沉積製程的一或多個設定參數。舉例而言,設定參數可包括製程腔室的溫度設定、製程腔室的壓力設定、沉積於基板表面上的膜所包括的材料的前驅物的流速設定、噴頭高度等。沉積製程可在基板上沉積多個層。舉例而言,沉積製程可沉積氧化物及氮化物層的交替層,交替的氧化物及多晶矽層等。
在操作512中,處理邏輯產生與所沉積的膜相關的計量資料。計量資料可包括膜性質資料(例如晶圓空間膜性質)、尺寸(例如厚度、高度等)、介電常數、摻雜劑濃度、密度、缺陷等。在一些實施例中,計量資料可包括指示一或多層膜的厚度的厚度曲線。厚度曲線可包括多個資料值,該等資料值指示基板上不同位置處的沉積膜的厚度。在說明性實例中,厚度曲線可包含基板表面上的約3000個資料值。在另一實施例中,厚度曲線可包括僅沿基板邊緣的資料值,可用該厚度曲線產生用於改進沿沉積膜的邊緣的蝕刻製程的校正曲線。在一些實施例中,可用位於執行沉積製程的製程腔室內或移送腔室內的原位計量設備(例如計量設備127或計量設備340)產生計量資料。
在操作514中,處理邏輯基於計量資料產生校正曲線。校正曲線可包含對製程配方或製程腔室的參數施加的一或多個校正動作。舉例而言,校正曲線可包括對製程腔室的溫度設定、製程腔室的壓力設定、沉積於基板表面上的膜所包括的材料的前驅物的流速設定、提供至製程腔室的功率、兩個或更多個設定的比率等的調整。在一些實施例中,處理邏輯可藉由決定預期曲線(例如預期由製程配方產生的厚度曲線)與計量設備所產生的厚度曲線之間的差量(差異)產生校正曲線。處理邏輯隨後可藉由對差量應用演算法及/或在已知故障庫中查找差量產生校正曲線。舉例而言,庫可包含與具體校正曲線相關的差量的列表。
在一些實施例中,可用機器學習模型(例如模型190)產生校正曲線。舉例而言,可將厚度曲線輸入至訓練後機器學習模型中。訓練後機器學習模型可輸出一值,該值指示基板上膜的預期厚度曲線與基板上膜的實際厚度曲線之間的差異。處理邏輯隨後可將輸出與已知故障模式庫比較,以決定校正曲線。在另一實例中,機器學習模式可輸出指示校正曲線的一或多個值。
在操作516中,處理邏輯藉由對製程配方應用校正曲線而產生更新後的製程配方。舉例而言,可對當前沉積製程,對沉積膜執行的蝕刻製程或配方的任何其他製程(例如拋光製程、清潔製程等)中之一或多個步驟應用校正曲線。
在操作518中,處理邏輯根據更新後的製程配方對基板執行製程配方的後續步驟。在一些實施例中,後續步驟包括另一沉積步驟、蝕刻製程、拋光製程、清潔製程等。在一些實施例中,可用更新製程配方在基板上執行另外的沉積步驟。舉例而言,處理邏輯可在基板上沉積第一組膜層,決定沉積膜的厚度曲線,產生校正曲線以校正第一組膜層的沉積期間偵測到的任何故障,對製程配方應用校正曲線,並在基板上沉積第二組膜層。因此,可對沉積製程配方加以即時或近即時調整。
在一些實施例中,可用更新製程配方對所沉積的膜層執行蝕刻製程。舉例而言,處理邏輯可決定沉積膜的厚度曲線,並基於基板上膜的預期厚度與實際厚度的差異產生校正曲線。處理邏輯隨後可對製程配方應用校正曲線,以調整配方的蝕刻製程。應理解每一製程不一定與沉積製程的相同配方相關,且可與另一配方相關。在說明性實施例中,校正曲線可對蝕刻製程執行校正,以改進對基板邊緣的蝕刻,改進或消除蝕刻製程期間的製程漂移(例如夾定溫度漂移、蓋子溫度變化、塗層劣化等)等。
第6圖是根據本揭示案的態樣的調整製程配方的方法600的流程圖。可用包括硬體(例如電路系統、專用邏輯等)、軟體(例如在通用電腦系統或專用機器上運行的)、韌體或其某一組合的處理邏輯執行方法600。在一實施方式中,可藉由電腦系統(例如第1圖的電腦系統架構100)執行方法600。在其他或類似的實施方式中,可藉由圖中未描繪的一或多個其他機器執行方法600的一或多個操作。在一些態樣中,可藉由製造設備124及/或客戶端裝置122執行方法600的一或多個操作。
在操作610中,處理邏輯根據製程配方對基板執行沉積製程。沉積製程可在第一製程腔室中進行。製程配方可包括沉積製程的一或多個設定參數。
在操作612中,處理邏輯產生與所沉積的膜相關的計量資料。計量資料可包括厚度曲線,該厚度曲線指示一或多層膜的厚度。可用位於執行沉積製程的製程腔室內或移送腔室內的原位計量設備(例如計量設備127或計量設備340)產生計量資料。
在操作614中,處理邏輯基於計量資料產生校正曲線。校正曲線可包括對製程配方或製程腔室的參數施加的一或多個校正動作。舉例而言,校正曲線可包括對製程腔室的溫度設定、製程腔室的壓力設定、沉積於基板表面上的膜所包括的材料的前驅物的流速設定、提供至製程腔室的功率、兩個或更多個設定的比率等的調整。
在操作616中,處理邏輯基於校正曲線對第二製程腔室執行腔室匹配操作。腔室匹配可用於使因硬體變化導致的基板之間的製程變化最小化。舉例而言,硬體變化可包括不同的夾定溫度,不同的蓋子溫度,每一製程腔室的電力輸送的差異,氣體流量及/或壓力的差異等。腔室匹配操作可包括調整第二製程腔室的一或多個參數或調整用於在第二製程腔室中產生基板的配方的一或多個參數。
第7圖是根據本揭示案的態樣的用機器學習模型決定校正曲線的方法700的流程圖。可用包括硬體(例如電路系統、專用邏輯等)、軟體(例如在通用電腦系統或專用機器上運行的)、韌體或其某一組合的處理邏輯執行方法700。在一個實施方式中,可藉由電腦系統(例如第1圖的電腦系統架構100)執行方法700。在其他或類似的實施方式中,可藉由圖中未描繪的一或多個其他機器執行方法700的一或多個操作。在一些態樣中,可藉由伺服器機器170、伺服器機器180及/或預測伺服器112執行方法700的一或多個操作。
在方塊710,處理邏輯獲取與在製程腔室中執行的操作相關的計量資料。在一些實施例中,操作可包括在製程腔室中執行的在基板表面上沉積一或多層膜的沉積製程,對基板表面上的一或多層膜執行的蝕刻製程,等等。可根據配方執行該操作。計量資料可包含膜性質資料(例如晶圓空間膜性質)、尺寸(例如厚度、高度等)、介電常數、摻雜劑濃度、密度、缺陷等的值。計量資料可與諸如硬體參數的製造參數相關,或指示製造參數,例如製造設備的設定或部件(例如大小、類型等)或者製造設備的製程參數。
在方塊712,處理邏輯對所獲取的計量資料應用機器學習模型(例如模型190)。可用機器學習模型產生基板上膜的預期厚度曲線與基板上膜的實際厚度曲線之間的差量的一或多個值,或者指示校正曲線的一或多個值。舉例而言,機器學習模型可用演算法產生差量或用訓練集T產生校正曲線。
在方塊714,處理邏輯基於計量資料經由機器學習模型產生輸出。在一些實施例中,輸出可為指示模式(例如校正曲線、故障模式)的值。特定而言,輸出可包括應用至製程配方的校正動作的預測資料。在一些實施例中,輸出可為指示基板上膜的預期厚度曲線與基板上膜的實際厚度曲線之間的差量的至少一個值。在另一實施例中,輸出可為應用至製程配方的校正曲線。
在方塊716,處理邏輯可基於輸出執行(或建議)校正動作。在一些實施例中,可基於自故障庫獲取的資料決定校正動作。在一些實施例中,校正動作可包括向客戶端裝置120產生所決定問題的警示或指示。在一些實施例中,校正動作可包括處理邏輯基於膜的所要性質調整沉積製程配方、蝕刻製程配方或任何其他製程配方的一或多個參數(例如製程腔室的溫度設定、製程腔室的壓力設定、沉積於基板表面上的膜所包括的材料的前驅物的流速設定等)。在一些實施例中,可在完成製程配方之前、期間(例如即時)或之後調整製程配方。
第8圖是圖示根據某些實施例的電腦系統800的方塊圖。在一些實施例中,電腦系統800可連接(例如經由網路,例如區域網路(LAN)、內部網路、外部網路、網際網路)至其他電腦系統。電腦系統800可在客戶端-伺服器環境中在伺服器或客戶端電腦的容量中運行,或在同級間或分散式網路環境中作為同級點電腦運行。電腦系統800可由個人電腦(PC)、平板PC、機上盒(Set-Top Box; STB)、個人數位助理(Personal Digital Assistant; PDA)、蜂巢式電話、網頁應用、伺服器、網路路由器、交換機或網橋或能夠執行指定由元件執行之動作的一組指令(順序的或以其他方式)的任何元件提供。另外,術語「電腦」應包括任何連接的電腦,其個別地或共同執行一組(或多組)指令以執行本文所揭示之方法中之任何一或多者。
在另一態樣中,電腦系統800可包括處理裝置802、揮發性記憶體804(例如隨機存取記憶體(Random Access Memory; RAM))、非揮發性記憶體806(例如唯讀記憶體(Read-Only Memory; ROM)或電可抹除可程式ROM(EEPROM))及資料儲存裝置816,其可經由匯流排808相互通信。
處理裝置802可由一或多個處理器提供,例如通用處理器(例如(例如)複雜指令集計算(CISC)微處理器、精簡指令集計算(RISC)微處理器、極長指令字組(Very Long Instruction Word; VLIM)微處理器、實施其他類型指令集的微處理器或實施組合類型的指令集的微處理器)或專用處理器(例如(例如)特定應用積體電路(ASIC)、現場可程式設計閘極陣列(FPGA)、數位訊號處理器(DSP)或網路處理器)。
電腦系統800可進一步包括網路介面裝置822(例如耦接至網路874)。電腦系統800亦可包括視訊顯示單元810(例如LCD)、文數輸入裝置812(例如鍵盤)、游標控制裝置814(例如滑鼠)及訊號產生裝置820。
在一些實施方式中,資料儲存裝置816可包括非暫時性電腦可讀儲存媒體824,其可儲存編碼本文描述的方法或功能中之任一或多者的指令826,包括編碼第1圖的部件(例如校正動作部件122、預測部件114等)及實施本文所描述方法的指令。
指令826在由電腦系統800執行期間亦可完全或部分地駐留於揮發性記憶體804及/或處理裝置802內,因此揮發性記憶體804及處理裝置802亦組成機器可讀儲存媒體。
雖然在說明性實例中將電腦可讀儲存媒體824展示為單一媒體,但術語「電腦可讀儲存媒體」應包括儲存一或多組可執行指令的單一媒體或多個媒體(例如集中式或分散式資料庫及/或相關聯的快取記憶體及伺服器)。術語「電腦可讀儲存媒體」亦應包括有形媒體,其能夠儲存或編碼一組指令,該組指令由電腦執行,使電腦執行本文所描述方法中之任何一或多者。術語「電腦可讀儲存媒體」應包括但不限於固態記憶體、光學媒體及磁性媒體。
本文所描述的方法、元件及特徵可由分離的硬體元件實施,或可整合至諸如ASIC、FPGA、DSP或相似裝置的其他硬體元件的功能性。另外,在一些實施例中,方法、元件及特徵可由韌體模組或硬體裝置內的功能電路實施。另外,方法、部件及特徵可由硬體裝置及電腦程式部件的任一組合或電腦程式實施。
除非另外具體說明,諸如「接收」、「執行」、「提供」、「獲取」、「引發」、「存取」、「決定」、「添加」、「使用」、「訓練」或類似者的術語係指由電腦系統執行或實施的動作或過程,該等電腦系統操控在電腦系統暫存器及記憶體中表示為物理(電子)量的資料,且將其轉化為在電腦系統記憶體或暫存器或其他此類資訊儲存、傳輸或顯示裝置中類似地表示為物理量的資料。而且,本文使用的術語「第一」、「第二」、「第三」、「第四」等用作標籤,區分不同的元件,不具有與其數字符號相關的順序意義。
本文所描述的實例亦與用於執行本文所描述方法的裝置相關。特別構造此裝置以用於執行本文所描述的方法,或此裝置包括通用電腦系統,該通用電腦系統由儲存於電腦系統中的電腦程式選擇性程式化。此類電腦程式可儲存於電腦可讀取的有形儲存媒體中。
本文所描述的方法及說明性實例與任何特定的電腦或其他裝置無固定的相關性。根據本文所描述的教示可使用各個通用系統,或構造更專用的裝置以執行本文所描述方法及/或其個別功能、常式、子常式或操作是方便的。以上描述闡述用於多種此等系統的結構的實例。
以上描述是說明性的,而非限制性的。雖然已參考特定的說明性實例及實施描述本揭示案,但應瞭解,本揭示案不限於所描述的實例及實施。應參考以下請求項以及請求項所授權的等效物的全部範疇來決定本揭示案的範疇。
100:電腦系統架構 110:預測系統 112:預測伺服器 114:預測部件 120:客戶端裝置 122:校正動作部件 124:製造設備 126:感測器 127:計量設備 128:計量設備 130:網路 140:資料儲存器 170:伺服器機器 172:資料集產生器 180:伺服器機器 182:訓練引擎 184:驗證引擎 185:選擇引擎 186:測試引擎 190:機器學習模型 200:方法 210:方塊 212:方塊 214:方塊 216:方塊 218:方塊 220:方塊 226:方塊 228:方塊 300:製造系統 302:基板 304:製程工具 306:工廠介面 308:外殼 310:移送腔室 312:移送腔室機器人 314:製程腔室 316:製程腔室 318:製程腔室 320:裝載閘 322:載體 324:裝載埠 326:工廠介面機器人 328:系統控制器 340:計量設備 350:資料儲存器 400:製程腔室 402:腔室體 406:內部容積 408:側壁 410:底部 426:排氣埠 428:泵系統 430:噴頭 432:氣體輸送孔 448:基板支撐組件 450:靜電夾盤 451:膜 458:氣體板 500:方法 510:操作 512:操作 514:操作 516:操作 518:操作 600:方法 610:操作 612:操作 614:操作 616:操作 700:方法 710:方塊 712:方塊 714:方塊 716:方塊 800:電腦系統 802:處理裝置 804:揮發性記憶體 806:非揮發性記憶體 808:匯流排 810:視訊顯示單元 812:文數輸入裝置 814:游標控制裝置 820:訊號產生裝置 822:網路介面裝置 824:非暫時性電腦可讀儲存媒體 826:指令 874:網路
藉由舉例說明本揭示案,且不受附圖的圖式的限制。
第1圖是圖示根據某些實施例的例示性系統架構的方塊圖。
第2圖是根據某些實施例的訓練機器學習模型的方法的流程圖。
第3圖為根據某些實施例的例示性製造系統的俯視示意圖。
第4圖為根據某些實施例的例示性製造系統的例示性製程腔室的截面示意側視圖。
第5圖是根據某些實施例的決定製程配方的校正曲線的方法的流程圖。
第6圖是根據某些實施例的調整製程配方的方法的流程圖。
第7圖是根據某些實施例的用機器學習模型決定校正曲線的方法的流程圖。
第8圖是圖示根據某些實施例的電腦系統的方塊圖。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
100:電腦系統架構
110:預測系統
112:預測伺服器
114:預測部件
120:客戶端裝置
122:校正動作部件
124:製造設備
126:感測器
127:計量設備
128:計量設備
130:網路
140:資料儲存器
170:伺服器機器
172:資料集產生器
180:伺服器機器
182:訓練引擎
184:驗證引擎
185:選擇引擎
186:測試引擎
190:機器學習模型

Claims (22)

  1. 一種方法,其包含以下步驟: 獲取用位於根據一製程配方對一基板執行一沉積製程的一製程腔室內的計量設備產生的計量資料,其中該製程配方包含複數個設定參數,且其中該沉積製程在該基板的一表面上產生複數個膜層; 基於該計量資料產生一校正曲線; 藉由對該製程配方應用該校正曲線而產生一更新後的製程配方;及 根據該更新後的製程配方對該基板執行一蝕刻製程。
  2. 如請求項1所述之方法,其中該計量資料指示該等膜層的一或多個厚度。
  3. 如請求項1所述之方法,其中該校正曲線包含對該製程配方的該複數個設定參數應用的一或多個校正動作。
  4. 如請求項1所述之方法,其中產生該校正曲線之步驟包括以下步驟: 決定與該製程配方相關的一預期厚度曲線與該計量設備產生的一厚度曲線之間的一差量;及 對該差量應用一演算法。
  5. 如請求項1所述之方法,其中產生該校正曲線之步驟包括以下步驟: 決定與該製程配方相關的一預期厚度曲線與該計量設備產生的一厚度曲線之間的一差量;及 在一已知故障庫中執行該差量的一查找,其中該庫包含與特定校正曲線相關的差量值的一列表。
  6. 如請求項1所述之方法,其中產生該校正曲線之步驟包括以下步驟: 將該計量資料輸入至一訓練後機器學習模型; 獲取該訓練後機器學習模型的一輸出值,該輸出值指示該基板上的該膜的一預期厚度曲線與該基板上的該膜的一實際厚度曲線之間的一差異;及 在一已知故障庫中執行該差量的一查找,其中該庫包含與特定校正曲線相關的差量值的一列表。
  7. 如請求項1所述之方法,其中產生該校正曲線之步驟包括以下步驟: 向一訓練後機器學習模型中輸入該計量資料; 獲取該訓練後機器學習模型的一輸出值,該輸出值指示一校正曲線。
  8. 如請求項1所述之方法,其進一步包含以下步驟: 基於該校正曲線對另一製程腔室執行一腔室匹配操作。
  9. 一種電子元件製造系統,其包含: 一移送腔室; 一製程腔室,其耦接至該移送腔室;及 計量設備,其位於該移送腔室或該製程腔室中之至少一者內,其中該製造系統經配置以: 獲取用位於根據一製程配方對一基板執行一沉積製程的該製程腔室內的該計量設備產生的計量資料,其中該製程配方包含複數個設定參數,且其中該沉積製程在該基板的一表面上產生複數個膜層; 基於該計量資料產生一校正曲線; 藉由對該製程配方應用該校正曲線而產生一更新後的製程配方;及 根據該更新後的製程配方對該基板執行一蝕刻製程。
  10. 如請求項9所述之電子元件製造系統,其中該計量資料指示該等膜層的一或多個厚度。
  11. 如請求項9所述之電子元件製造系統,其中該校正曲線包含對該製程配方的該複數個設定參數應用的一或多個校正動作。
  12. 如請求項9所述之電子元件製造系統,其中產生該校正曲線包括: 決定與該製程配方相關的一預期厚度曲線與該計量設備產生的一厚度曲線之間的一差量;及 對該差量應用一演算法。
  13. 如請求項9所述之電子元件製造系統,其中產生該校正曲線包括: 決定與該製程配方相關的一預期厚度曲線與該計量設備產生的一厚度曲線之間的一差量;及 在一已知故障庫中執行該差量的一查找,其中該庫包含與特定校正曲線相關的差量值的一列表。
  14. 如請求項9所述之電子元件製造系統,其中產生該校正曲線包括: 將該計量資料輸入至一訓練後機器學習模型; 獲取該訓練後機器學習模型的一輸出值,該輸出值指示該基板上的該膜的一預期厚度曲線與該基板上的該膜的一實際厚度曲線之間的一差異;及 在一已知故障庫中執行該差量的一查找,其中該庫包含與特定校正曲線相關的差量值的一列表。
  15. 如請求項9所述之電子元件製造系統,其中產生該校正曲線包括: 向一訓練後機器學習模型中輸入該計量資料; 獲取該訓練後機器學習模型的一輸出值,該輸出值指示一校正曲線。
  16. 如請求項9所述之電子元件製造系統,其中該製造系統進一步經配置以: 基於該校正曲線對另一製程腔室執行一腔室匹配操作。
  17. 一種非暫時性電腦可讀儲存媒體,其包含在由操作中耦接至一記憶體的一處理裝置執行時執行包括以下的操作的指令: 獲取用位於根據一製程配方對一基板執行一沉積製程的一製程腔室內的計量設備產生的計量資料,其中該製程配方包含複數個設定參數,且其中該沉積製程在該基板的一表面上產生複數個膜層; 基於該計量資料產生一校正曲線; 藉由對該製程配方應用該校正曲線而產生一更新後的製程配方;及 根據該更新後的製程配方對該基板執行一蝕刻製程。
  18. 如請求項17所述之非暫時性電腦可讀儲存媒體,其中產生該校正曲線包括: 決定與該製程配方相關的一預期厚度曲線與該計量設備產生的一厚度曲線之間的一差量;及 對該差量應用一演算法。
  19. 如請求項17所述之非暫時性電腦可讀儲存媒體,其中產生該校正曲線包括: 決定與該製程配方相關的一預期厚度曲線與該計量設備產生的一厚度曲線之間的一差量;及 在一已知故障庫中執行該差量的一查找,其中該庫包含與特定校正曲線相關的差量值的一列表。
  20. 如請求項17所述之非暫時性電腦可讀儲存媒體,其中產生該校正曲線包括: 將該計量資料輸入至一訓練後機器學習模型; 獲取該訓練後機器學習模型的一輸出值,該輸出值指示該基板上的該膜的一預期厚度曲線與該基板上的該膜的一實際厚度曲線之間的一差異;及 在一已知故障庫中執行該差量的一查找,其中該庫包含與特定校正曲線相關的差量值的一列表。
  21. 如請求項17所述之非暫時性電腦可讀儲存媒體,其中產生該校正曲線包括: 向一訓練後機器學習模型中輸入該計量資料; 獲取該訓練後機器學習模型的一輸出值,該輸出值指示一校正曲線。
  22. 如請求項17所述之非暫時性電腦可讀儲存媒體,其中該處理裝置進一步經配置以: 基於該校正曲線使對另一製程腔室執行一腔室匹配操作。
TW111145642A 2021-12-21 2022-11-29 在基板製造期間用於調整處理腔室參數的方法及機制 TW202345235A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/557,816 2021-12-21
US17/557,816 US20230195078A1 (en) 2021-12-21 2021-12-21 Methods and mechanisms for adjusting process chamber parameters during substrate manufacturing

Publications (1)

Publication Number Publication Date
TW202345235A true TW202345235A (zh) 2023-11-16

Family

ID=86767925

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111145642A TW202345235A (zh) 2021-12-21 2022-11-29 在基板製造期間用於調整處理腔室參數的方法及機制

Country Status (4)

Country Link
US (1) US20230195078A1 (zh)
CN (1) CN118435338A (zh)
TW (1) TW202345235A (zh)
WO (1) WO2023121835A1 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220228265A1 (en) * 2021-01-15 2022-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for dynamically adjusting thin-film deposition parameters

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6728591B1 (en) * 2001-08-01 2004-04-27 Advanced Micro Devices, Inc. Method and apparatus for run-to-run control of trench profiles
US7199416B1 (en) * 2004-11-10 2007-04-03 Spansion Llc Systems and methods for a memory and/or selection element formed within a recess in a metal line
DE102007030052B4 (de) * 2007-06-29 2015-10-01 Advanced Micro Devices, Inc. Automatische Abscheideprofilzielsteuerung
US7838308B2 (en) * 2008-05-12 2010-11-23 Advanced Micro Devices, Inc. Method of controlling embedded material/gate proximity
US9738977B1 (en) * 2016-06-17 2017-08-22 Lam Research Corporation Showerhead curtain gas method and system for film profile modulation
US10713534B2 (en) * 2017-09-01 2020-07-14 Kla-Tencor Corp. Training a learning based defect classifier
KR102038140B1 (ko) * 2017-11-24 2019-10-29 아주대학교산학협력단 코팅 물질의 도포 상태 모니터링 장치 및 방법
KR20210118472A (ko) * 2019-02-15 2021-09-30 램 리써치 코포레이션 멀티-패터닝 프로세스들을 위한 멀티-존 가열된 기판 지지부를 사용한 트리밍 및 증착 프로파일 제어
CN115135450B (zh) * 2020-05-14 2024-07-09 应用材料公司 训练神经网络用于抛光期间的原位监测的技术和抛光系统

Also Published As

Publication number Publication date
US20230195078A1 (en) 2023-06-22
CN118435338A (zh) 2024-08-02
WO2023121835A1 (en) 2023-06-29

Similar Documents

Publication Publication Date Title
US11989495B2 (en) Systems and methods for predicting film thickness using virtual metrology
TW202326314A (zh) 用於半導體製造設備的自適應故障排除的系統和方法
TW202245091A (zh) 用於使用虛擬模型進行處理腔室健康監測和診斷的系統和方法
TW202345235A (zh) 在基板製造期間用於調整處理腔室參數的方法及機制
US11862520B2 (en) Systems and methods for predicting film thickness of individual layers using virtual metrology
US20230306300A1 (en) Methods and mechanisms for measuring patterned substrate properties during substrate manufacturing
US20230359179A1 (en) Methods and mechanisms for adjusting film deposition parameters during substrate manufacturing
US20230008072A1 (en) Method and mechanism for contact-free process chamber characterization
KR20240128702A (ko) 기판 제조 동안 프로세스 챔버 파라미터들을 조절하기 위한 방법들 및 메커니즘들
US20230384777A1 (en) Methods and mechanisms for preventing fluctuation in machine-learning model performance
US20240288779A1 (en) Methods and mechanisms for modifying machine-learning models for new semiconductor processing equipment
US20230342016A1 (en) Methods and mechanisms for generating virtual knobs for model performance tuning
TW202334767A (zh) 用於製程配方最佳化的方法及機制
KR20240133664A (ko) 새로운 반도체 처리 장비를 위한 머신 러닝 모델들을 수정하기 위한 방법들 및 메커니즘들
JP2024532377A (ja) 半導体製造装置の適応トラブルシューティングのためのシステムおよび方法