TW202228297A - 半導體裝置 - Google Patents

半導體裝置 Download PDF

Info

Publication number
TW202228297A
TW202228297A TW110132261A TW110132261A TW202228297A TW 202228297 A TW202228297 A TW 202228297A TW 110132261 A TW110132261 A TW 110132261A TW 110132261 A TW110132261 A TW 110132261A TW 202228297 A TW202228297 A TW 202228297A
Authority
TW
Taiwan
Prior art keywords
layer
source
drain
channel
drain feature
Prior art date
Application number
TW110132261A
Other languages
English (en)
Inventor
林志昌
陳仕承
張榮宏
姚茜甯
江國誠
王志豪
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202228297A publication Critical patent/TW202228297A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8221Three dimensional integrated circuits stacked in different levels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • H01L21/823425MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures manufacturing common source or drain regions between a plurality of conductor-insulator-semiconductor structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0922Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41733Source or drain electrodes for field effect devices for thin film transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/4175Source or drain electrodes for field effect devices for lateral devices where the connection to the source or drain region is done through at least one part of the semiconductor substrate thickness, e.g. with connecting sink or with via-hole
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L2029/7858Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET having contacts specially adapted to the FinFET geometry, e.g. wrap-around contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • H01L29/456Ohmic electrodes on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

本揭露的半導體裝置包括第一通道構件的堆疊、直接設置在第一通道構件的堆疊上方的第二通道構件的堆疊、與前述第一通道構件的堆疊接觸的一底部源極/汲極部件、設置在底部源極/汲極部件上方的一分隔層(separation layer)、與第二通道構件的堆疊接觸並設置在分隔層上方的一頂部源極/汲極部件、以及延伸穿過頂部源極/汲極部件和分隔層而電性耦接至底部源極/汲極部件的一前側接觸件。

Description

半導體裝置
本發明實施例內容是有關於一種半導體裝置及其製造方法,特別是有關於一種具有局部的互連結構(local interconnect structure)的半導體裝置及其製造方法,以將底部電晶體的源極/汲極部件耦接到頂部電晶體的源極/汲極部件。
半導體積體電路(ICs)工業經歷了指數級的成長。 積體電路材料和設計方面的技術進步已經產生了許多世代的積體電路,其中每一世代都比前一世代具有更小、更複雜的電路。在積體電路發展過程中,通常增加了功能密度(即,每個晶片區域的互連裝置的數量),而縮減了幾何尺寸(即,在製程中可以產生的最小部件(或線)。此種按比例縮減尺寸的製程通常可提高生產效率和降低相關成本而提供好處。此種按比例縮小還增加了處理和製造積體電路的複雜性。
例如,隨著積體電路(IC)技術朝著更小的技術節點發展,已經引入了多閘極裝置(multi-gate devices),以藉由增加閘極-通道耦合(gate-channel coupling)、減小關閉狀態的電流和減少短通道效應(short-channel effects,SCE)來改善閘極控制。一個多閘極裝置通常是指具有設置在通道區一側以上的一閘極結構或閘極結構的一部分的一種裝置。鰭式場效電晶體(FinFETs)和多橋通道(multi-bridge-channel,MBC)電晶體是多閘極裝置的示例,這些裝置已經成為高性能表現和低漏電流應用的受重視和有前景的候選裝置。一個鰭式場效電晶體(FinFET)具有一抬升通道(elevated channel),且此抬升通道的超過一側係被一閘極包裹(例如,閘極包裹了自一基底延伸而來的半導體材料之「鰭片」的頂部和側壁)。一個多橋通道(MBC)電晶體具有可以部分或全部圍繞著一通道區域延伸的一閘極結構,以提供對通道區域的兩側或更多側的存取。由於多橋通道(MBC)電晶體的閘極結構圍繞通道區域,因此多橋通道(MBC)電晶體也可以稱為環繞式閘極電晶體(surrounding gate transistor,SGT)或全繞式閘極(gate-all-around,GAA)電晶體。多橋通道(MBC)電晶體的通道區可以由奈米線(nanowires)、奈米片(nanosheets)、其他奈米結構或其他合適結構而形成。並且由於通道區形狀的原因,多橋通道(MBC)電晶體也具有其他別名,例如奈米片電晶體(nanosheet transist)或是奈米線電晶體(nanowire transistor)。
隨著半導體工業進一步朝向次10奈米(nm)技術製程節點發展以追求更高的裝置密度、更高的性能和更低的成本,來自製造和設計兩個議題的挑戰導致了堆疊裝置結構(stacked device structure)的配置,例如互補式場效電晶體(complementary field effect transistors,C-FET),互補式場效電晶體的其中一個n型多閘極電晶體和一個p型多閘極電晶體係以一個在另一個上方的方式垂直堆疊。在這樣的互補式場效電晶體(C-FET)中形成局部互連部件(local interconnect feature),可能涉及形成穿過磊晶源極/汲極部件(epitaxial source/drain feature)的開口或是形成穿過與磊晶源極/汲極部件相鄰的某些介電隔離部件(dielectric isolation features)的開口。
本發明的一些實施例提供一種半導體裝置。此半導體裝置包括一第一通道構件的堆疊(a stack of first channel members)以及一第二通道構件的堆疊(a stack of second channel members),此第二通道構件的堆疊係直接設置在前述第一通道構件的堆疊的上方。在一些實施例中,此半導體裝置還包括一底部源極/汲極部件(bottom source/drain feature),此底部源極/汲極部件係與前述第一通道構件的堆疊接觸。在一些實施例中,此半導體裝置還包括一分隔層(separation layer),此分隔層係設置在前述第一通道構件的堆疊的上方。在一些實施例中,此半導體裝置還包括一頂部源極/汲極部件(top source/drain feature),此頂部源極/汲極部件係與前述第二通道構件的堆疊接觸,並且設置在前述分隔層的上方。在一些實施例中,此半導體裝置還包括一前側接觸件(frontside contact),此前側接觸件係延伸穿過前述頂部源極/汲極部件和前述分隔層,並且電性耦接至前述底部源極/汲極部件。
本發明的一些實施例又提供一種半導體結構。此半導體結構包括一第一底部源極/汲極部件(first bottom source/drain feature)和一第二底部源極/汲極部件(second bottom source/drain feature)。在一些實施例中,此半導體結構還包括在前述第一底部源極/汲極部件和前述第二底部源極/汲極部件之間延伸的一第一通道構件的堆疊(a stack of first channel members)。在一些實施例中,此半導體結構還包括位於前述第一底部源極/汲極部件上方的一第一分隔層(first separation layer)。在一些實施例中,此半導體結構還包括位於前述第二底部源極/汲極部件上方的一第二分隔層(second separation layer)。在一些實施例中,此半導體結構還包括設置在前述第一分隔層上方的第一頂部源極/汲極部件(first top source/drain feature),以及設置在前述第二分隔層上方的第二頂部源極/汲極部件(second top source/drain feature)。在一些實施例中,此半導體結構還包括在前述第一頂部源極/汲極部件和前述第二頂部源極/汲極部件之間延伸的一第二通道構件的堆疊(a stack of second channel members)。在一些實施例中,此半導體結構還包括設置在前述第一頂部源極/汲極部件上方的一第一前側接觸件(first frontside contact),並且此第一前側接觸件係與前述第一頂部源極/汲極部件接觸。在一些實施例中,此半導體結構還包括一第二前側接觸件(second frontside contact),此第二前側接觸件係延伸穿過前述第二頂部源極/汲極部件和前述第二分隔層,並且此第二前側接觸件係與前述第二底部源極/汲極部件接觸。
本發明的一些實施例提供一種半導體裝置的形成方法。此方法包括提出一工件(workpiece),此工件包括一基底(substrate)和位於前述基底上方的一堆疊(stack),前述堆疊包括由多個犧牲層(sacrificial layers)和多個通道層(channel layers)交錯設置,前述多個通道層包括多個底部通道層(bottom channel layer)和設置在多個底部通道層之上的多個頂部通道層(top channel layers)。在一些實施例中,此形成方法還包括將前述堆疊和前述基底的一部分圖案化成一鰭狀結構(fin-shaped structure),前述鰭狀結構包括一源極/汲極區(source/drain region)。在一些實施例中,此形成方法還包括使前述源極/汲極區下凹(recessing)以形成源極/汲極凹槽,暴露出前述多個通道層的側壁。在一些實施例中,此形成方法還包括在源極/汲極凹槽中沉積一底部源極/汲極部件(bottom source/drain feature)以與前述多個底部通道層接觸。在一些實施例中,此形成方法還包括在前述底部源極/汲極部件上方沉積一分隔層(separation layer)。在一些實施例中,此形成方法還包括沉積一頂部源極/汲極部件(top source/drain feature)於前述分隔層的上方並且與前述多個頂部通道層接觸,此頂部源極/汲極部件係包括一間隙(gap),此間隙暴露出前述分隔層。在一些實施例中,此形成方法還包括在前述間隙中形成一填充層(filler layer)。在一些實施例中,此形成方法還包括形成穿過前述填充層和前述分隔層的一接觸開口(contact opening)以暴露出前述底部源極/汲極部件,並在前述接觸開口中形成一接觸件(contact feature)。
以下內容提供了很多不同的實施例或範例,用於實現本發明實施例的不同部件。組件和配置的具體範例描述如下,以簡化本發明實施例。當然,這些僅僅是範例,並非用以限定本發明實施例。舉例來說,敘述中若提及一第一特徵部件形成於一第二特徵部件之上方或位於其上,可能包含上述第一和第二特徵部件直接接觸的實施例,也可能包含額外的特徵部件形成於上述第一特徵和上述第二特徵部件之間,使得第一和第二特徵部件不直接接觸的實施例。另外,本發明實施例可能在許多範例中重複元件符號及/或字母。這些重複是為了簡化和清楚的目的,其本身並非代表所討論各種實施例及/或配置之間有特定的關係。
再者,文中可能使用空間上的相關用語,例如「在…之下」、「在…下方」、「下方的」、「在…上方」、「上方的」及其他類似的用語,以便描述如圖所示之一個元件或部件與其他的元件或部件之間的關係。此空間上的相關用語除了包含圖式繪示的方位外,也包含使用或操作中的裝置的不同方位。裝置可以被轉至其他方位(旋轉90度或其他方位),則在此所使用的空間相對描述可同樣依旋轉後的方位來解讀。
再者,當使用「約」、「大約」、或類似的用語來描述一個數值或一個數值範圍時,除非有另外指明,則此用語是用於涵蓋在一合理範圍的數值,且此範圍考量到本領域的普通技術人員所能理解的在製程期間所產生的固有的變化。例如,基於製造與此數值相關聯的部件的已知製造公差,此數值或數值範圍係涵蓋了包括所述數值的一合理範圍,例如在所述數值的+/–10%以內。例如,厚度為「約5nm」的一材料層可包含的厚度尺寸範圍為4.25 nm至5.75 nm,其中本領域的普通技術人員已知與沉積此材料層相關的製造公差為+/–15%。再者,本揭露可能在不同示例中重複元件符號及/或字母。此些重複是為了簡化和清楚的目的,其本身並非代表所討論各種實施例及/或配置之間具有特定關係。
本揭露大致上係關於堆疊的多閘極裝置(stacked multi-gate device)及其製造方法,特別是關於堆疊的多閘極裝置的局部的接觸結構(local contact structures)。
堆疊的多閘極裝置是指包括一第一多閘極裝置(first multi-gate device)和堆疊在第一多閘極裝置上方的一第二多閘極裝置(second multi-gate device)的一種半導體裝置。當第一多閘極裝置和第二多閘極裝置的導電型態不同時,堆疊的多閘極裝置可以是互補式場效電晶體(complementary field effect transistor,C-FET)。互補式場效電晶體(C-FET)中的多閘極裝置可以是鰭式場效電晶體(FinFET)或多橋通道(MBC)電晶體。對於一些邏輯操作,第一多閘極裝置的源極/汲極部件和第二多閘極裝置的源極/汲極部件之間需要局部的電性連接(local electrical connection)。在一些現有技術中,可以藉由穿過在源極/汲極部件周圍的介電部件(dielectric features)而形成此種局部的電性連接。在一些其他的現有技術中,在第二多閘極裝置的源極/汲極部件處蝕刻出通孔(through opening),這可能在製程中導致對源極/汲極部件造成損壞。
本揭露提供一種局部的接觸部件(local contact feature),其將一頂部多閘極裝置(top multi-gate device)的源極/汲極部件直接的和垂直的耦接至底部多閘極裝置的源極/汲極部件。局部的接觸部件係設置在一局部的接觸開口(local contact opening)中,其中此局部的接觸開口是以自對準方式而形成。在一示例的製程中,一鰭形結構係由包括多個通道層的一堆疊所形成。一底部源極/汲極部件係沉積以接觸位於前述堆疊的底面部分(bottom portion)的通道層。一分隔層(separation layer)係沉積於底部源極/汲極部件的上方,以使前述堆疊的一中間部分(middle portion)的通道層失效(disable)。然後,一頂部源極/汲極部件沉積在分隔層的上方,以與前述堆疊的一頂面部分(top portion)的通道層接觸。頂部源極/汲極部件包括一間隙(gap),前述間隙暴露出前述分隔層。一填充層(filler layer)係沉積在前述間隙中。一局部的接觸開口(local contact opening)的形成係穿過前述填充層和前述分隔層,此局部的接觸開口並暴露出前述底部源極/汲極部件。一局部接觸部件(local contact feature)形成於前述局部的接觸開口中,以耦接前述底部源極/汲極部件和前述頂部源極/汲極部件。出於說明的目的,本揭露的結構和製造方法的細節是關於具有堆疊的多橋通道(MBC)電晶體的互補式場效電晶體(C-FET)進行描述如下。然而,本揭露不限於此,類似的結構和製程也可適用於具有其他類型的堆疊的多閘極電晶體的互補式場效電晶體。
現在將參考附圖更詳細地描述本揭露的各個方面。就此而言,第1圖是說明根據本揭露的各個方面的用於形成具有一垂直的互補式場效電晶體(C-FET)結構的一半導體裝置的方法100的流程圖。方法100僅是一示例,並且並非旨在將本揭露限制在方法100中所明確示出的內容。對於此方法的其他實施例,可以在方法 100之前、期間和之後提供一些額外的步驟,並且可以替換、消除或者移動所描述的某些步驟。為了簡單起見,本文並沒有詳細描述所有步驟。 以下結合第2圖至第20圖描述方法100,其為根據方法100之實施例的處於不同製造階段的一工件(workpiece)200的局部透視圖或剖面示意圖。由於在製造過程結束時會將工件200製造成一半導體裝置200,所以可以根據上下文的需要,將工件200稱為半導體裝置200。此外,在整個申請內容中,除非另有說明,否則相同的附圖標記係表示相同的部件。
參照第1圖和第2圖,方法100包括步驟102,其包括提供一工件200。工件200可以包括基底(substrate)202和設置在基底202上方的一堆疊(stack)204。在一個實施例中,基底202可以是一矽(Si)基底。在一些其他實施例中,基底202可以包括其他半導體,例如鍺(Ge)、矽鍺(SiGe)或III-V半導體材料。III-V半導體材料的示例可以包括砷化鎵(gallium arsenide,GaAs)、磷化銦(indium phosphide,InP)、磷化鎵(gallium phosphide,GaP)、氮化鎵(gallium nitride,GaN)、磷化砷化鎵(gallium arsenide phosphide,GaAsP)、砷化鋁銦(aluminum indium arsenide,AlInAs)、砷化鋁鎵(aluminum gallium arsenide,AlGaAs)、磷化銦鎵鎵(gallium indium phosphide,GaInP)和砷化銦鎵(indium gallium arsenide,InGaAs)。基底202還可以包括一絕緣層,例如氧化矽層,而具有一絕緣體上覆矽(silicon-on-insulator,SOI)結構。儘管圖中未明確示出,但是基底202可以包括用於製造不同導電型態的電晶體的n型井區(n-type well region)和p型井區(p-type well region)。當存在時,n型井區和p型井區中的各個井區係形成在基底202中並且分別包括一摻雜分佈。n型井區可以包括一n型摻質的摻雜分佈,n型摻質例如是磷(P)或砷(As)。p型井區可以包括一p型摻質的摻雜分佈,p型摻質例如是硼(B)。可以使用離子佈值(ion implantation)或熱擴散(thermal diffusion)來形成n型井區和p型井區中的摻雜分佈,並且可以將其視為基底202的一部分。
如第2圖所示,堆疊204包括交錯設置的多個通道層(channel layers)208與多個犧牲層(sacrificial layers)206。通道層208和犧牲層206可具有不同的半導體組成。在一些實施方式中,通道層208由矽(Si)形成,而犧牲層206由矽鍺(SiGe)形成。在這些實施方案中,犧牲層206中的額外鍺含量可使得選擇性去除或下凹犧牲層206時不會對通道層208造成實質性的損壞。在一些實施例中,犧牲層206和通道層208是磊晶層(epitaxy layers),並且可以使用磊晶製程(epitaxy process)沉積而成。合適的磊晶製程包括氣相磊晶(vapor-phase epitaxy,VPE)、超高真空化學氣相沉積(ultra-high vacuum chemical vapor deposition,UHV-CVD)、分子束磊晶(molecular beam epitaxy,MBE)、以及/或其他合適的製程。犧牲層206和通道層208係一層接一層的交替沉積,以形成堆疊204。
如以下文中將更詳細地解釋,堆疊204的底面部分中的通道層208將提供一底部多橋通道電晶體(bottom MBC transistor)的通道構件,並且堆疊204的頂面部分中的通道層208將提供底部多橋通道電晶體的通道構件。「通道構件」一詞在本文中係用於代表具有奈米級尺寸並具有細長形狀的一電晶體中的通道(多個通道)的任何材料部分,而不論此材料部分的剖面形狀如何。通道構件可以是奈米線、奈米片或其他奈米結構的形式,並且可以具有圓形、橢圓形、跑道形、矩形或正方形的剖面。為了便於參照,可以垂直地將堆疊204分為一底面部分204B、在底面部分204B上方的一中間部分204M以及在中間部分204M上方的頂面部分204T。如第2圖所示,底面部分204B、中間部分204M和頂面部分204T中的每個部分係包括一個或多個通道層208以及一個或多個犧牲層206。
應注意的是,第2圖中的堆疊204包括與七層犧牲層206交錯的八層通道層208,此僅用於說明目的,而非旨在限制超出權利要求書中記載的具體內容的範圍。可以理解的是,堆疊204中可以包括任何數量的通道層208,並且分佈在底面部分204B、中間部分204M和頂面部分204T之中。層數取決於頂部多橋通道(MBC)電晶體和底部多橋通道(MBC)電晶體所需的通道構件數量。在一些實施例中,堆疊204中的通道層208的數量可以在3到12之間。通道層208和犧牲層206的厚度可以基於底部多橋通道(MBC)電晶體、頂部多橋通道(MBC)電晶體和互補式場效電晶體(C-FET)做為整體的裝置性能考慮來做選擇。此外,通道層208和犧牲層206可以有意地製造得更薄以形成一個或多個失去功能(disabled channel members)的通道構件。例如,比起其餘的通道層208更薄的一個通道層208可能在通道釋出製程(channel release process)中被切斷或損壞。又例如,比起其餘的犧牲層206更薄的一犧牲層206可能導致通道與通道之間的間距縮減(reduced channel-channel spacing),其中此間距可能大致上被閘極介電材料填滿。在通道與通道之間縮減的間距中填滿的閘極介電材料可以避免後續沉積的閘極電極材料進入縮減的通道-通道間距中。
參照第1圖和第3圖,方法100包括步驟104,其中由堆疊204形成一鰭狀結構(fin-shaped structure)210。在一些實施例中,堆疊204和基底202的一部分被圖案化以形成鰭狀結構210。鰭狀結構210。為了圖案化的目的,可以在堆疊204上方沉積一硬遮罩層(hard mask layer)。硬遮罩層可以是單層或是多層。在一個示例中,硬遮罩層包括一氧化矽層和氧化矽層上方的一氮化矽層。如第3圖所示,鰭狀結構210從基底202沿著Z方向垂直的延伸並沿著X方向縱向的延伸。鰭狀結構210可以使用合適的製程進行圖案化,包括雙重圖案化(double-patterning)或多重圖案化(multi-patterning)製程。一般而言,雙重圖案化或多重圖案化製程是結合了光學微影及自對準製程,得以使形成的圖案的節距(pitch)小於使用單一、直接的光學微影製程所能得到的節距。例如,在一實施例中,在一基底的上方形成一材料層,並使用一光學微影製程將此材料層圖案化。使用自對準製程在上述已圖案化的材料層旁邊形成間隔物(spacers)。然後移除材料層,利用留下的間隔物或芯軸(mandrels)作為一蝕刻遮罩,以對堆疊204和基底202進行蝕刻而形成鰭狀結構210。蝕刻製程可以包括乾式蝕刻、濕式蝕刻、反應性離子蝕刻(reactive ion etching,RIE)以及/或其他合適的製程。
雖然第3圖中未明確示出,但在形成鰭狀結構210之後,形成隔離部件(isolation feature)以將鰭狀結構210與相鄰的鰭狀結構(未明確示出)分隔開來。隔離部件也可以稱為淺溝槽隔離(shallow trench isolation,STI)部件。在一示例製程中,用於形成隔離部件的一介電材料係沉積在工件200上,包括鰭狀結構210,並且可使用化學氣相沉積(CVD)、次大氣壓化學氣相沉積(subatmospheric CVD,SACVD)、流動式化學氣相沉積、旋轉塗佈和/或其他合適的製程進行介電材料的沉積。然後,平坦化並下凹所沉積的介電材料,直到鰭狀結構210抬升到隔離部件之上。用於形成隔離部件的介電材料可以包括氧化矽、氮氧化矽、摻氟矽酸鹽玻璃(fluorine-doped silicate glass,FSG)、低介電常數介電質、前述之組合以及/或其他合適的材料。
仍然參照第1圖和第3圖,方法100包括步驟106,其中在堆疊204上方形成虛置閘極堆疊(dummy gate stack)214。在一些實施例中,係採用一閘極替換製程(或一閘極後製製程),其中虛置閘極堆疊214做為一功能性閘極結構的佔位件。也可以使用其他製程和配置方式。為了形成虛置閘極堆疊214,在工件200上方沉積一虛置介電層(dummy dielectric layer)216、一虛置閘極電極層(dummy gate electrode layer)218和一閘極頂部硬遮罩層(gate-top hard mask layer)(未明確示出)。可以使用包括低壓化學氣相沉積(LPCVD)、化學氣相沉積(CVD)、電漿輔助化學氣相沉積(plasma-enhanced CVD,PECVD)、物理氣相沉積(physical vapor deposition,PVD)、原子層沉積(atomic layer deposition,ALD)、熱氧化、電子束蒸發(e-beam evaporation)、或其他合適的沉積技術、或前述之組合來沉積這些材料層。虛置介電層216可以包括氧化矽,虛置閘極電極層218可以包括多晶矽,並且閘極頂部硬遮罩層可以是包括氧化矽和氮化矽的一多層結構。使用光學微影和蝕刻製程,以圖案化閘極頂部硬遮罩層。光學微影製程可以包括光阻塗佈(例如,旋轉塗佈)、軟烘烤、遮罩對準、曝光、曝光後烘烤、光阻顯影、沖洗、乾燥(例如,旋轉乾燥以及/或硬烘烤)、其他合適的微影技術、以及/或前述方法之組合。蝕刻製程可以包括乾式蝕刻(例如反應性離子蝕刻)、濕式蝕刻和/或其他蝕刻方法。之後,使用圖案化的閘極頂部硬遮罩層作為蝕刻遮罩,然後蝕刻虛置介電層216和虛置閘極電極層218,以形成虛置閘極堆疊214。虛置閘極堆疊214沿著Y方向縱向延伸以包裹於鰭狀結構210上並停在隔離部件上。位於虛置閘極堆疊214下方的鰭狀結構210的部分定義了通道區(channel region)210C。通道區210C和虛置閘極堆疊214還定義了汲極/源極區(source/drain regions)210SD,這些汲極/源極區210SD不與虛置閘極堆疊214垂直重疊。通道區210C沿著X方向設置在兩個汲極/源極區210SD之間。
參照第1圖和第4圖,方法100包括步驟108,其中係下凹鰭狀結構210中的源極/汲極區210SD,以形成第一源極/汲極凹槽(source/drain recess)224-1和第二源極/汲極凹槽224-2。步驟108的操作可包括在源極/汲極區210SD凹陷之前,先在虛置閘極堆疊214的側壁上形成閘極間隔層(gate spacer layer)220。在一些實施例中,閘極間隔層220的形成包括在工件200上方沉積一層或多層的介電層。在一示例製程中,可以使用化學氣相沉積(CVD)、次大氣壓化學氣相沉積(SACVD)、或原子層沉積(ALD)沉積一或多層的介電層。前述一或多層的介電層可以包括氧化矽、氮化矽、碳化矽、氧氮化矽、碳氮化矽、碳氧化矽、碳氧氮化矽以及/或前述之組合。在沉積閘極間隔層220之後,在一非等向性蝕刻製程中對工件200進行蝕刻,以形成第一源極/汲極凹槽224-1和第二源極/汲極凹槽224-2。在步驟108中的蝕刻製程可以是乾式蝕刻製程或合適的蝕刻製程。示例性的乾式蝕刻製程可以實施含氧氣體、氫氣、含氟氣體(例如CF 4、SF 6、NF 3、CH 2F 2、CHF 3和/或C 2F 6)、含氯氣體(例如Cl 2、CHCl 3、CCl 4和/或BCl 3)、含溴氣體(例如HBr和/或CHBR 3)、含碘氣體、其他合適的氣體以及/或電漿、以及/或前述之組合。如第4圖所示,通道區210C中的犧牲層206和通道層208的側壁係暴露在第一源極/汲極凹槽224-1和第二源極/汲極凹槽224-2中。
參照第1圖和第5圖,方法100包括步驟110,其中係形成內部間隔物部件(inner spacer features)226。在步驟110中,暴露在第一源極/汲極凹槽224-1和第二源極/汲極凹槽224-2中的底面部分204B、中間部分204M和頂面部分204T的犧牲層206係被選擇性的且部分的凹陷,以形成內部間隔物凹陷(inner spacer recesses),而暴露出的通道層208基本上未被蝕刻。在通道層208基本上由矽(Si)組成並且犧牲層206基本上由矽鍺(SiGe)組成的一實施例中,選擇性的和部分的凹陷犧牲層206的步驟可以包括矽鍺(SiGe)氧化製程,之後去除矽鍺氧化物。在這樣的實施例中,SiGe氧化製程可以包括使用臭氧(O 3)。在一些其他實施例中,選擇性的下凹製程可以是一選擇性的等向性蝕刻製程(selective isotropic etching process)(例如,選擇性乾式蝕刻製程、或選擇性濕式蝕刻製程),並且犧牲層206的下凹程度係由蝕刻製程的持續時間控制。選擇性乾式蝕刻製程可以包括使用一種或多種基於氟的蝕刻劑(fluorine-based etchants),例如含氟氣體或氫氟碳化合物。選擇性濕式蝕刻製程可以包括氟化氫(HF)或氫氧化銨(NH 4OH)蝕刻劑。在形成內部間隔物凹陷之後,將一內部間隔物材料層(inner spacer material layer)沉積在工件200上方,包括沉積在內部間隔物凹陷中。內部間隔物材料層可以包括氧化矽、氮化矽、碳氧化矽、碳氮氧化矽、碳氮化矽、金屬氮化物、或一合適的介電材料。然後,回蝕沉積的內部間隔物材料層,以去除內部間隔物部件226上和通道層208的側壁上多餘的內部間隔物材料層,因而形成如第5圖所示的內部間隔物部件226。在一些實施例中,步驟110處的蝕刻製程可以是乾式蝕刻製程,其包括使用含氧氣體、氫氣、氮氣、含氟氣體(例如,NF 3、CF 4、SF 6、CH 2F 2、CHF 3以及/或C 2F 6)、含氯氣體(例如Cl 2、CHCl 3、CCl 4以及/或BCl 3)、含溴氣體(例如HBr以及/或CHBR 3)、含碘氣體(例如CF 3I)、其他合適的氣體、以及/或電漿、以及/或前述之組合。
參照第1圖、第6圖和第7圖,方法100包括步驟112,其中第一底部源極/汲極部件(first bottom source/drain feature)228-1和第二底部源極/汲極部件228-2(second bottom source/drain feature)分別形成在第一源極/汲極凹槽224-1和第二源極/汲極凹槽224-2中。為了便於參照,第一底部源極/汲極部件228-1和第二底部源極/汲極部件228-2可以一併稱為底部源極/汲極部件228。首先參照第6圖。在一些實施例中,底部源極/汲極部件228可以使用磊晶製程(epitaxial process)形成,例如氣相磊晶(VPE)、超高真空化學氣相沉積(UHV-CVD)、分子束磊晶(MBE)以及/或其他合適的製程。磊晶生長製程可以使用氣態以及/或液態前驅物,它們可與基底202 以及通道層208的成分相互反應。底部源極/汲極部件228的磊晶生長係自基底202的頂面和通道層208暴露出的側壁這兩者開始發生。如第6圖所示,沉積的底部源極/汲極部件228與通道層208係物理性的接觸(或鄰接)。雖然底部源極/汲極部件228的磊晶生長不太可能發生在內部間隔物部件226的表面上,但底部源極/汲極部件228的過度生長使得底部源極/汲極部件 228在內部間隔物部件226之上合併。根據設計需求,底部源極/汲極部件228可以是n型或p型。在所敘述的實施例中,底部源極/汲極部件228是p型源極/汲極部件,並且可以包括摻雜有p型摻質的矽鍺(SiGe),例如硼(B)。在這些敘述的實施例中,底部源極/汲極部件228可以包括硼摻雜的矽鍺(SiGe:B)。以底部源極/汲極部件228作為p型源極/汲極部件具有一些優點。例如,其矽鍺(SiGe)成分可以有助於在背面接觸件(backside contacts)和背面介電層(backside dielectric layers)的形成過程中選擇性的去除基底202。又例如,暴露的基底202可提供更多的磊晶晶種表面(epitaxial seed surfaces)以形成缺陷較少的矽鍺源極/汲極晶體結構,從而在通道構件上提供所需的應變(strain)。根據觀察,應變的矽通道(strained silicon channels)比起未應變的矽通道可提供更好的電洞遷移率(hole mobility)。
參照第7圖,沉積的底部源極/汲極部件228之後被回蝕刻/拉回(etched back/pulled back),直到底部源極/汲極部件228僅覆蓋底面部分204B中的通道層208而不覆蓋中間部分204M或頂面部分204T中的通道層208。回蝕刻或拉回製程可以包括乾式蝕刻、濕式蝕刻、以及/或其他合適的製程。由於底部源極/汲極部件 228和犧牲層206均由矽鍺(SiGe)形成,用來形成內部間隔物凹陷的蝕刻製程可用來對沉積的底部源極/汲極部件228進行回蝕刻。 在步驟112中,一示例的選擇性乾式蝕刻製程可包括使用一種或多種氟基蝕刻劑(fluorine-based etchants),例如氟氣或氫氟碳化合物。在步驟112中,一示例的選擇性濕式蝕刻製程可包括使用氟化氫(HF)或氫氧化銨(NH 4OH)。
參照第1圖和第8圖,方法100包括步驟114,其中在底部源極/汲極部件228上方形成一分隔層(separation layer)242。分隔層242也可以被稱為隔離層(isolation layer)242,因為它起到了分隔或隔離底部源極/汲極部件228與頂部源極/汲極部件的作用(將在下面描述)。在一些實施例中,分隔層242可包括氧化矽或含有氧化矽的材料,例如四乙氧基矽烷(Tetra Ethyl Ortho Silicate,TEOS)氧化物、未摻雜矽酸鹽玻璃、或是例如矽酸硼磷矽酸鹽玻璃(BPSG)、熔融石英玻璃(FSG)、磷矽玻璃(Phospho-Silicate Glass,PSG)、硼矽玻璃(Boro-Silicate Glass,BSG)之類的摻雜矽氧化物的材料、和/或其他合適的介電材料。在一實施例中,分隔層242包括氧化矽。在一些實施方式中,分隔層242可以通過在工件200上方沉積一介電材料而形成,包括在第一底部源極/汲極部件228-1和第二底部源極/汲極部件228-2的上方,通過流動式化學氣相沉積(FCVD)、化學氣相沉積(CVD)、高密度電漿化學氣相沉積(HDPCVD)、或合適的沉積技術而沉積介電材料。在一實施例中,可使用流動式化學氣相沉積(FCVD)以沉積用來形成分隔層242的介電材料。然後,回蝕刻沉積的介電材料,直到分隔層242僅覆蓋中間部分204M中的通道層208,但暴露出頂面部分204T中的通道層208。
參照第1圖和第9圖,方法100包括步驟116,其中在第一源極/汲極凹槽224-1中形成第一頂部源極/汲極部件248-1,而第二源極/汲極凹槽224-2則被第一遮罩層(first masking layer)250覆蓋。在步驟116中,第一遮罩層250沉積在工件200上方,然後圖案化第一遮罩層250以覆蓋第二源極/汲極凹槽224-2,同時暴露出第一源極/汲極凹槽224-1。在一實施例中,第一遮罩層250是一底部抗反射塗層(bottom antireflective coating,BARC),其可以包括聚碸(polysulfones)、聚脲(polyureas)、聚脲碸(polyurea sulfones)、聚丙烯酸酯(polyacrylates)、聚(乙烯基吡啶)(poly(vinyl pyridine))、或含矽聚合物。在另一實施例中,第一遮罩層250是可以是單層或多層的硬遮罩層。例如,當第一遮罩層250為硬遮罩層時,第一遮罩層250可以包括氧化矽、氮化矽、碳化矽、碳氧化矽、氮氧化矽或碳氧氮化矽。可以使用光學微影製程和蝕刻製程來圖案化第9圖所示的第一遮罩層250。光學微影製程可以包括光阻塗佈(例如,旋轉塗佈)、軟烘烤、遮罩對準、曝光、曝光後烘烤、光阻顯影、沖洗、乾燥(例如,旋轉乾燥以及/或硬烘烤)、其他合適的微影技術、以及/或前述方法之組合。然後,將所得的圖案化光阻層做為一蝕刻遮罩,以圖案化第一遮罩層250。
有第一遮罩層250覆蓋第二源極/汲極凹槽224-2,第一頂部源極/汲極部件248-1係沉積在第一源極/汲極凹槽224-1中的分隔層242的上方。類似於第一底部源極/汲極部件228-1和第二底部源極/汲極部件228-2的形成,可以使用磊晶製程例如氣相磊晶(VPE)、超高真空化學氣相沉積(UHV-CVD)、分子束磊晶(MBE)以及/或其他合適的製程,而形成第一頂部源極/汲極部件248-1。磊晶生長製程可以使用氣態和/或液態前驅物,其與頂面部分204T中的通道層208相互反應。因此,第一頂部源極/汲極部件248-1的磊晶生長可以從頂面部分204T中的通道層208的暴露側壁發生,而不是從頂面部分204T中的內部間隔部件226和分隔層 242的表面發生。如第9圖所示,第一頂部源極/汲極部件248-1的過度生長(overgrowth)可以在內部間隔部件226和分隔層 242之上合併。在第9圖所示的實施例中,第一頂部源極/汲極部件248-1的沉積係進行一段時間,以大致上填充兩個相鄰通道區210C的兩個相鄰頂面部分204T之間的空間。根據設計,第一頂部源極/汲極部件248-1可以是n型或p型。在所描述的實施例中,第一頂部源極/汲極部件248-1是n型源極/汲極部件,並且可以包括摻雜有n型摻質的矽(Si),n型摻質例如是磷(P)或砷(As)。在這些描述的實施例中,第一頂部源極/汲極部件248-1可以包括磷摻雜的矽(Si:P)。在形成第一頂部源極/汲極部件248-1之後,通過例如灰化(ashing)、剝除(stripping)或選擇性蝕刻以去除第一遮罩層250。
參照第1圖和第10圖,方法100包括步驟118,其中在第二源極/汲極凹槽224-2中形成第二頂部源極/汲極部件248-2,而第一源極/汲極凹槽224-1則被第二遮罩層(second masking layer)252覆蓋。在步驟118中,第二遮罩層252沉積在工件200上方,然後被圖案化以覆蓋第一頂部源極/汲極部件248-1,同時暴露出第二源極/汲極凹槽224-2。在一實施例中,第二遮罩層252是一底部抗反射塗層(BARC)層,其可以包括聚碸(polysulfones)、聚脲(polyureas)、聚脲碸(polyurea sulfones)、聚丙烯酸酯(polyacrylates)、聚(乙烯基吡啶)(poly(vinyl pyridine))、或含矽聚合物。在另一實施例中,第二遮罩層252為硬遮罩層,其可為單層或多層。例如,當第二遮罩層252為硬遮罩層時,第二遮罩層252可以包括氧化矽、氮化矽、碳化矽、碳氧化矽、氮氧化矽或碳氧氮化矽。可以使用光學微影製程和蝕刻製程來圖案化第10圖所示的第二遮罩層252。光學微影製程可以包括光阻塗佈(例如,旋轉塗佈)、軟烘烤、遮罩對準、曝光、曝光後烘烤、光阻顯影、沖洗、乾燥(例如,旋轉乾燥以及/或硬烘烤)、其他合適的微影技術、以及/或前述方法之組合。然後,將所得圖案化光阻層做為一蝕刻遮罩,以圖案化第二遮罩層252。
有第二遮罩層252覆蓋第一頂部源極/汲極部件248-1,第二頂部源極/汲極部件248-2係沉積在第二源極/汲極凹槽224-2中。第二頂部源極/汲極部件248-2可以使用磊晶製程形成,例如氣相磊晶(VPE)、超高真空化學氣相沉積(UHV-CVD)、分子束磊晶(MBE)以及/或其他合適的製程。磊晶生長製程可以使用氣態和/或液態前驅物,其與暴露在第二源極/汲極凹槽224-2中的頂面部分204T中的通道層208相互反應。因此,第二頂部源極/汲極部件248-2的磊晶生長可以從頂面部分204T中的通道層208的暴露側壁發生,而不是從頂面部分204T中的內部間隔部件226和分隔層 242的表面發生。如第10圖所示,第二頂部源極/汲極部件248-2的過度生長可以在內部間隔物部件226之上合併。與第一頂部源極/汲極部件248-1不同,第二頂部源極/汲極部件的沉積248-2持續較短的持續時間,使得第二頂部源極/汲極部件248-2可在分隔層242的上方合併。在一些情況下,第二頂部源極/汲極部件248-2可以具有在2 nm至約10 nm之間沿著X方向的厚度。即,第二頂部源極/汲極部件248-2可以沿著Z方向在內部間隔部件226上方合併,但不會增加厚度以沿著X方向在分隔層242上方合併。如第10圖所示,在步驟118中完全形成第二頂部源極/汲極部件248-2之後,第二頂部源極/汲極部件248-2中係保留有一間隙(gap)249,並且在此間隙249中暴露出分隔層242。根據設計,第二頂部源極/汲極部件248-2可以是n型或p型。在所描述的實施例中,類似於第一頂部源極/汲極部件248-1,第二頂部源極/汲極部件248-2是一n型源極/汲極部件,並且可以包括摻雜有n型摻質的矽,n型摻質例如是磷(P)或砷(As)。在這些描述的實施例中,第二頂部源極/汲極部件248-2可以包括磷摻雜的矽(Si:P)。在形成第二頂部源極/汲極部件248-2之後,通過例如灰化(ashing)、剝除(stripping)或選擇性蝕刻以去除第二遮罩層252。
參照第1圖、第11圖和第12圖,方法100包括步驟120,其中在第二頂部源極/汲極部件248-2中的間隙249中係形成一填充層(filler layer)254。步驟120可以包括在工件200上方沉積一填充材料(filler material)253(如第11圖所示),並且回蝕填充材料253以在間隙249中形成填充層254(如第12圖所示)。在一些實施例中,可以使用原子層沉積(ALD)、流動式化學氣相沉積(FCVD)或高密度電漿化學氣相沉積(HDPCVD)來沉積填充材料253。在一實施例中,填充材料253可使用原子層沉積(ALD)。以原子層沉積方式所沉積的填充材料253可以有效的填充間隙249,並且只需很少的回蝕來形成填充層254。如第11圖所示,沉積的填充材料253不僅填充間隙249,而且沉積在第一頂部源極/汲極部件248-1和閘極間隔層220的側壁上。填充材料253可以包括介電材料。在一些實施方式中,填充材料253的成分可以類似於分隔層242的成分。在一些情況下,填充材料253可以包括氧化矽或含有氧化矽的材料,例如四乙氧基矽烷(Tetra Ethyl Ortho Silicate,TEOS)氧化物、未摻雜矽酸鹽玻璃、或是例如矽酸硼磷矽酸鹽玻璃(BPSG)、熔融石英玻璃(FSG)、磷矽玻璃(Phospho-Silicate Glass,PSG)、硼矽玻璃(Boro-Silicate Glass,BSG)之類的摻雜矽氧化物的材料、和/或其他合適的介電材料。在一實施例中,填充材料253可包括氧化矽。在一些實施例中,填充材料253被回蝕刻,直到第一頂部源極/汲極部件248-1和第二頂部源極/汲極部件248-2暴露在第一源極/汲極凹槽224-1和第二源極/汲極凹槽224-2中。在步驟120的操作結束時,形成填充層254,如第12圖所示。填充層254可作為一插塞(plug)以塞住第10圖中所示的間隙249。
參照第1圖和第13圖,方法100包括步驟122,其中在第一頂部源極/汲極部件248-1和第二頂部源極/汲極部件248-2上沉積一接觸蝕刻停止層(contact etch stop layer,CESL)256和層間介電(ILD)層258。接觸蝕刻停止層256可以包括氮化矽、氮氧化矽以及/或本領域已知的其他材料,並且可以通過化學氣相沉積(CVD)、原子層沉積(ALD)、電漿輔助化學氣相沉積(PECVD)製程、以及/或其他合適的沉積製程或氧化製程來形成。在一些實施例中,接觸蝕刻停止層256先順應性的沉積在工件200上,且層間介電(ILD)層258通過旋轉塗佈、流動式化學氣相沉積(FCVD)、化學氣相沉積(CVD)、或其他合適的沉積技術沉積在接觸蝕刻停止層256上。層間介電(ILD)層258可以包括例如四乙氧基矽烷(Tetra Ethyl Ortho Silicate,TEOS)氧化物、未摻雜矽酸鹽玻璃、或是例如矽酸硼磷矽酸鹽玻璃(BPSG)、熔融石英玻璃(FSG)、磷矽玻璃(Phospho-Silicate Glass,PSG)、硼矽玻璃(Boro-Silicate Glass,BSG)之類的摻雜矽氧化物的材料、和/或其他合適的介電材料。在一些實施例中,在形成層間介電(ILD)層258之後,可以對工件200進行退火,以提高層間介電層258的完整性。為了去除多餘的材料並暴露出虛置閘極堆疊214的頂面,可以進行一平坦化製程,例如化學機械研磨(chemical mechanical polishing,CMP)製程。
參照第1圖和第14圖,方法100包括步驟124,其中以一閘極結構(gate structure)260替代虛置閘極堆疊214。步驟124的操作可以包括去除虛置閘極堆疊214、釋出作為通道構件2080的通道層208、形成閘極結構260以環繞通道構件2080,並在閘極結構260的上方形成自對準帽蓋(self-aligned capping,SAC)層267。虛置閘極疊層 214的去除可以包括一個或多個蝕刻製程,此些蝕刻製程係對虛置閘極堆疊214中的材料具有選擇性。例如,可以使用一選擇性濕式蝕刻、選擇性乾式蝕刻、或前述蝕刻製程之組合,以進行虛置閘極堆疊214的去除。在去除虛置閘極堆疊214之後,係暴露出通道區210C中的通道層208和犧牲層206的側壁。之後,選擇性的去除通道區210C中的犧牲層206,以釋出通道層208作為通道構件2080。在此,由於通道構件2080的尺寸是奈米級的,通道構件2080也可以被稱為奈米結構。選擇性的去除犧牲層206可以通過選擇性乾式蝕刻、選擇性濕式蝕刻、或其他的選擇性蝕刻製程來實現。在一些實施例中,選擇性濕式蝕刻包括APM蝕刻(例如,氨水-過氧化氫-水的混合物)。在一些其他實施例中,選擇性去除包括矽鍺(SiGe)的氧化,接著是矽鍺氧化物的去除。例如,可以通過臭氧清潔來提供氧化,然後可通過例如NH 4OH之類的蝕刻劑而去除氧化矽鍺。
隨著通道構件2080被釋出,閘極結構260被沉積以環繞各個通道構件2080,從而形成底部多橋通道(MBC)電晶體300和設置在底部多橋通道(MBC)電晶體300上方的頂部多橋通道(MBC)電晶體400。在一些情況下,閘極結構260可以是一個共同閘極結構(common gate structure)以接合底部多橋通道電晶體300和頂部多橋通道電晶體400的通道構件2080。在一些其他情況下,閘極結構260可以包括一底部閘極部分(bottom gate portion)以與底部多橋通道電晶體300的通道構件2080接合,和一頂部閘極部分(top gate portion)與頂部多橋通道電晶體400的通道構件2080接合。在那些其他的情況下,底部閘極部分係與頂部閘極部分電性隔離。每個閘極結構260包括與通道構件2080交界的一界面層262(interfacial layer)、一閘極介電層(gate dielectric layer)264、一p型電極層(p-type electrode layer)266P和一n型電極層(n-type electrode layer)266N。在一些實施例中,界面層262包括氧化矽,並且可以在一預清洗製程(pre-clean process)中形成。一示例性的預清洗過程可以包括使用RCA SC-1(氨、過氧化氫和水)和/或RCA SC-2(鹽酸、過氧化氫和水)。然後使用原子層沉積(ALD)、化學氣相沉積(CVD)以及/或其他合適的方法在界面層262上方沉積閘極介電層264。閘極介電層264由高介電常數之介電材料所形成。如本文所使用和描述的,高介電常數之介電材料包括具有高介電常數的介電材料,例如大於熱氧化矽的介電常數(~3.9)。閘極介電層264可以包括氧化鉿(hafnium oxide)。或者在其他示例中,閘極介電層264可以包括其他高介電常數之介電質,例如二氧化鈦(TiO 2)、氧化鋯鉿(HfZrO)、氧化鉭(Ta 2O 5)、氧化矽鉿(HfSiO 4)、二氧化鋯(ZrO 2)、氧化矽鋯(ZrSiO 2)、氧化鑭(La 2O 3)、氧化鋁(Al 2O 3)、氧化鋯(ZrO)、氧化釔(Y 2O 3)、鈦酸鍶 (SrTiO 3;STO)、鈦酸鋇 (BaTiO 3;BTO)、鋯鋇氧化物(BaZrO)、鉿鑭鉿氧化物(HfLaO)、鑭矽氧化物(LaSiO)、鋁矽氧化物(AlSiO)、鉿鉭氧化物(HfTaO)、鉿鈦氧化物(HfTiO)、鈦酸鍶鋇((Ba,Sr)TiO 3;BST)、氮化矽(SiN)、氮氧化矽(SiON)、前述之組合、或其他合適的材料。在第14圖所示的一些實施例中,由較薄的犧牲層引起的小的通道和通道之間的間距(small channel-channel spacing)可能導致閘極介電層264完全填滿間距,而選擇性的使某些通道構件失效,以作為將底部多橋通道電晶體300與頂部多橋通道電晶體400隔離開來的一種措施。
在沉積閘極介電層264之後,p型電極層266P和n型電極層266N依序的沉積在通道區210C的上方。p型電極層266P和n型電極層266N可以包括一單層或者是多層結構,例如是具有選定功函數的金屬層(功函數金屬層)、一襯裡層(liner layer)、一潤濕層(wetting layer)、一附著層、一金屬合金、或一金屬矽化物的各種組合,以增強裝置的性能。舉例來說,p型電極層266P可以包括氮化鈦(TiN)、氮化鉭(TaN)、釕(Ru)、鉬(Mo)、鋁(Al)、氮化鎢(WN)、矽化鋯( ZrSi 2)、矽化鉬(MoSi 2)、矽化鉭(TaSi 2)、矽化鎳(NiSi 2)、其他p型功函數材料、或前述之組合。n型電極層266N可以包括鈦(Ti)、鋁(Al)、銀(Ag)、錳(Mn)、鋯(Zr)、鈦鋁(TiAl)、碳化鈦鋁(TiAlC)、碳化鉭(TaC)、碳氮化鉭 (TaCN)、氮化矽鉭 (TaSiN)、鉭鋁 (TaAl)、碳化鉭鋁 (TaAlC)、氮化鈦鋁 (TiAlN)、其他n型功函數材料,或前述之組合。為了在p型電極層266P上方形成n型電極層266N,首先沉積p型電極層266P,之後選擇性的回蝕以暴露出頂面部分204T中的通道構件2080。然後,沉積n型電極層266N以環繞頂面部分204T中的每個通道構件2080。
步驟124處的操作還可包括在閘極結構260上方形成自對準帽蓋(self-aligned capping,SAC)層267。在一些實施例中,自對準帽蓋層267可包括氮化矽(SiN)、碳化矽(SiC)、碳氮化矽(SiCN)、碳氮氧化矽 (SiOCN)、碳氧化矽 (SiOC)、氮化鋯 (ZrN)、氮氧化鋁 (AlON)、碳氮化鉭 (TaCN)、矽化鋯 (ZrSi) 或金屬氧化物。金屬氧化物的示例可包括氧化鑭(La 2O 3)、氧化鋁(Al 2O 3)、氧化鋅(ZnO)、氧化鋅鋁(Zr 2Al 3O 9)、氧化鈦(TiO 2)、氧化鉭(TaO 2)、氧化鋯(ZrO 2)、氧化鉿(HfO 2)、氧化釔(Y 2O 3)。 自對準帽蓋層267可以保護閘極結構260以避免用來蝕刻前側源極/汲極接觸開口(frontside source/drain contact openings)(例如下面將描述的第一前側接觸開口268和第二前側接觸開口270)的蝕刻製程。可以通過使閘極結構凹陷、在凹陷的閘極結構上方沉積一種或多種的介電材料、並且對此一種或多種的介電材料進行化學機械研磨(CMP)製程來形成自對準帽蓋層267。
參照第1圖、第15圖和第16圖,方法100包括步驟126,其中形成第一前側接觸開口(first frontside contact opening)268和第二前側接觸開口(second frontside contact opening)270和272。如第15圖和第16圖所示,第一前側接觸開口268以及第二前側接觸開口270和272的形成可以包括多個步驟。例如,可以先通過選擇性的蝕刻以去除層間介電(ILD)層258。因為層間介電(ILD)層258包括氧化矽,而閘極間隔層220、接觸蝕刻停止層256和自對準帽蓋(SAC)層267由其他介電材料形成,所以可以使用對氧化矽有選擇性的濕式蝕刻製程或乾式蝕刻製程以選擇性的蝕刻層間介電層258。示例性的濕式蝕刻製程可以包括使用稀釋的氫氟酸(diluted hydrofluoric acid,DHF)、緩衝氫氟酸(buffered hydrofluoric acid,BHF)(包括氟化銨和氫氟酸)。示例性的乾式蝕刻製程可以包括使用四氟化碳(CF 4)、六氟化硫(SF 6)或三氟化氮(NF 3)。然後,可以使用非等向性蝕刻製程來破壞接觸蝕刻停止層256,以暴露出第一頂部源極/汲極部件248-1、第二頂部源極/汲極部件248-2以及填充層254。接觸蝕刻停止層256可以是包括使用四氟化碳(CF 4)、六氟化硫(SF 6)、三氟化氮(NF 3)或三氟甲烷(CHF 3)的乾式蝕刻製程。此時,第一前側接觸開口268形成於第一頂部源極/汲極部件248-1的上方,如第15圖所示。之後,選擇性蝕刻填充層254,以暴露出位於第二頂部源極/汲極部件248-2下方的分隔層242。填充層254的蝕刻製程可以是非等向性蝕刻製程,包括使用氧氣(O 2)、含氟氣體(例如CF 4、SF 6、NF 3、CH 2F 2、CHF 3以及/或C 2F 6)、含氯氣體(例如Cl 2、CHCl 3、CCl 4以及/或BCl 3)、含溴氣體(例如HBr以及/或CHBR 3)、含碘氣體、其他合適的氣體、以及/或電漿、以及/或前述之組合。應注意的是,由於填充層254的蝕刻製程對於填充層254是選擇性的,所以對於第一頂部源極/汲極部件248-1和第二頂部源極/汲極部件248-2可以保持基本上未蝕刻或未損壞的狀態。由於填充層254的成分可以類似於分隔層242的成分,填充層254的乾式蝕刻製程可以將開口延伸穿過分隔層242並進入第二底部源極/汲極部件228-2,從而形成第二前側接觸開口272。如第16圖所示,第一前側接觸開口268暴露出第一頂部源極/汲極部件248-1。而第二前側接觸開口272不僅暴露出第二頂部源極/汲極部件248-2的側壁,而且暴露出第二底部源極/汲極部件228-2的頂面。
參照第1圖和第17圖,方法100包括步驟128,其中在第一前側接觸開口268中形成一第一前側接觸件(first frontside contact)276,並且在第二前側接觸開口272中形成一第二前側接觸件(second frontside contact)278。在一些實施例中,在步驟128處的操作可以包括形成矽化物層(silicide layers)和沈積一金屬填充層(metal fill layer)。在一示例性的製程中,在工件200之上,包括在第一前側接觸開口268和第二前側接觸開口272之上,沉積金屬前驅物。此金屬前驅物可以包括鈦(Ti)、鉭(Ta)、鎳(Ni)、鈷(Co)或鎢(W)。然後進行退火製程(anneal process),使金屬前驅物與半導體材料(例如第一頂部源極/汲極部件248-1、第二底部源極/汲極部件228-2和第二頂部源極/汲極部件248-2)之間產生矽化反應(silicidation),從而形成第一矽化物層(first silicide layer)273、第二矽化物層(second silicide layer)274和第三矽化物層(third silicide layer)275。前述矽化物層可以包括鈦矽化物(titanium silicide)、鉭矽化物(tantalum silicide)、鎳矽化物(nickel silicide)、鈷矽化物(cobalt silicide)或鎢矽化物(tungsten silicide)。可以去除沒有變成矽化物的金屬前驅物。在形成前述矽化物層之後,金屬填充層可以包括鎢(W)、釕(Ru)、鈷(Co)、鎳(Ni)或銅(Cu)。在金屬填充層的沉積之後,可以進行化學機械研磨(CMP)製程以去除多餘的材料,並且定義出第一前側接觸件276和第二前側接觸件278。如第17圖所示,第一前側接觸件276通過第一矽化物層273電性耦接至第一頂部源極/汲極部件248-1;第二前側接觸件278通過第二矽化物層274電性耦接至第二頂部源極/汲極部件248-2;並且第二前側接觸件278通過第三矽化物層275電性耦接至第二底部源極/汲極部件228-2。從圖式可以看出,第二前側接觸件278電性耦接底部多橋通道(MBC)電晶體300的第二底部源極/汲極部件228-2,以及電性耦接頂部多橋通道(MBC)電晶體400的第二頂部源極/汲極部件248-2。在此實施例的敘述中,底部多橋通道(MBC)電晶體300是p型,頂部多橋通道(MBC)電晶體400是n型。
參照第1圖、第18圖、第19圖和第20圖,方法100包括步驟130,其中係形成第一背面接觸件(first backside contact)286和第二背面接觸件(second backside contact)288。可以在工件200的背面朝上的情況下進行步驟 130。在一些實施例中,步驟130可以包括減薄基底202,以一背面介電層(backside dielectric layer)280替換基底202(如第18圖所示),形成第一背面接觸開口(first backside contact opening)281和第二背面接觸開口(second backside contact opening)282(如第19圖所示),以及形成第一背面接觸件286和第二背面接觸件288(如第20圖所示)。在一示例性的製程中,基底202的更換從基底202的減薄開始。在一些情況下,可以使用拋光或化學機械拋光研磨來進行基底減薄。在一些實施例中,基底202被減薄直到隔離部件(未示出)自工件200的背面暴露出為止。在基底202被減薄之後,通過選擇性蝕刻製程選擇性地將其去除。一示例性的選擇性濕式蝕刻製程可以包括使用氨(NH3)或合適的濕式蝕刻劑。由於選擇性蝕刻的性質,基底202的去除基本上並不會蝕刻第一底部源極/汲極部件228-1、第二底部源極/汲極部件228-2、閘極結構260和最底部的內部間隔物部件226。
在去除基底202之後,可以通過流動式化學氣相沉積(FCVD)、化學氣相沉積(CVD)、電漿輔助化學氣相沉積(PECVD)、旋轉塗佈、或合適的製程在工件200的背面上方沉積背面介電層280,如第18圖所示。參照第19圖,形成第一背面接觸開口281和第二背面接觸開口282,以分別暴露出第一底部源極/汲極部件228-1和第二底部源極/汲極部件228-2的底面。然後,在第一背面接觸開口281和第二背面接觸開口282中分別形成第一背面接觸件286和第二背面接觸件288。第一背面接觸件286和第二背面接觸件288中的各個接觸件可以包括一阻擋層(barrier layer)283和背面矽化物層(backside silicide layer)284。在形成第一背面接觸件286和第二背面接觸件288的示例性製程中,係使用物理氣相沉積(PVD)或化學氣相沉積(CVD)將金屬層沉積在第一底部源極/汲極部件228-1、第二底部源極/汲極部件228-2、第一背面接觸開口281的側壁和第二背面接觸開口282的側壁之上。然後,將金屬層氮化形成金屬氮化物層(metal nitride layer)。然後,非等向性蝕刻前述的金屬氮化物層,以暴露出第一底部源極/汲極部件228-1和第二底部源極/汲極部件228-2,從而形成阻擋層283。在一些實施例中,阻擋層283可以包括氮化鈦或氮化鉭。然後,在阻擋層283、第一底部源極/汲極部件228-1和第二底部源極/汲極部件228-2上方沉積金屬前驅物。進行退火製程,使金屬前驅物與第一底部源極/汲極部件228-1或與第二底部源極/汲極部件228-2之間發生矽化反應,以形成背面矽化物層284。之後,沉積一金屬填充層於背面矽化物層 284上。在以一化學機械研磨(CMP)製程去除多餘材料之後,係形成第一背面接觸件286和第二背面接觸件288,如第20圖所示。在一些實施例中,背面矽化物層284可以包括鈦矽化物(titanium silicide)、鉭矽化物(tantalum silicide)、鎳矽化物(nickel silicide)、鈷矽化物(cobalt silicide)或鎢矽化物(tungsten silicide)。用來形成前述第一背面接觸件286和第二背面接觸件288的金屬填充層可以包括鎢(W)、釕(Ru)、鈷(Co)、鎳(Ni)或銅(Cu)。
本揭露的實施例提供了許多優點。本揭露提供一種局部的互連結構(local interconnect structure),以將一底部多橋通道(MBC)電晶體的一源極/汲極部件耦接到堆疊在底部多橋通道(MBC)電晶體上方的一頂部多橋通道(MBC)電晶體的一源極/汲極部件。雖然本揭露的局部的互連結構係延伸穿過頂部源極/汲極部件中的間隙(gap),但是此局部互連結構的形成不包括蝕刻穿過該源極/汲極部件。根據本揭露的實施例,是以自對準(self-aligned)的方式形成局部互連結構的開口。
在一個示例性方面,本揭露係關於一種半導體裝置。此半導體裝置包括第一通道構件的堆疊(a stack of first channel members)、直接設置在前述第一通道構件的堆疊上方的第二通道構件的堆疊(a stack of second channel members)、與前述第一通道構件的堆疊接觸的一底部源極/汲極部件(bottom source/drain feature)、設置在前述第一通道構件的堆疊上方的一分隔層(separation layer)、與前述第二通道構件的堆疊接觸並設置在前述分隔層上方的一頂部源極/汲極部件(top source/drain feature)、以及延伸穿過前述頂部源極/汲極部件和前述分隔層而電性耦接至前述底部源極/汲極部件的一前側接觸件(frontside contact)。
在一些實施例中,前述之前側接觸件與前述第二通道構件的堆疊係通過前述頂部源極/汲極部件而相隔開來。在一些實施方式中,半導體裝置還可以包括設置在前述之前側接觸件和底部源極/汲極部件之間的第一矽化物部件(first silicide feature)。在一些情況下,半導體裝置還可以包括設置在前述之前側接觸和前述頂部源極/汲極部件之間的一第二矽化物部件(second silicide feature)。在一些實施方式中,前述第一通道構件的堆疊係設置在一背面介電層(backside dielectric layer)的上方。在一些實施例中,半導體裝置更包括設置在前述背面介電層中的一背面接觸件(backside contact),並且前述背面接觸件設置在前述底部源極/汲極部件下方並與前述底部源極/汲極部件電性接觸。在一些實施方式中,前述底部源極/汲極部件係包括矽鍺和一p型摻質(p-type dopant),而前述頂部源極/汲極部件包括矽和一n型摻雜質(n-type dopant)。在一些實施例中,前述分隔層包括氧化矽。在一些實施例中,半導體裝置更包括圍繞著第一通道構件的堆疊中的每一個通道構件和第二通道構件的堆疊中的每一個通道構件的一閘極結構(gate structure)。
在另一個示例性方面,本揭露係關於一種半導體結構。此半導體結構包括一第一底部源極/汲極部件(first bottom source/drain feature)和一第二底部源極/汲極部件(second bottom source/drain feature)、在前述第一底部源極/汲極部件和前述第二底部源極/汲極部件之間延伸的第一通道構件的堆疊(a stack of first channel members)、位於前述第一底部源極/汲極部件上方的一第一分隔層(first separation layer)、位於前述第二底部源極/汲極部件上方的一第二分隔層(second separation layer)、設置在前述第一分隔層上方的第一頂部源極/汲極部件(first top source/drain feature)、設置在前述第二分隔層上方的第二頂部源極/汲極部件(second top source/drain feature)、在前述第一頂部源極/汲極部件和前述第二頂部源極/汲極部件之間延伸的第二通道構件的堆疊(a stack of second channel members)、設置在前述第一頂部源極/汲極部件上方並與前述第一頂部源極/汲極部件接觸的一第一前側接觸件(first frontside contact)、以及一第二前側接觸件(second frontside contact),此第二前側接觸件係延伸穿過前述第二頂部源極/汲極部件和前述第二分隔層並且與前述第二底部源極/汲極部件接觸。
在一些實施例中,前述第一通道構件的堆疊係設置在一背面介電層(backside dielectric layer)的上方。在一些實施方式中,此半導體結構還可以包括一第一背面接觸件(first backside contact)和一第二背面接觸件(second backside contact),前述第一背面接觸件係位於前述第一底部源極/汲極部件的下方並且與前述第一底部源極/汲極部件接觸,前述第二背面接觸件係位於前述第二底部源極/汲極部件的下方並且與前述第二底部源極/汲極部件接觸。前述第一背面接觸件以及前述第二背面接觸件係設置在前述背面介電層中。在一些實施方式中,前述第二前側接觸件係藉由前述第二頂部源極/汲極部件而與前述第二通道構件的堆疊相互間隔開來。在一些情況下,前述第二底部源極/汲極部件係包括矽鍺和一p型摻質(p-type dopant),而前述第二頂部源極/汲極部件包括矽和一n型摻質(n-type dopant)。在一些情況下,前述第一分隔層和前述第二分隔層包括氧化矽。
在又一個示例性方面,本揭露係關於一種方法。此方法包括提出一工件(workpiece),此工件包括一基底(substrate)和位於前述基底上方的一堆疊(stack),前述堆疊包括由多個犧牲層(sacrificial layers)和多個通道層(channel layers)交錯設置,前述多個通道層包括多個底部通道層(bottom channel layer)和設置在多個底部通道層之上的多個頂部通道層(top channel layers)。將前述堆疊和前述基底的一部分圖案化成一鰭狀結構(fin-shaped structure),前述鰭狀結構包括一源極/汲極區(source/drain region),使前述源極/汲極區下凹(recessing)以形成源極/汲極凹槽,暴露出前述多個通道層的側壁,在源極/汲極凹槽中沉積一底部源極/汲極部件(bottom source/drain feature)以與前述多個底部通道層接觸,在前述底部源極/汲極部件上方沉積一分隔層(separation layer),沉積一頂部源極/汲極部件(top source/drain feature)於前述分隔層的上方並且與前述多個頂部通道層接觸,此頂部源極/汲極部件係包括一間隙(gap),此間隙暴露出前述分隔層,在前述間隙中形成一填充層(filler layer),形成穿過前述填充層和前述分隔層的一接觸開口(contact opening)以暴露出前述底部源極/汲極部件,並在前述接觸開口中形成一接觸件(contact feature)。在一些實施例中,前述填充層的形成係包括使用原子層沉積(ALD)來沉積一填充材料(filler material),並且在前述填充材料的沉積之後,回蝕(etching back)沉積的此填充材料。在一些情況下,前述填充材料包括氧化矽。在一些情況下,前述底部源極/汲極部件包括矽鍺和一p型摻質(p-type dopant),而前述頂部源極/汲極部件包括矽和一n型摻質(n-type dopant)。在一些實施例中,前述接觸開口的形成包括使用一選擇性蝕刻製程(selective etch process)來蝕刻前述填充層,而基本上不蝕刻前述頂部源極/汲極部件。
以上概述數個實施例之部件,以便在本發明所屬技術領域中具有通常知識者可以更加理解本發明實施例的觀點。在本發明所屬技術領域中具有通常知識者應理解,他們能輕易地以本發明實施例為基礎,設計或修改其他製程和結構,以達到與在此介紹的實施例相同之目的及/或優勢。在本發明所屬技術領域中具有通常知識者也應理解,此類等效的結構並無悖離本發明的精神與範圍,且他們能在不違背本發明之精神和範圍下,做各式各樣的改變、取代和替換。因此,本發明之保護範圍當視後附之申請專利範圍所界定為準。
100:方法 102,104,106,108,110,112,114,116,118,120,122,124, 126,128,130:步驟 200:工件(半導體裝置) 202:基底 204:堆疊 204B:底面部分 204M:中間部分 204T:頂面部分 206:犧牲層 208:通道層 2080:通道構件 210:鰭狀結構 210C:通道區 210SD:源極/汲極區 214:虛置閘極堆疊 216:虛置介電層 218:虛置閘極電極層 220:閘極間隔層 224-1:第一源極/汲極凹槽 224-2:第二源極/汲極凹槽 226:內部間隔物部件 228:底部源極/汲極部件 228-1:第一底部源極/汲極部件 228-2:第二底部源極/汲極部件 242:分隔層(隔離層) 248-1:第一頂部源極/汲極部件 248-2:第二頂部源極/汲極部件 249:間隙 250:第一遮罩層 252:第二遮罩層 253:填充材料 254:填充層 256:接觸蝕刻停止層 258:層間介電層 260:閘極結構 262:界面層 264:閘極介電層 266P:p型電極層 266N:n型電極層 267:自對準帽蓋層 268:第一前側接觸開口 270,272:第二前側接觸開口 273:第一矽化物層 274:第二矽化物層 275:第三矽化物層 276:第一前側接觸件 278:第二前側接觸件 280:背面介電層 281:第一背面接觸開口 282:第二背面接觸開口 283:阻擋層 284:背面矽化物層 286:第一背面接觸件 288:第二背面接觸件 300:底部多橋通道(MBC)電晶體 400:頂部多橋通道(MBC)電晶體
藉由以下的詳細描述配合所附圖式,可以更加理解本發明實施例的內容。需強調的是,根據產業上的標準慣例,許多部件(feature)並未按照比例繪製。事實上,為了能清楚地討論,各種部件的尺寸可能被任意地增加或減少。 第1圖是說明根據本揭露的各個方面的用於形成具有一垂直的互補式場效電晶體(C-FET)結構的一半導體裝置的方法的流程圖。 第2圖至第20圖示出了根據本揭露的一些實施例,一工件(workpiece)在處於如第1圖之方法提出的各種製造階段的局部剖面示意圖。
200:工件(半導體裝置)
202:基底
2080:通道構件
210C:通道區
210SD:源極/汲極區
220:閘極間隔層
226:內部間隔物部件
228:底部源極/汲極部件
228-1:第一底部源極/汲極部件
228-2:第二底部源極/汲極部件
248-1:第一頂部源極/汲極部件
248-2:第二頂部源極/汲極部件
256:接觸蝕刻停止層
260:閘極結構
262:界面層
264:閘極介電層
266P:p型電極層
266N:n型電極層
273:第一矽化物層
274:第二矽化物層
275:第三矽化物層
276:第一前側接觸件
278:第二前側接觸件
300:底部多橋通道(MBC)電晶體
400:頂部多橋通道(MBC)電晶體

Claims (1)

  1. 一種半導體裝置,包括: 一第一通道構件的堆疊(a stack of first channel members); 一第二通道構件的堆疊(a stack of second channel members),該第二通道構件的堆疊直接設置在該第一通道構件的堆疊的上方; 一底部源極/汲極部件(bottom source/drain feature),該底部源極/汲極部件係與該第一通道構件的堆疊接觸; 一分隔層(separation layer),該分隔層設置在該底部源極/汲極部件的上方; 一頂部源極/汲極部件(top source/drain feature),該頂部源極/汲極部件與該第二通道構件的堆疊接觸並設置在該分隔層的上方;以及 一前側接觸件(frontside contact),該前側接觸件延伸穿過該頂部源極/汲極部件和該分隔層而電性耦接至該底部源極/汲極部件。
TW110132261A 2021-01-04 2021-08-31 半導體裝置 TW202228297A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/140,532 US11699760B2 (en) 2021-01-04 2021-01-04 Contact structure for stacked multi-gate device
US17/140,532 2021-01-04

Publications (1)

Publication Number Publication Date
TW202228297A true TW202228297A (zh) 2022-07-16

Family

ID=81549947

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110132261A TW202228297A (zh) 2021-01-04 2021-08-31 半導體裝置

Country Status (3)

Country Link
US (2) US11699760B2 (zh)
CN (1) CN114512442A (zh)
TW (1) TW202228297A (zh)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11699760B2 (en) * 2021-01-04 2023-07-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure for stacked multi-gate device
US20230343823A1 (en) * 2022-04-26 2023-10-26 Samsung Electronics Co., Ltd. 3d-stacked semiconductor device including source/drain inner spacers formed using channel isolation structure including thin silicon layer
US20230352528A1 (en) * 2022-04-27 2023-11-02 Samsung Electronics Co., Ltd. 3d-stacked semiconductor device having different channel and gate dimensions across lower stack and upper stack
US20230411397A1 (en) * 2022-06-16 2023-12-21 International Business Machines Corporation Method and structure of forming sidewall contact for stacked fet
US20240096951A1 (en) * 2022-09-16 2024-03-21 International Business Machines Corporation Stacked fets with contact placeholder structures
KR20240044064A (ko) * 2022-09-28 2024-04-04 삼성전자주식회사 3차원 반도체 소자 및 그의 제조 방법
US20240113162A1 (en) * 2022-09-29 2024-04-04 International Business Machines Corporation Monolithic stacked field effect transistor (sfet) with dual middle dielectric isolation (mdi) separation
KR20240140560A (ko) * 2023-03-17 2024-09-24 삼성전자주식회사 반도체 장치
KR20240142200A (ko) * 2023-03-21 2024-09-30 삼성전자주식회사 반도체 장치

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10199502B2 (en) 2014-08-15 2019-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Structure of S/D contact and method of making same
US9818872B2 (en) 2015-06-30 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US10032627B2 (en) 2015-11-16 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming stacked nanowire transistors
US9899387B2 (en) 2015-11-16 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US9754840B2 (en) 2015-11-16 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Horizontal gate-all-around device having wrapped-around source and drain
US9887269B2 (en) 2015-11-30 2018-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US9899269B2 (en) 2015-12-30 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd Multi-gate device and method of fabrication thereof
US9899398B1 (en) 2016-07-26 2018-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Non-volatile memory device having nanocrystal floating gate and method of fabricating same
US10290546B2 (en) 2016-11-29 2019-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Threshold voltage adjustment for a gate-all-around semiconductor structure
US10475902B2 (en) 2017-05-26 2019-11-12 Taiwan Semiconductor Manufacturing Co. Ltd. Spacers for nanowire-based integrated circuit device and method of fabricating same
US10256158B1 (en) * 2017-11-22 2019-04-09 Globalfoundries Inc. Insulated epitaxial structures in nanosheet complementary field effect transistors
US10192867B1 (en) * 2018-02-05 2019-01-29 Globalfoundries Inc. Complementary FETs with wrap around contacts and method of forming same
US11367722B2 (en) * 2018-09-21 2022-06-21 Intel Corporation Stacked nanowire transistor structure with different channel geometries for stress
US11362091B2 (en) * 2019-06-26 2022-06-14 Tokyo Electron Limited Multiple nano layer transistor layers with different transistor architectures for improved circuit layout and performance
US20210005604A1 (en) * 2019-07-03 2021-01-07 Qualcomm Incorporated Nanosheet Transistor Stack
US11164792B2 (en) * 2020-01-08 2021-11-02 International Business Machines Corporation Complementary field-effect transistors
US11177258B2 (en) * 2020-02-22 2021-11-16 International Business Machines Corporation Stacked nanosheet CFET with gate all around structure
US11069684B1 (en) * 2020-03-04 2021-07-20 International Business Machines Corporation Stacked field effect transistors with reduced coupling effect
US20220068921A1 (en) * 2020-09-01 2022-03-03 Tokyo Electron Limited Power wall integration for multiple stacked devices
US11315923B2 (en) * 2020-09-17 2022-04-26 International Business Machines Corporation Stacked nanosheet inverter
US11699760B2 (en) * 2021-01-04 2023-07-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure for stacked multi-gate device

Also Published As

Publication number Publication date
US11699760B2 (en) 2023-07-11
US20220216340A1 (en) 2022-07-07
US20240006536A1 (en) 2024-01-04
CN114512442A (zh) 2022-05-17
US12107169B2 (en) 2024-10-01

Similar Documents

Publication Publication Date Title
TWI791855B (zh) 半導體裝置及其製造方法和多閘極半導體裝置
US12107169B2 (en) Contact structure for stacked multi-gate device
US20210376093A1 (en) Self-Aligned Backside Source Contact Structure
TWI792456B (zh) 半導體裝置及其形成方法
US11670723B2 (en) Silicon channel tempering
US11527534B2 (en) Gap-insulated semiconductor device
TWI776442B (zh) 半導體裝置及半導體結構
TWI792307B (zh) 半導體結構與其裝置及半導體裝置的形成方法
TWI793675B (zh) 半導體裝置及其形成方法
TWI835314B (zh) 半導體裝置及其製造方法
TW202217977A (zh) 半導體裝置及其形成方法
TWI804188B (zh) 半導體裝置及其製造方法
US20240243178A1 (en) Self-aligned backside source contact structure
TWI835324B (zh) 半導體結構及其形成方法
TWI829141B (zh) 半導體結構及其製造方法
TWI854221B (zh) 半導體結構及其形成方法
US20230054243A1 (en) Multi-gate transistors and methods of forming the same
TW202305882A (zh) 半導體結構之形成方法
TW202221928A (zh) 半導體元件
TW202343842A (zh) 半導體結構及其形成方法
TW202407884A (zh) 半導體結構及其製造方法
CN118412352A (zh) 半导体器件、半导体结构及其形成方法