TW202226374A - 蝕刻方法及半導體元件的製造方法 - Google Patents

蝕刻方法及半導體元件的製造方法 Download PDF

Info

Publication number
TW202226374A
TW202226374A TW110138804A TW110138804A TW202226374A TW 202226374 A TW202226374 A TW 202226374A TW 110138804 A TW110138804 A TW 110138804A TW 110138804 A TW110138804 A TW 110138804A TW 202226374 A TW202226374 A TW 202226374A
Authority
TW
Taiwan
Prior art keywords
etching
etched
gas
chamber
silicon nitride
Prior art date
Application number
TW110138804A
Other languages
English (en)
Other versions
TWI783736B (zh
Inventor
岩崎淳平
谷本陽祐
Kazuma Matsui
Original Assignee
日商昭和電工股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商昭和電工股份有限公司 filed Critical 日商昭和電工股份有限公司
Publication of TW202226374A publication Critical patent/TW202226374A/zh
Application granted granted Critical
Publication of TWI783736B publication Critical patent/TWI783736B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3346Selectivity

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Weting (AREA)

Abstract

本發明可抑制對蝕刻裝置所造成的損傷,且比起非蝕刻對象物可選擇性地蝕刻蝕刻對象物。蝕刻方法具備蝕刻步驟,其係將含有亞硝醯氟之蝕刻氣體電漿化後,使其接觸具有蝕刻對象物與非蝕刻對象物的被蝕刻構件(9),比起非蝕刻對象物可選擇性地蝕刻蝕刻對象物。蝕刻步驟係於收容被蝕刻構件(9)之腔室(7)內進行,以設於腔室(7)外部的遙控電漿產生裝置(16)作為電漿產生源。蝕刻氣體中之亞硝醯氟的濃度為0.3體積%以上,蝕刻步驟之溫度條件為0℃以上250℃以下、壓力條件為100Pa以上3kPa以下,且蝕刻對象物具有氮化矽。

Description

蝕刻方法及半導體元件的製造方法
本發明係有關於一種蝕刻方法及半導體元件的製造方法。
氮化矽係使用於快閃記憶體等半導體裝置之材料,而由氧化矽等其他矽材料進行蝕刻時,有蝕刻選擇性(比起其他矽材料可選擇性地蝕刻氮化矽之性能)及蝕刻速度偏低的問題。 作為解決此等問題之蝕刻方法,有乾蝕刻;其中,使用亞硝醯氟(NOF)作為蝕刻氣體之乾蝕刻,其由氧化矽蝕刻氮化矽時的蝕刻選擇性較高。
例如專利文獻1中揭示一種方法,其係藉由使用亞硝醯氟作為蝕刻氣體之乾蝕刻,來製造3D-NAND型裝置用途之半導體元件。於此製造方法中,係藉由使用亞硝醯氟作為蝕刻氣體之乾蝕刻,對氮化矽膜與氧化矽膜交互層合而成的層合物之氮化矽層進行水平等向性蝕刻。 [先前技術文獻] [專利文獻]
[專利文獻1]美國專利第10529581號說明書
[發明所欲解決之課題]
然而,專利文獻1所揭示之乾蝕刻,與溫度250~400℃、壓力100~400Torr(13330~53330Pa)的一般蝕刻條件相比需於更高溫高壓環境下進行蝕刻,而有損傷蝕刻裝置之虞。 本發明係以提供一種抑制對蝕刻裝置所造成的損傷,且比起非蝕刻對象物可選擇性地蝕刻具有氮化矽之蝕刻對象物的蝕刻方法及半導體元件的製造方法為課題。 [解決課題之手段]
為解決前述課題,本發明一樣態係如以下[1]~[8]所示。 [1] 一種蝕刻方法, 具備蝕刻步驟,其係將含有亞硝醯氟之蝕刻氣體電漿化後,使其接觸具有屬藉由前述蝕刻氣體所進行之蝕刻的對象之蝕刻對象物與非屬藉由前述蝕刻氣體所進行之蝕刻的對象之非蝕刻對象物的被蝕刻構件,比起前述非蝕刻對象物可選擇性地蝕刻前述蝕刻對象物, 前述蝕刻步驟係於收容前述被蝕刻構件之腔室內進行,以設於前述腔室外部的遙控電漿產生裝置作為電漿產生源, 前述蝕刻氣體中之前述亞硝醯氟的濃度為0.3體積%以上,前述蝕刻步驟之溫度條件為0℃以上250℃以下,前述蝕刻步驟之壓力條件為100Pa以上3kPa以下, 且前述蝕刻對象物具有氮化矽。
[2] 如[1]之蝕刻方法,其中前述蝕刻步驟之前述遙控電漿產生裝置的源功率為100W以上1kW以下。 [3] 如[1]或[2]之蝕刻方法,其中前述非蝕刻對象物具有氧化矽。 [4] 如[1]~[3]中任一項之蝕刻方法,其中前述蝕刻氣體為含有前述亞硝醯氟與稀釋氣體的混合氣體,前述稀釋氣體係選自氮氣、氦、氬、氖、氪及氙的至少一種,前述蝕刻氣體中之前述稀釋氣體的濃度為99.7體積%以下。
[5] 如[1]~[4]中任一項之蝕刻方法,其中前述蝕刻步驟之溫度條件為5℃以上200℃以下。 [6] 如[1]~[5]中任一項之蝕刻方法,其中前述蝕刻步驟之壓力條件為200Pa以上2kPa以下。 [7] 如[1]~[6]中任一項之蝕刻方法,其中前述蝕刻步驟之前述遙控電漿產生裝置的源功率為200W以上900W以下。
[8] 一種半導體元件的製造方法,其係使用如[1]~[7]中任一項之蝕刻方法來製造半導體元件的半導體元件的製造方法,其中, 前述被蝕刻構件係具有前述蝕刻對象物及前述非蝕刻對象物的半導體基板, 且具備由前述半導體基板上藉由前述蝕刻去除至少一部分的前述蝕刻對象物之處理步驟。 [發明之效果]
根據本發明,可抑制對蝕刻裝置所造成的損傷,且比起非蝕刻對象物可選擇性地蝕刻具有氮化矽之蝕刻對象物。
[實施發明之形態]
以下就本發明一實施形態加以說明。此外,本實施形態係表示本發明之一例者,本發明非限定於本實施形態。又,可對本實施形態施加種種變更或改良,且施加此種變更或改良之形態亦可包含於本發明。
本實施形態之蝕刻方法係具備蝕刻步驟,其係將含有亞硝醯氟之蝕刻氣體電漿化後,使其接觸具有屬藉由蝕刻氣體所進行之蝕刻的對象之蝕刻對象物與非屬藉由蝕刻氣體所進行之蝕刻的對象之非蝕刻對象物的被蝕刻構件,比起非蝕刻對象物可選擇性地蝕刻前述蝕刻對象物。
此蝕刻步驟係於收容被蝕刻構件之腔室內進行。又,將蝕刻氣體電漿化之電漿產生源可採用設於腔室外部的遙控電漿產生裝置。 蝕刻氣體中之亞硝醯氟的濃度為0.3體積%以上。又,蝕刻步驟之溫度條件為0℃以上250℃以下,蝕刻步驟之壓力條件為100Pa以上3kPa以下。再者,蝕刻對象物係具有氮化矽。蝕刻步驟之遙控電漿產生裝置的源功率較佳為100W以上1kW以下。
蝕刻氣體經電漿化後使其接觸被蝕刻構件,則蝕刻氣體中之亞硝醯氟與蝕刻對象物中之氮化矽會反應,而進行蝕刻對象物的蝕刻。相對於此,由於非蝕刻對象物幾乎不與亞硝醯氟反應,而幾乎不會進行非蝕刻對象物的蝕刻。從而,根據本實施形態之蝕刻方法,比起非蝕刻對象物可選擇性地蝕刻蝕刻對象物。又,根據本實施形態之蝕刻方法,能以高蝕刻速度蝕刻氮化矽。
進而,透過使用遙控電漿產生裝置將蝕刻氣體電漿化,並於如上述之低溫低壓環境下進行蝕刻,可抑制對蝕刻裝置(例如腔室)所造成的損傷,且比起非蝕刻對象物可選擇性地蝕刻具有氮化矽之蝕刻對象物。 此外,本發明中所稱蝕刻,係指去除部分或全部被蝕刻構件所具有的蝕刻對象物而將被蝕刻構件加工成既定形狀(例如三維形狀)(例如將被蝕刻構件所具之由氮化矽構成的膜狀蝕刻對象物加工成既定的膜厚),同時意指將由蝕刻對象物所構成的殘留物、堆積物由被蝕刻構件去除而洗淨等。
本實施形態之蝕刻方法可利用於半導體元件的製造。亦即,本實施形態之半導體元件的製造方法係使用本實施形態之蝕刻方法來製造半導體元件的半導體元件的製造方法,其中,被蝕刻構件係具有蝕刻對象物及非蝕刻對象物的半導體基板,且具備由半導體基板上藉由蝕刻去除至少一部分的蝕刻對象物之處理步驟。 例如於本實施形態之蝕刻方法中,氮化矽(例如Si 3N 4)比氧化矽(例如SiO 2)可更迅速地進行蝕刻。利用此特性,可針對3D-NAND型快閃記憶體、邏輯裝置等半導體元件的製造使用本實施形態之蝕刻方法。
例如藉由對在氧化矽膜與氮化矽膜交互層合而成的層合物形成沿著層合方向延伸且貫穿層合物的貫通孔者(參照圖3)施用本實施形態之蝕刻方法,可選擇性且等向性地蝕刻朝貫通孔內面露出的氮化矽膜,而能夠形成氧化矽膜之端部朝貫通孔內突出的構造。形成具有此種構造之構造體的製程,由於可將該構造體作為半導體元件之構造體而利用,故可利用於3D-NAND型快閃記憶體、邏輯裝置等半導體元件的製造。
藉由蝕刻形成上述構造之製程,向來常使用含有磷酸等的藥液來進行;而比起使用藥液之蝕刻,使用蝕刻氣體之蝕刻其微細加工性更為優良。因此,本實施形態之蝕刻方法可望有益於半導體元件的進一步微細化或高積體化。 又,同樣地將非蝕刻對象物本身作為半導體元件之構造體而利用時,非蝕刻對象物可使用實質上不與亞硝醯氟反應的材料或與亞硝醯氟之反應極為緩慢的材料。具體而言,可使用例如氧化矽(例如SiO 2)。
再者,本實施形態之蝕刻方法係如前述,亦可利用於洗淨。例如,可於腔室內進行將由含有氮化矽之材料所構成的膜形成於基板上之步驟,或將含有形成於基板上的氮化矽之材料的膜進行蝕刻之步驟後,將附著於腔室內面之含有氮化矽的附著物藉由本實施形態之蝕刻方法去除而洗淨。此外,於此種洗淨中,腔室係相當於本發明之構成要件的被蝕刻構件,附著物則相當於本發明之構成要件的蝕刻對象物。
以下就本實施形態之蝕刻方法及半導體元件的製造方法進一步詳細加以說明。 [電漿產生源] 本實施形態之蝕刻可藉由電漿蝕刻來達成。電漿蝕刻中之電漿產生源的種類不特別限定,只要使用市售裝置。可舉出例如感應耦合電漿(ICP:Inductively Coupled Plasma)、電容耦合電漿(CCP:Capacitively Coupled Plasma)等高頻放電電漿或電子迴旋諧振電漿(ECRP:Electron Cyclotron Resonance Plasma)等微波放電電漿。
又,電漿係在分作電漿產生室與設置被蝕刻構件之腔室的情況下於電漿產生室產生(即使用遙控電漿)。藉由使用遙控電漿之蝕刻,能以高選擇性蝕刻具有氮化矽之蝕刻對象物。此外,透過由電漿產生源於腔室外產生之蝕刻氣體的電漿於腔室內進行蝕刻之蝕刻方法亦有記載為「遙控電漿蝕刻」。 蝕刻步驟中之遙控電漿產生裝置的源功率較佳為100W以上1kW以下,更佳為200W以上900W以下,再更佳為300W以上800W以下。
[蝕刻氣體] 蝕刻氣體係含有亞硝醯氟之氣體,可為僅由亞硝醯氟構成之氣體,亦可為含有亞硝醯氟與其他種類之氣體的混合氣體。蝕刻氣體中之亞硝醯氟的濃度需為0.3體積%以上;較佳為0.5體積%以上50體積%以下,更佳為2體積%以上30體積%以下。
若將蝕刻氣體中之亞硝醯氟的濃度定為上述範圍內進行電漿蝕刻,比起非蝕刻對象物可選擇性地蝕刻具有氮化矽之蝕刻對象物。例如蝕刻對象物的蝕刻速度對非蝕刻對象物的蝕刻速度之比的蝕刻選擇比易達15以上。蝕刻選擇比較佳為15以上,更佳為17以上,再更佳為20以上。
與亞硝醯氟氣體共同構成蝕刻氣體的其他氣體可使用惰性稀釋氣體。亦即,蝕刻氣體可採含有亞硝醯氟與稀釋氣體的混合氣體。 稀釋氣體可使用選自氮氣(N 2)、氦(He)、氖(Ne)、氬(Ar)、氪(Kr)及氙(Xe)的至少一種。 蝕刻氣體中之稀釋氣體的濃度需為99.7體積%以下;較佳為50體積%以上99.5體積%以下,更佳為70體積%以上98體積%以下。
[蝕刻步驟之壓力條件] 本實施形態之蝕刻方法中的蝕刻步驟之壓力條件需為100Pa以上3kPa以下;宜採200Pa以上2kPa以下,更佳採300Pa以上1kPa以下。壓力條件若為上述範圍內,則容易穩定產生電漿。 例如可於腔室內配置被蝕刻構件,邊使蝕刻氣體流通於腔室中邊進行蝕刻,而蝕刻氣體流通時之腔室內的壓力係採100Pa以上3kPa以下。蝕刻氣體的流量只要依據腔室的大小或將腔室內減壓之排氣設備的能力,以使腔室內的壓力維持一定的方式適宜設定即可。
[蝕刻步驟之溫度條件] 本實施形態之蝕刻方法中的蝕刻步驟之溫度條件需為0℃以上250℃以下;較佳採5℃以上200℃以下,更佳採10℃以上150℃以下。 溫度條件若為上述範圍內,亞硝醯氟能以氣態存在,且容易進一步提高氮化矽的蝕刻速度。此處所稱溫度條件之溫度,係指被蝕刻構件的溫度;惟亦可使用設置於蝕刻裝置之腔室內之支持被蝕刻構件之載台的溫度。
亞硝醯氟在250℃以下的溫度幾乎不會與氧化矽等非蝕刻對象物反應。因此,若根據本實施形態之蝕刻方法蝕刻被蝕刻構件,可幾乎不蝕刻非蝕刻對象物地選擇性地蝕刻具有氮化矽之蝕刻對象物。從而,本實施形態之蝕刻方法可利用於利用經圖型化之非蝕刻對象物作為阻劑或遮罩,將具有氮化矽之蝕刻對象物加工成既定形狀之方法等。 再者,蝕刻對象物及非蝕刻對象物的溫度若為150℃以下,則容易提高蝕刻選擇性。例如具有氮化矽之蝕刻對象物的蝕刻速度對非蝕刻對象物的蝕刻速度之比的蝕刻選擇比易達15以上。
[被蝕刻構件] 擬藉由本實施形態之蝕刻方法進行蝕刻之被蝕刻構件係具有蝕刻對象物與非蝕刻對象物;可為具有以蝕刻對象物形成之部分與以非蝕刻對象物形成之部分的構件,亦可為蝕刻對象物與非蝕刻對象物的混合物所形成的構件。又,被蝕刻構件亦可具有蝕刻對象物、非蝕刻對象物以外者。 又,被蝕刻構件的形狀不特別限定,可為例如板狀、箔狀、膜狀、粉末狀、塊狀。被蝕刻構件之實例可舉出前述之半導體基板。
[蝕刻對象物] 蝕刻對象物係具有氮化矽,可為僅以氮化矽形成者,可為具有僅以氮化矽形成之部分與以其他材質形成之部分者,亦可為氮化矽與其他材質的混合物所形成者。 氮化矽係指以任意比例具有矽及氮的化合物,其實例可舉出Si 3N 4。氮化矽的純度不特別限定,較佳為30質量%以上,更佳為60質量%以上,再更佳為90質量%以上。 又,蝕刻對象物的形狀不特別限定,可為例如板狀、箔狀、膜狀、粉末狀、塊狀。
[非蝕刻對象物] 非蝕刻對象物係實質上不與亞硝醯氟反應,或與亞硝醯氟之反應極為緩慢,因此藉由本實施形態之蝕刻方法進行蝕刻,蝕刻也幾乎不會進行。非蝕刻對象物若具有如上述之性質則不特別限定,可舉出例如氧化矽。
又,非蝕刻對象物可作為供抑制藉由蝕刻氣體所進行之蝕刻對象物的蝕刻之阻劑或遮罩使用。從而,本實施形態之蝕刻方法由於可利用於利用經圖型化之非蝕刻對象物作為阻劑或遮罩,將蝕刻對象物加工成既定形狀(例如將被蝕刻構件所具有的膜狀蝕刻對象物加工成既定的膜厚)等方法,而能夠適用於半導體元件的製造。又,由於幾乎不會蝕刻非蝕刻對象物,可抑制半導體元件當中原本不應被蝕刻的部分受蝕刻的情形,而能夠防止因蝕刻而喪失半導體元件之特性的情形。
此外,圖型化後所殘留的非蝕刻對象物可藉由半導體元件製造步驟中一般所採用的去除方法去除。可舉出例如採氧氣電漿或臭氧等氧化性氣體之灰化、使用APM(氨水與過氧化氫水的混合液)、SPM(硫酸與過氧化氫水的混合液)或有機溶劑等藥液的溶解去除。
其次,邊參照圖1邊說明可實施本實施形態之蝕刻方法之蝕刻裝置之構成的一例與使用該蝕刻裝置之蝕刻方法的一例。圖1之蝕刻裝置為進行遙控電漿蝕刻的遙控電漿蝕刻裝置。首先就圖1之蝕刻裝置加以說明。 圖1之蝕刻裝置係具備:腔室7,係於其內部進行蝕刻;遙控電漿產生裝置16,其為電漿產生源;載台8,係於腔室7的內部支持待蝕刻之被蝕刻構件9;溫度計11,係供測定被蝕刻構件9的溫度;排氣用配管10,係供排出腔室7內部的氣體;真空泵12,係設於排氣用配管10上而將腔室7的內部減壓;及壓力計13,係供測定腔室7內部的壓力。
又,圖1之蝕刻裝置係具備對腔室7的內部供給蝕刻氣體之蝕刻氣體供給部。此蝕刻氣體供給部係具有:亞硝醯氟氣體供給部1,係供給亞硝醯氟之氣體;稀有氣體供給部2,係供給稀有氣體;亞硝醯氟氣體供給用配管5,係連接亞硝醯氟氣體供給部1與腔室7;及稀有氣體供給用配管6,係將稀有氣體供給部2連接於亞硝醯氟氣體供給用配管5的中間部。 此外,圖1之蝕刻裝置係於腔室7的外部具有遙控電漿產生裝置16。詳言之,圖1之蝕刻裝置係於亞硝醯氟氣體供給用配管5中與稀有氣體供給用配管6之連接部與腔室7之間的位置具有遙控電漿產生裝置16。
再者,亞硝醯氟氣體供給用配管5係設有:亞硝醯氟氣體壓力控制裝置14,係控制亞硝醯氟之氣體的壓力;及亞硝醯氟氣體流量控制裝置3,係控制亞硝醯氟之氣體的流量。甚而,稀有氣體供給用配管6係設有:稀有氣體壓力控制裝置15,係控制稀有氣體的壓力;及稀有氣體流量控制裝置4,係控制稀有氣體的流量。 而且,將作為蝕刻氣體之亞硝醯氟之氣體供給至腔室7時,係由亞硝醯氟氣體供給部1向亞硝醯氟氣體供給用配管5送出亞硝醯氟之氣體,而經由亞硝醯氟氣體供給用配管5將亞硝醯氟之氣體供給至遙控電漿產生裝置16。
又,供給作為蝕刻氣體之亞硝醯氟之氣體及稀有氣體的混合氣體時,係由亞硝醯氟氣體供給部1向亞硝醯氟氣體供給用配管5送出亞硝醯氟之氣體,同時由稀有氣體供給部2向亞硝醯氟氣體供給用配管5經由稀有氣體供給用配管6送出稀有氣體,而經由亞硝醯氟氣體供給用配管5將混合氣體供給至遙控電漿產生裝置16。
而且,亞硝醯氟之氣體或混合氣體係於遙控電漿產生裝置16中經電漿化,供給至腔室7的內部。此外,遙控電漿產生裝置16與腔室7可直接連結,亦能以配管連接。 亞硝醯氟氣體供給部1及稀有氣體供給部2的構成不特別限定,可為例如氣瓶或鋼瓶等。又,亞硝醯氟氣體流量控制裝置3及稀有氣體流量控制裝置4可利用例如質流控制器或流量計等。
將蝕刻氣體向腔室7供給時,較佳為一邊將蝕刻氣體的壓力(即圖1中的亞硝醯氟氣體壓力控制裝置14之值)保持於既定值一邊供給。亦即,蝕刻氣體的供給壓力較佳為1Pa以上0.2MPa以下,更佳為10Pa以上0.1MPa以下,再更佳為50Pa以上50kPa以下。蝕刻氣體的供給壓力若為上述範圍內,可順利進行蝕刻氣體對腔室7的供給,同時對圖1之蝕刻裝置所具零件(例如前述各種裝置或前述配管)的負擔較小。
又,供給至腔室7內之蝕刻氣體的壓力,基於均勻地蝕刻被蝕刻構件9的表面之觀點,較佳為1Pa以上80kPa以下,更佳為10Pa以上50kPa以下,再更佳為100Pa以上20kPa以下。腔室7內的蝕刻氣體的壓力若為上述範圍內,可獲得充分的蝕刻速度,且容易提高蝕刻選擇比。
供給蝕刻氣體以前之腔室7內的壓力,只要為蝕刻氣體的供給壓力以下,或低於蝕刻氣體的供給壓力則不特別限定,例如較佳為10 -5Pa以上且未達10kPa,更佳為1Pa以上2kPa以下。 蝕刻氣體的供給壓力與供給蝕刻氣體以前之腔室7內的壓力的差壓較佳為0.5MPa以下,更佳為0.3MPa以下,再更佳為0.1MPa以下。差壓若為上述範圍內,易順利進行蝕刻氣體對腔室7的供給。
向腔室7供給蝕刻氣體時,較佳為一邊將蝕刻氣體的溫度保持於既定值一邊供給。亦即,蝕刻氣體的供給溫度較佳為0℃以上150℃以下。 進行蝕刻時之被蝕刻構件9的溫度需定為0℃以上250℃以下;較佳定為5℃以上200℃以下,更佳定為10℃以上150℃以下。若為此溫度範圍內,易順利進行被蝕刻構件9所具蝕刻對象物(尤為氮化矽)的蝕刻,同時對蝕刻裝置的負荷較小,容易延長蝕刻裝置的壽命。
蝕刻的處理時間(以下有記載為「蝕刻時間」)可根據欲何種程度地蝕刻被蝕刻構件9所具之蝕刻對象物而任意設定;如考量半導體元件製造製程的生產效率,較佳為60分鐘以內,更佳為40分鐘以內,再更佳為20分鐘以內。此外,蝕刻的處理時間係指在腔室7的內部,使經電漿化之蝕刻氣體接觸被蝕刻構件9的時間。
本實施形態之蝕刻方法可使用如圖1之蝕刻裝置之使用於半導體元件製造步驟的一般電漿蝕刻裝置來進行,可使用之蝕刻裝置的構成不特別限定。 例如亞硝醯氟氣體供給用配管5與被蝕刻構件9的位置關係,只要可使蝕刻氣體接觸被蝕刻構件9則不特別限定。又,就腔室7的溫度調節機構的構成,亦只要可將被蝕刻構件9的溫度調節成任意的溫度即可,因此,可為在載台8上直接具備溫度調節機構之構成,亦能以外接式溫度調節器自腔室7的外側對腔室7進行加溫或冷卻。
又,圖1之蝕刻裝置的材質,只要是對亞硝醯氟具有耐腐蝕性,且可減壓至既定的壓力者則不特別限定。例如,接觸蝕刻氣體之部分可使用不鏽鋼等金屬、氧化鋁等陶瓷或氟樹脂等。 氟樹脂可舉出例如聚四氟乙烯(PTFE)、聚氯三氟乙烯(PCTFE)、四氟乙烯-全氟烷氧基乙烯共聚物(PFA)、聚偏二氟乙烯(PVDF)、Teflon(註冊商標)、Viton(註冊商標)、Kalrez(註冊商標)等。 [實施例]
以下示出實施例及比較例,更詳細地說明本發明。 (實施例1) 使用與圖1之蝕刻裝置具有大致相同之構成的蝕刻裝置,來進行被蝕刻構件的蝕刻。針對實施例1中所使用之被蝕刻構件,邊參照圖2邊加以說明。 準備一邊2吋之正方形矽基板21上形成有膜厚1μm之氮化矽膜22者(SEIREN KST股份有限公司製),並使用潤滑脂(DAIKIN INDUSTRIES股份有限公司製Demnum潤滑脂L-200)將尺寸1吋×2吋的長方形二氧化矽基板23接著於該氮化矽膜22上,以如此製作之層合物作為被蝕刻構件。二氧化矽基板23係如圖2所示,以覆蓋氮化矽膜22約略一半的部分的方式接著。此外,氮化矽膜22為蝕刻對象物,且將非蝕刻對象物之二氧化矽基板23作為阻劑使用。
又,製作將上述被蝕刻構件中屬蝕刻對象物之氮化矽膜22替換為非蝕刻對象物之二氧化矽膜的對比用層合物。 將上述被蝕刻構件與對比用層合物並列載置於蝕刻裝置之腔室內部的載台上,將載台的溫度設為20℃。
其次,將流量30mL/min的亞硝醯氟之氣體與流量970mL/min的氬氣混合而調成混合氣體,以此混合氣體作為蝕刻氣體。然後,將此蝕刻氣體以流量1000mL/min供給至腔室的內部,流通3分鐘而進行遙控電漿蝕刻。流通蝕刻氣體時之腔室內部的壓力係定為500Pa。又,遙控電漿產生裝置係使用日本MKS股份有限公司製智慧型遙控電漿源ASTRON Paragon(註冊商標),源功率係定為400W。藉此,將上述被蝕刻構件之氮化矽膜22當中未經二氧化矽基板23覆蓋的露出部分蝕刻。蝕刻氣體流通結束後,將腔室的內部以氬氣取代。
蝕刻結束後打開腔室並取出被蝕刻構件,自取出之被蝕刻構件移除二氧化矽基板23,將接著面用乙醇洗淨而去除潤滑脂。然後,使用KEYENCE股份有限公司製原子力顯微鏡VN-8010測定經二氧化矽基板23覆蓋而未經蝕刻的氮化矽膜22之覆蓋面22a,與未經二氧化矽基板23覆蓋而經蝕刻之氮化矽膜22的蝕刻面22b之階差的大小。由測得之階差的大小(nm)除以蝕刻時間(min),算出氮化矽的蝕刻速度(nm/min)。將結果示於表1。
又,對於對比用層合物亦進行與被蝕刻構件同樣的操作,由階差的大小(nm)除以蝕刻時間(min),算出二氧化矽的蝕刻速度(nm/min)。進而,算出二氧化矽的蝕刻速度對氮化矽的蝕刻速度之比(蝕刻選擇比)。將結果示於表1。
此外,藉由原子力顯微鏡之階差大小的測定條件如下: 測定壓力:大氣壓(101.3kPa) 測定溫度:28℃ 測定環境:大氣中 掃描範圍:寬80.0μm、高20.0μm、角度0˚
又,亦針對進行上述被蝕刻構件的蝕刻時對蝕刻裝置之腔室所造成的損傷進行評估。損傷的評估係以目視判定蝕刻結束後腔室內部的變色來進行。亦即,腔室的內部未見變色時係判定為「A」、腔室內部部分可見變色時判定為「B」、腔室內部整體可見變色時則判定為「C」。將結果示於表1。
Figure 02_image001
(實施例2~21及比較例1~4、6、7) 蝕刻對象物為氮化矽膜、非蝕刻對象物為二氧化矽,且使蝕刻之條件(蝕刻氣體的組成及流量、載台的溫度、腔室內的壓力、遙控電漿產生裝置的源功率)如表1所示,與實施例1同樣地進行遙控電漿蝕刻。然後,分別算出蝕刻對象物、非蝕刻對象物的蝕刻速度,由其數值算出蝕刻選擇比。又,對於對蝕刻裝置之腔室所造成的損傷,亦與實施例1同樣地進行評估。將結果示於表1。
(比較例5) 除將遙控電漿產生裝置的源功率定為0W(即未產生電漿)且將蝕刻時間定為30分鐘以外,係以與實施例1同樣的方式進行蝕刻。然後,分別算出蝕刻對象物、非蝕刻對象物的蝕刻速度,由其數值算出蝕刻選擇比。又,對於對蝕刻裝置之腔室所造成的損傷,亦與實施例1同樣地進行評估。將結果示於表1。
(實施例22) 針對實施例22中所使用之被蝕刻構件,邊參照圖3邊加以說明。圖3之被蝕刻構件係具有在矽基板31上各交替層合30層之膜厚35nm的氮化矽膜32與膜厚35nm的氧化矽膜33之構造(圖3中,權宜上示出各交替層合5層之構造)。於此,氮化矽膜32為蝕刻對象物,氧化矽膜33為非蝕刻對象物。甚而,圖3之被蝕刻構件係具有沿層合方向貫穿30層氮化矽膜32與30層氧化矽膜33之直徑100nm的貫通孔34。
將此被蝕刻構件載置於具有與圖1之蝕刻裝置大致相同之構成的蝕刻裝置之載台上,將載台的溫度設為20℃。其次,將流量30mL/min的亞硝醯氟氣體與流量970mL/min的氬氣混合而調成混合氣體,以此混合氣體作為蝕刻氣體。然後,將此蝕刻氣體藉由遙控電漿電漿化後供給至腔室的內部,流通3分鐘而進行遙控電漿蝕刻。遙控電漿產生裝置的源功率係定為200W。又,流通蝕刻氣體時之腔室內部的壓力係定為500Pa。蝕刻氣體流通結束後,將腔室的內部以氬氣取代。
打開腔室取出被蝕刻構件。經蝕刻之被蝕刻構件,氮化矽膜32當中朝貫通孔34內面露出的部分經蝕刻,尤其是比起氧化矽膜33,氮化矽膜32更優先被蝕刻,因此貫通孔34的部分內面朝徑向外側擴展。 氧化矽膜33當中朝貫通孔34內面露出的部分,比起氮化矽膜32更不易被蝕刻,而幾乎未經蝕刻,因此形成氧化矽膜33的端部朝貫通孔34內突出之構造。
將取出之被蝕刻構件切斷,對30層氮化矽膜32的剖面藉由掃描型電子顯微鏡進行分析。詳言之,係針對30層氮化矽膜32各者,測定氮化矽膜32當中朝貫通孔34內面露出的部分與氧化矽膜33當中朝貫通孔34內面露出的部分之間的徑向距離。 亦即,藉由蝕刻使貫通孔34的內面朝徑向外側擴展而使貫通孔34的半徑變大,然而,測定其半徑之差。然後,將此差除以蝕刻時間,算出氮化矽對氧化矽的相對蝕刻速度。此外,氧化矽的蝕刻速度係藉由比較蝕刻前後之貫通孔34的直徑而算出,惟幾乎未看出直徑的變化。
然後,算出30層氮化矽膜32及氧化矽膜33之蝕刻速度的平均值及標準差,評估氮化矽膜32之面內方向(平行於氮化矽膜32表面之方向)的相對蝕刻速度是否隨氮化矽膜32的層合方向位置而變化,及相對蝕刻速度的均一性。將結果示於表2。 又,對於對蝕刻裝置之腔室所造成的損傷,亦與實施例1同樣地進行評估。將結果示於表2。
Figure 02_image003
(實施例23、24) 如表2所示地設定電漿產生裝置的源功率,與實施例22同樣地進行電漿蝕刻。然後,與實施例22同樣地算出氮化矽對氧化矽的相對蝕刻速度,並算出各蝕刻速度的平均值及標準差。又,對於對蝕刻裝置之腔室所造成的損傷,亦與實施例1同樣地進行評估。將結果示於表2。
(比較例8) 如表2所示地設定蝕刻之條件(載台的溫度、腔室內的壓力、遙控電漿產生裝置的源功率),與實施例22同樣地進行電漿蝕刻。然後,與實施例22同樣地算出氮化矽對氧化矽的相對蝕刻速度,並算出各蝕刻速度的平均值及標準差。又,對於對蝕刻裝置之腔室所造成的損傷,亦與實施例1同樣地進行評估。將結果示於表2。
由實施例1~7及比較例1之結果可知,載台的溫度愈高,蝕刻對象物之氮化矽及非蝕刻對象物之氧化矽的蝕刻速度愈高。載台的溫度為300℃的比較例1,可見腔室內部的損傷。 由實施例8~11及比較例2之結果示意,蝕刻氣體中之亞硝醯氟的濃度中存在氮化矽的蝕刻速度達之極大點。同樣地,其示意氧化矽的蝕刻速度亦存在達之極大點。
由實施例12~15及比較例3之結果可知,就氮化矽,隨著源功率的增大,蝕刻速度提高。另一方面,可知就氧化矽,隨著源功率的增大,蝕刻速度降低。又,源功率為1200W的比較例3,可見腔室內部的損傷。 由實施例16~21及比較例4之結果示意,腔室內的壓力中存在氮化矽的蝕刻速度達之極大點。同樣地,其示意氧化矽的蝕刻速度亦存在達極大點。
由比較例5之結果可知,在不產生電漿的條件下載台的溫度為20℃時,氮化矽未被蝕刻。 又,由比較例6、7之結果可知,在不產生電漿的條件下,即使調整載台的溫度及腔室內的壓力,與蝕刻氣體中之亞硝醯氟的濃度為同一條件的實施例相比,蝕刻速度亦較差。又,在此等不產生電漿的條件下,可見腔室內部的損傷。
由實施例22~24之結果可知,對氮化矽及氧化矽之層合膜進行蝕刻,則可選擇性地蝕刻氮化矽之層。 由於蝕刻速度的標準差對氮化矽之蝕刻速度的平均值之比約為6~9%,可知與氮化矽膜32的層合方向位置無關,可幾乎均等地進行30層氮化矽膜32的蝕刻。 相對於此,就氧化矽的蝕刻速度,在任何條件下均小於氮化矽的蝕刻速度。又,就氧化矽之蝕刻速度的標準差,在任何條件下均未達0.5。
1:亞硝醯氟氣體供給部 2:稀有氣體供給部 3:亞硝醯氟氣體流量控制裝置 4:稀有氣體流量控制裝置 5:亞硝醯氟氣體供給用配管 6:稀有氣體供給用配管 7:腔室 8:載台 9:被蝕刻構件 10:排氣用配管 11:溫度計 12:真空泵 13:壓力計 14:亞硝醯氟氣體壓力控制裝置 15:稀有氣體壓力控制裝置 16:遙控電漿產生裝置 21:矽基板 22:氮化矽膜 23:二氧化矽基板 31:矽基板 32:氮化矽膜 33:氧化矽膜 34:貫通孔
[圖1]為說明本發明之蝕刻方法一實施形態之蝕刻裝置的一例的示意圖。 [圖2]為說明實施例1~21及比較例1~7中使用之被蝕刻構件的圖。 [圖3]為說明實施例22~24及比較例8中使用之被蝕刻構件的圖。
1:亞硝醯氟氣體供給部
2:稀有氣體供給部
3:亞硝醯氟氣體流量控制裝置
4:稀有氣體流量控制裝置
5:亞硝醯氟氣體供給用配管
6:稀有氣體供給用配管
7:腔室
8:載台
9:被蝕刻構件
10:排氣用配管
11:溫度計
12:真空泵
13:壓力計
14:亞硝醯氟氣體壓力控制裝置
15:稀有氣體壓力控制裝置
16:遙控電漿產生裝置

Claims (8)

  1. 一種蝕刻方法, 具備蝕刻步驟,其係將含有亞硝醯氟之蝕刻氣體電漿化後,使其接觸具有屬藉由前述蝕刻氣體所進行之蝕刻的對象之蝕刻對象物與非屬藉由前述蝕刻氣體所進行之蝕刻的對象之非蝕刻對象物的被蝕刻構件,比起前述非蝕刻對象物可選擇性地蝕刻前述蝕刻對象物, 前述蝕刻步驟係於收容前述被蝕刻構件之腔室內進行,以設於前述腔室外部的遙控電漿產生裝置作為電漿產生源, 前述蝕刻氣體中之前述亞硝醯氟的濃度為0.3體積%以上,前述蝕刻步驟之溫度條件為0℃以上250℃以下,前述蝕刻步驟之壓力條件為100Pa以上3kPa以下, 且前述蝕刻對象物具有氮化矽。
  2. 如請求項1之蝕刻方法,其中前述蝕刻步驟之前述遙控電漿產生裝置的源功率為100W以上1kW以下。
  3. 如請求項1或2之蝕刻方法,其中前述非蝕刻對象物具有氧化矽。
  4. 如請求項1~3中任一項之蝕刻方法,其中前述蝕刻氣體為含有前述亞硝醯氟與稀釋氣體的混合氣體,前述稀釋氣體係選自氮氣、氦、氬、氖、氪及氙的至少一種,前述蝕刻氣體中之前述稀釋氣體的濃度為99.7體積%以下。
  5. 如請求項1~4中任一項之蝕刻方法,其中前述蝕刻步驟之溫度條件為5℃以上200℃以下。
  6. 如請求項1~5中任一項之蝕刻方法,其中前述蝕刻步驟之壓力條件為200Pa以上2kPa以下。
  7. 如請求項1~6中任一項之蝕刻方法,其中前述蝕刻步驟之前述遙控電漿產生裝置的源功率為200W以上900W以下。
  8. 一種半導體元件的製造方法,其係使用如請求項1~7中任一項之蝕刻方法來製造半導體元件的半導體元件的製造方法,其中, 前述被蝕刻構件係具有前述蝕刻對象物及前述非蝕刻對象物的半導體基板, 且具備藉由前述蝕刻從前述半導體基板去除至少一部分的前述蝕刻對象物之處理步驟。
TW110138804A 2020-10-22 2021-10-20 蝕刻方法及半導體元件的製造方法 TWI783736B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2020177618 2020-10-22
JP2020-177618 2020-10-22

Publications (2)

Publication Number Publication Date
TW202226374A true TW202226374A (zh) 2022-07-01
TWI783736B TWI783736B (zh) 2022-11-11

Family

ID=81290356

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110138804A TWI783736B (zh) 2020-10-22 2021-10-20 蝕刻方法及半導體元件的製造方法

Country Status (8)

Country Link
US (1) US20230395389A1 (zh)
EP (1) EP4235752A1 (zh)
JP (1) JPWO2022085520A1 (zh)
KR (1) KR20230065334A (zh)
CN (1) CN116490959A (zh)
IL (1) IL302225A (zh)
TW (1) TWI783736B (zh)
WO (1) WO2022085520A1 (zh)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000003901A (ja) * 1998-06-16 2000-01-07 Matsushita Electron Corp 半導体装置の製造方法及び半導体製造装置
JP4320389B2 (ja) * 2003-02-28 2009-08-26 関東電化工業株式会社 Cvdチャンバーのクリーニング方法およびそれに用いるクリーニングガス
US20060017043A1 (en) * 2004-07-23 2006-01-26 Dingjun Wu Method for enhancing fluorine utilization
JP6139986B2 (ja) * 2013-05-31 2017-05-31 東京エレクトロン株式会社 エッチング方法
US10529581B2 (en) * 2017-12-29 2020-01-07 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude SiN selective etch to SiO2 with non-plasma dry process for 3D NAND device applications

Also Published As

Publication number Publication date
US20230395389A1 (en) 2023-12-07
IL302225A (en) 2023-06-01
KR20230065334A (ko) 2023-05-11
CN116490959A (zh) 2023-07-25
EP4235752A1 (en) 2023-08-30
JPWO2022085520A1 (zh) 2022-04-28
TWI783736B (zh) 2022-11-11
WO2022085520A1 (ja) 2022-04-28

Similar Documents

Publication Publication Date Title
TW200525611A (en) Chamber cleaning method
TWI833930B (zh) 乾式蝕刻方法及半導體裝置之製造方法
TWI783736B (zh) 蝕刻方法及半導體元件的製造方法
TWI778649B (zh) 蝕刻方法及半導體元件的製造方法
TWI778566B (zh) 蝕刻方法及半導體元件的製造方法
TWI815331B (zh) 蝕刻氣體及其製造方法、蝕刻方法以及半導體元件之製造方法
WO2022244519A1 (ja) エッチング方法及び半導体素子の製造方法
EP4050640A1 (en) Etching method for silicon nitride and production method for semiconductor element
TWI836464B (zh) 乾蝕刻方法、半導體元件之製造方法,及清洗方法
WO2023017696A1 (ja) エッチング方法及び半導体素子の製造方法
TWI847120B (zh) 蝕刻方法及半導體元件的製造方法
EP3901991A1 (en) Etching method using halogen fluoride and method for producing semiconductor