TW202217925A - 可流動膜形成及處理 - Google Patents

可流動膜形成及處理 Download PDF

Info

Publication number
TW202217925A
TW202217925A TW110125832A TW110125832A TW202217925A TW 202217925 A TW202217925 A TW 202217925A TW 110125832 A TW110125832 A TW 110125832A TW 110125832 A TW110125832 A TW 110125832A TW 202217925 A TW202217925 A TW 202217925A
Authority
TW
Taiwan
Prior art keywords
plasma
semiconductor substrate
containing precursor
hydrogen
precursor
Prior art date
Application number
TW110125832A
Other languages
English (en)
Other versions
TWI794883B (zh
Inventor
江施施
普拉凱特佩拉卡希 加
亞伯希吉特巴蘇 馬禮克
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202217925A publication Critical patent/TW202217925A/zh
Application granted granted Critical
Publication of TWI794883B publication Critical patent/TWI794883B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3211Nitridation of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32055Deposition of semiconductive layers, e.g. poly - or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32105Oxidation of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32131Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by physical means only
    • H01L21/32132Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by physical means only of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

範例處理方法可包括:形成含矽前驅物之電漿。所述方法可包括:以含矽前驅物的電漿流出物在半導體基板上沉積可流動膜。半導體基板可界定半導體基板內之特徵。所述方法可包括:在半導體製程腔室的處理區域內形成含氫前驅物之電漿。可從偏壓功率源施加偏壓功率至基板支撐件。所述方法可包括:以含氫前驅物之電漿流出物從半導體基板內之特徵的側壁蝕刻可流動膜。所述方法可包括:以含氫前驅物之電漿流出物將保留在界定於半導體基板內之特徵內之可流動膜緻密化。

Description

可流動膜形成及處理
此申請案主張於2020年7月19日提申之名稱為「FLOWABLE FILM FORMATION AND TREATMENTS」之美國專利申請案第16/932,801號之優先權,所述美國專利申請案的全文以引用方式併入本文。
本技術關於半導體處理。更具體而言,本技術關於沉積、蝕刻及轉化包括可流動膜的材料之方法。
藉由在基板表面上生產錯綜複雜地圖案化的材料層之製程,可製作積體電路。在基板上產生經圖案化的材料需要形成並去除暴露的材料之受控方法。隨著元件尺寸持續縮減,材料形成可影響後續操作。舉例而言,在間隙填充操作中,可形成或沉積材料來填充形成在半導體基板上之溝槽或其他特徵。由於特徵可能具有更高的深寬比和減小的臨界尺寸,故這些填充操作可能面臨挑戰。舉例而言,由於可能在特徵的頂部並沿著特徵的側壁發生沉積,繼續沉積可能夾斷(pinch off)包括在特徵內之側壁之間的特徵,且可能在特徵內產生空隙。這可能影響元件表現及後續的處理操作。
因此,需要可用於產生高品質裝置及結構之改進的系統及方法。本技術可滿足這些及其他需求。
範例處理方法可包括:形成含矽前驅物之電漿。所述方法可包括:以含矽前驅物的電漿流出物在半導體基板上沉積可流動膜。半導體基板可容置於半導體製程腔室的處理區域中。半導體基板可界定半導體基板內之特徵。處理區域可至少部份地界定於面板與基板支撐件之間,其中半導體基板位於基板支撐件上。所述方法可包括:在半導體製程腔室的處理區域內形成含氫前驅物之電漿。可在來自電漿功率源之第一功率位準下形成含氫前驅物的電漿。可從偏壓功率源將第二功率位準下之偏壓功率施加至基板支撐件,第二功率位準小於第一功率位準。所述方法可包括:以含氫前驅物之電漿流出物從半導體基板內之特徵的側壁蝕刻可流動膜。所述方法可包括:以含氫前驅物之電漿流出物將保留在界定於半導體基板內之特徵內之可流動膜緻密化。
在一些實施例中,半導體基板內之特徵的特性可在於大於或約5:1之深寬比,且特徵的特性可在於小於或約10 nm之跨特徵的寬度。可在小於或約1 kHz的脈衝頻率下以脈衝模式操作偏壓功率源。在以脈衝模式操作偏壓功率源的同時,可以連續波模式操作電漿功率源。可在小於或約75%的工作週期下操作偏壓功率源。可在電漿功率源投入後,投入偏壓功率源。緻密化可包括:將可流動膜的氫含量降低至小於或約30原子%。所述方法亦可包括:在緻密化後形成轉化前驅物的電漿。所述方法可包括:將可流動膜轉化為經修飾膜。轉化前驅物可為或可包括含氮前驅物、含氧前驅物或含碳前驅物。可在第二循環中重複所述方法。於方法期間,可將半導體基板的溫度維持在小於或約0 °C的溫度下。
本技術的一些實施例可涵蓋處理方法。所述方法可包括:形成含矽前驅物之電漿。所述方法可包括:以含矽前驅物的電漿流出物在半導體基板上沉積可流動膜。半導體基板可容置於半導體製程腔室的處理區域中。半導體基板可界定半導體基板內之特徵。所述方法可包括:在半導體製程腔室的處理區域內形成含氫前驅物之電漿。可在針對電漿功率源之第一功率位準下形成含氫前驅物的電漿。可從偏壓功率源以第二功率位準施加偏壓功率至含氫前驅物的電漿。所述方法可包括:以含氫前驅物之電漿流出物從界定於半導體基板內之特徵的側壁蝕刻可流動膜。所述方法可包括:以含氫前驅物之電漿流出物將保留在界定於半導體基板內之特徵內之可流動膜緻密化。
在一些實施例中,在小於或約1 kHz的頻率下以脈衝模式操作偏壓功率源的同時,可連續地操作提供第一功率位準之電漿功率源。可在小於或約50%的工作週期下操作偏壓功率源。蝕刻可從特徵的基底填充物上方之特徵的側壁完全去除可流動膜。所述方法可包括:在緻密化後形成轉化前驅物的電漿。所述方法可包括:將可流動膜轉化為經修飾膜。轉化前驅物可為或可包括含氮前驅物、含氧前驅物或含碳前驅物。經修飾膜可為或可包括氮化矽、氧化矽或碳化矽。
本技術的一些實施例涵蓋處理方法。所述方法可包括:形成含矽前驅物之電漿。所述方法可包括:以含矽前驅物的電漿流出物在半導體基板上沉積可流動膜。半導體基板可容置於半導體製程腔室的處理區域中。半導體基板可界定半導體基板內之特徵。所述方法可包括:在半導體製程腔室的處理區域內形成含氫前驅物之電漿。所述方法可包括:以含氫前驅物之電漿流出物從界定於半導體基板內之特徵的側壁蝕刻可流動膜。所述方法可包括:以含氫前驅物之電漿流出物將保留在界定於半導體基板內之特徵內之可流動膜緻密化。所述方法可包括:形成轉化前驅物之電漿。所述方法可包括:將可流動膜轉化為經修飾膜。在一些實施例中,經修飾膜可為或可包括矽和以下一或多者:氮、氧或碳。
這樣的技術可相對於習用系統和技術提供許多益處。舉例而言,藉由進行根據本技術的實施例之硬化或處理操作,可限制或控制側壁覆蓋率,這可限制小特徵中之空隙形成。此外,藉由在硬化製程後進行轉化操作,可藉由限制膜膨脹之影響而進一步防止空隙形成。結合以下描述和附圖更詳細地描述這些和其他實施例以及它們的諸多優點及特徵。
可將非晶矽用於許多結構和製程之半導體元件製造,包括作為犧牲材料,例如作為偽閘極材料,或作為溝槽填充材料。在間隙填充操作中,一些處理可利用在製程條件下形成之可流動膜來限制沉積的正形性(conformality),這可允許沉積的材料更好地填充基板上之特徵。可流動矽材料的特性可在於相對大量的氫,且可能比其他形成膜的密度還低。於是,可進行後續處理操作以硬化所生產的膜。習用技術可利用UV硬化製程來去除氫並處理膜。然而,UV硬化可能導致顯著的膜收縮,這可能在特徵上造成應力,還可能在結構內生成空隙。
隨著特徵尺寸持續縮減,可流動膜可能面臨窄特徵之挑戰,窄特徵的進一步特性可能是較高的深寬比。舉例而言,由於在特徵的側壁上之沉積,可能更容易發生特徵的捏夾,這在小特徵尺寸中可能進一步限制進一步流動進入特徵內,且可能生成空隙。此外,對於可進行非晶矽轉化之製程而言,側壁材料在轉化期間之膨脹可進一步限制特徵內之進出。本技術可藉由在硬化操作期間對形成的膜進行蝕刻來克服這些限制。這可限制或避免溝槽填充期間之側壁率,從而允許進行改進的填充操作。此外,可在硬化後接著進行轉化操作,這可進一步降低特徵內之流動限制。在描述根據本技術的一些實施例之腔室(可在所述腔室中進行下文討論之電漿處理操作)的一般態樣後,可討論具體的方法。應理解到,本技術不欲限制在所討論之特定膜、腔室或處理,因為本文所描述之技術可用於增進許多膜形成製程,且可應用在各種製程腔室及操作。
1 繪示根據本技術的一些實施例之範例製程腔室100的剖面視圖。該圖可圖解系統的概觀,所述系統可結合本技術之一或多個態樣,及/或可進行根據本技術之實施例的一或多個沉積或其他處理操作。在下文中可進一步描述腔室100或所進行之方法的額外細節。根據本技術的一些實施例,可利用腔室100來形成膜層,儘管可理解到所述方法可類似地在其中可發生膜形成之任何腔室中進行。製程腔室100可包括腔室主體102、設置於腔室主體102內部之基板支撐件104,及耦接腔室主體102並將基板支撐件104封閉於處理容積120中之蓋組件106。可經由開口126將基板103提供至處理容積120,傳統可使用狹縫閥或門來密封開口126以進行處理。在處理期間,基板103可位於基板支撐件的表面105上。如箭頭145所指示,基板支撐件104可沿著軸147旋轉,而基板支撐件104的軸桿144可位於軸147上。或者,在沉積製程期間,可視需求將基板支撐件104升起來旋轉。
可於製程腔室100中設置電漿輪廓調變器(plasma profile modulator) 111,以控制跨基板103之電漿分佈,所述基板103設置於基板支撐件104上。電漿輪廓調變器111可包括第一電極108,第一電極108可被設置在腔室主體102鄰近處,且可使腔室主體102與蓋組件106的其他部件分開。第一電極108可為蓋組件106的部分,或可為單獨的側壁電極。第一電極108可以是環形或類環構件,且可以是環電極。第一電極108可以是連續的圈套,位於環繞處理容積120之製程腔室100的周圍附近,或者若需要的話可在所選位置處不連續。第一電極108也可以是穿孔的電極,如穿孔的環或網狀電極,或可為板電極,如,舉例而言,副氣體分配器(secondary gas distributor)。
一或多個隔離器110a、110b可接觸第一電極108並將第一電極108與氣體分配器112電性地和熱性地隔離,並將第一電極108與腔室主體102電性地和熱性地隔離,所述隔離器110a、110b可為介電材料,如陶瓷或金屬氧化物,例如,氧化鋁及/或氮化鋁。氣體分配器112可界定孔118,孔118用於將製程前驅物分配進入處理容積120內。氣體分配器112可耦接第一電功率源142,如RF產生器、RF功率源、DC功率源、脈衝式DC功率源、脈衝式RF功率源或可耦接製程腔室之任何其他功率源。在一些實施例中,第一電功率源142可為RF功率源。
氣體分配器112可為導電性氣體分配器或非導電性氣體分配器。也可由導電性或非導電性部件形成氣體分配器112。舉例而言,氣體分配器112的主體可為導電性,而氣體分配器112的面板可為非導電性。可例如藉由第1圖中所示之第一電功率源142對氣體分配器112供電,或在一些實施例中可將氣體分配器112接地。
第一電極108可耦接第一調諧電路128,第一調諧電路128可控制製程腔室100之接地路徑。第一調諧電路128可包括第一電子感測器130及第一電子控制器134。第一電子控制器134可為或可包括可變電容器或其他電路元件。第一調諧電路128可為或可包括一或多個電感器132。第一調諧電路128可為任何電路,在處理期間存在於處理容積120中之電漿條件下,所述電路可實現可變或可控制之阻抗。在所示之一些實施例中,第一調諧電路128可包括並聯耦接在接地與第一電子感測器130之間的第一電路分路(circuit leg)和第二電路分路。第一電路分路可包括第一電感器132A。第二電路分路可包括第二電感器132B,第二電感器132B串聯耦接第一電子控制器134。可將第二電感器132B設置在第一電子控制器134與節點之間,所述節點將第一和第二電路分路二者都連接至第一電子感測器130。第一電子感測器130可為電壓或電流感測器,且可耦接第一電子控制器134,而第一電子控制器134可對處理容積120內之電漿環境提供一定程度的閉環控制(closed-loop control)。
第二電極122可耦接基板支撐件104。可將第二電極122嵌設於基板支撐件104內,或耦接基板支撐件104的表面。第二電極122可為板、穿孔板、網、絲網(wire screen)或導電元件之任何其他分散式佈置。第二電極122可為調諧電極,且可藉由導管146耦接第二調諧電路136,舉例而言,所述導管146是,例如,設置在基板支撐件104的軸桿144中之具有所選電阻(如50歐姆)之電纜。第二調諧電路136可具有第二電子感測器138及第二電子控制器140,第二電子控制器140可為第二可變電容器。第二電子感測器138可為電壓或電流感測器,且可耦接第二電子控制器140,以對處理容積120中之電漿環境提供進一步控制。
第三電極124可耦接基板支撐件104,第三電極124可為偏壓電極及/或靜電夾吸電極。第三電極可經由濾波器148耦接第二電功率源150,濾波器148可為阻抗匹配電路。第二電功率源150可為DC功率、脈衝式DC功率、RF偏壓功率、脈衝式RF源或偏壓功率,或這些或其他功率源之組合。在一些實施例中,第二電功率源150可為RF偏壓功率。
可與用於電漿或熱處理之任何製程腔室一起使用第1圖之蓋組件106和基板支撐件104。在操作中,製程腔室100可提供對處理容積120中之電漿環境的實時控制。可將基板103設置在基板支撐件104上,且可根據任何期望的流動計畫使用入口114使製程氣體流經蓋組件106。氣體可經由出口152離開製程腔室100。電源可耦接氣體分配器112,以在處理容積120中創造電漿。在一些實施例中,可使用第三電極124讓基板經受電偏壓。
一旦在處理容積120中激發電漿,可在電漿與第一電極108之間建立位能差。也可在電漿與第二電極122之間建立位能差。接著可使用電子控制器134、140來調整由兩個調諧電路128及136所代表之接地路徑的流動性質。可將設定點傳送到第一調諧電路128及第二調諧電路136,以提供對沉積速率及從中心至邊緣的電漿密度均勻性之獨立控制。在電子控制器可均為可變電容器之實施例中,電子感測器可調整可變電容器,以獨立地最大化沉積速率並最小化厚度不均勻性。
各調諧電路128、136可具有可變阻抗,可使用相應的電子控制器134、140來調整所述可變阻抗。當電子控制器134、140為可變電容器,則可選擇各可變電容器的電容範圍,還有第一電感器132A和第二電感器132B的電感,以提供阻抗範圍。此範圍可取決於電漿的頻率和電壓特性,而在各可變電容器的電容範圍內可具有最小值。因此,當第一電子控制器134的電容為最小或最大時,第一調諧電路128的阻抗可為高,導致電漿形狀在基板支撐件上方具有最小空中覆蓋率(aerial coverage)或橫向覆蓋率。當第一電子控制器134的電容接近使第一調諧電路128的阻抗最小之值時,電漿的空中覆蓋率可成長到最大,而有效地覆蓋基板支撐件104的整個工作區域。當第一電子控制器134的電容偏離最小阻抗設置時,電漿形狀可從腔室壁縮減,且基板支撐件的空中覆蓋率可能下降。第二電子控制器140可具有類似效應,隨著第二電子控制器140的電容之改變,而可提升或降低基板支撐件上方之電漿的空中覆蓋率。
可使用電子感測器130、138在封閉迴路中調諧相應電路128、136。取決於所使用之感測器類型,可將針對電流或電壓之設定點安裝在各感測器中,且感測器可配備有控制軟體,所述控制軟體決定對各相應電子控制器134、140之調整,以使與設定點之偏差最小化。於是,可在處理期間選擇並動態控制電漿形狀。應理解的是,儘管以上討論是基於可為可變電容器之電子控制器134、140,但具有可調整特性之任何電子部件都可用以為調諧電路128及136提供可調整的阻抗。
製程腔室100可在本技術的一些實施例中用於處理方法,所述處理方法可包括用於半導體結構的材料之形成、蝕刻或轉化。應理解到,所描述之腔室不應被視為限制性的,且可以類似方式使用可配置來進行如本文描述的操作之任何腔室。 2 顯示根據本技術的一些實施例之處理方法200中的範例操作。可在包括上文描述之製程腔室100等多種製程腔室中且在一或多個主機或工具上進行所述方法。方法200可包括數個可選操作,所述操作可與根據本技術之方法的一些實施例具體相關或可不與根據本技術之方法的一些實施例具體相關。舉例而言,為了提供結構形式之更廣泛籌而描述了許多操作,但這些操作對技術而言並非關鍵,或者可藉由容易理解之替代方法來進行。方法200可描述 3A 3C 中示意性顯示之操作,將參照方法200的操作描述第3A至3C圖之圖示。應理解到,附圖僅描繪部分示意性視圖,且基板可含有具有如圖所示之各種特徵及態樣之任何數量的額外材料及特徵。
在本文列示之操作開始之前,方法200可包括額外操作。舉例而言,額外處理操作可包括將結構形成於半導體基板上,所述操作可包括形成和移除材料二者。舉例而言,可形成電晶體結構、記憶體結構或任何其他結構。可在其中可進行方法200之腔室中進行先前的處理操作,或者可在將基板傳送至可在其中進行方法200之一或多個半導體製程腔室內之前,在一或多個其他製程腔室中進行處理。無論如何,方法200可視情況包括:將半導體基板傳送至諸如上文描述之製程腔室100等半導體製程腔室的處理區域,或傳送至可包括如上文所述之部件的其他腔室。可將基板沉積在基板支撐件上,基板支撐件可為諸如基板支撐件104之基座,且可位於腔室的處理區域中,如上文所述之處理容積120。
已在其上執行若干操作之基板可為結構300的基板305,其可顯示其上可進行半導體處理之基板的局部視圖。應理解到,結構300在處理期間可僅顯示幾個頂層,以圖解本技術之態樣。基板305可包括材料,而一或多個特徵310可形成於所述材料中。基板305可為用於半導體處理中之任何數量的材料。基板材料可為或可包括:矽、鍺、介電材料(包括氧化矽或氮化矽)、金屬材料或任何數目的這些材料之組合,所述材料可為基板305或形成於結構300中之材料。根據本技術,特徵310之特徵可為任何形狀或配置。在一些實施例中,特徵可為或可包括形成於基板305內之溝槽結構或孔洞。
儘管特徵310之特徵可在於形狀或尺寸,但在一些實施例中,特徵310之特徵可在於高的深寬比,或跨特徵之特徵的深度對寬度之比例。舉例而言,在一些實施例中特徵310之特徵可在於大於或約5:1的深寬比,且特徵310之特徵可在於以下深寬比:大於或約10:1、大於或約15:1、大於或約20:1、大於或約25:1、大於或約30:1、大於或約40:1、大於或約50:1或更大。此外,特徵之特徵可在於跨特徵之窄寬度或直徑,包括在兩個側壁之間,如小於或約20 nm的尺寸,且特徵之特徵可在於以下跨特徵之寬度:小於或約15 nm、小於或約12 nm、小於或約10 nm、小於或約9 nm、小於或約8 nm、小於或約7 nm、小於或約6 nm、小於或約5 nm或更小。
在一些實施例中,方法200可包括可選的處理操作,如預處理,可進行預處理以準備基板305的表面用於沉積。一旦準備好,方法200可包括:將一或多種前驅物傳送到容納結構300之半導體製程腔室的處理區域。前驅物可包括一或多種含矽前驅物,還有一或多種稀釋劑或載體氣體,如與含矽前驅物一起輸送之惰性氣體或其他氣體。於操作205,可由包括含矽前驅物之沉積前驅物形成電漿。可在處理區域內形成電漿,其可允許將沉積材料沉積在基板上。舉例而言,在一些實施例中,可藉由對如前文所述之面板施加電漿功率,而在處理區域內形成電容耦合式電漿。
於操作210,含矽材料可從含矽前驅物的電漿流出物沉積在基板上。在一些實施例中,材料可以是可流動含矽材料,其可為或可包括非晶矽。沉積的材料可至少部分地流入基板上之特徵,以提供自下而上類型之間隙填充。如第3A圖所繪示,材料315可沉積於基板305上,且可流入溝槽或特徵310內。如圖解,沉積的材料315可流入特徵的底部,但如圖所示,一定量的材料可保留在基板的側壁上。儘管沉積的量可能相對較少,但保留在側壁上之材料可能會限制後續流動。此外,若對沉積的材料進行習用轉化(如,轉化為,例如,氮化矽),則轉化會涉及膜的膨脹。就尺寸減小的特徵而言,形成在側壁上之殘留材料可被轉化並向外朝向相對的側壁膨脹。這可能導致特徵被夾斷(pinch off),而可能在特徵內形成空隙。
在沉積期間施加之功率可為較低功率電漿,這可限制解離,且可保持沉積的材料中之氫結合量。此結合的氫可有助於沉積的材料之可流動性。因此,在一些實施例中,電漿功率源可將小於或約300 W的電漿功率輸送至面板,且可輸送小於或約250 W、小於或約200 W、小於或約150 W、小於或約100 W、小於或約50 W或更小的功率。
在一定量的沉積後,在本技術的一些實施例中,可接著形成處理或硬化製程,所述處理或硬化製程被配置來回蝕所形成的材料。可在與沉積相同的腔室中進行此製程,且可在循環製程中進行此製程,以填充特徵。在一些實施例中,可停止含矽前驅物流,且可淨化處理區域。在淨化後,接著可使含氫前驅物流入製程腔室的處理區域內。可於操作215形成處理電漿,其也可為形成於處理區域內之電容耦合式電漿,儘管在一些實施例中可以類似方式採用電感耦合式電漿。可藉由對面板或噴灑頭施加電漿功率來形成沉積電漿,且在一些實施例中,可不投入其他功率源。
在處理操作期間,可投入額外的功率源並使額外的功率源耦接如前文描述之基板支撐件,以對產生在基板上方之電漿供應偏壓。這可能會朝基板牽引電漿流出物,其可轟擊膜並導致沉積材料的緻密化。儘管可使用任何含氫材料,但在一些實施例中可使用雙原子氫作為含氫前驅物,以與一或多種額外前驅物一起產生處理電漿。氫自由基和離子可輕易地滲透形成在溝槽內之材料,且可從膜釋放結合的氫,導致緻密化。可施加相對低的偏壓功率,以限制所生成的膜之濺射並且限制對結構之任何潛在損壞,且被輸送來形成電漿之材料可類似地具有減少的較重材料之量,以限制對所沉積的膜之濺射。此外,藉由調整施加之源功率及偏壓功率,可進行蝕刻操作,這可降低沉積材料之側壁覆蓋率。
可利用氫,或任何其他含氫材料,藉由從電漿功率源輸送功率至面板,以在處理區域內產生電漿。在一些實施例中,電漿功率可大於沉積期間所使用之電漿功率。舉例而言,輸送之電漿功率可大於或約100 W,且可大於或約200 W、大於或約300 W、大於或約400 W、大於或約500 W、大於或約600 W或更大。藉由增大處理電漿形成期間之電漿功率,可產生更大量的電漿流出物。此外,還可調整偏壓功率的態樣。舉例而言,儘管在一些處理操作中,偏壓功率可高於電漿源功率,但在本技術的一些實施例中,可將偏壓功率維持在電漿功率以下,如低於或約500 W,且可低於或約400 W、低於或約300 W、低於或約200 W、低於或約100 W,或更小。
施加較大的偏壓可增加垂直於跨基板的平面之輸送的定向性(directionality)。因此,藉由減小提供的偏壓功率,可減小定向性的量,這可增加特徵內之電漿流出物的交互作用。電漿流出物可接著於操作220蝕刻可流動膜,且可從溝槽的側壁去除可流動膜。同時地,或額外地,於視情況的操作225,以更定向性地方式輸送之電漿流出物可穿透在特徵的底部處形成之保留膜,且可減少氫結合,以使膜緻密化。如第3B圖所圖解,可從基板305的側壁和懸垂區域(overhang region)去除材料315,這可將沉積的材料保留在特徵的底部區域處。製程還可降低保留材料中之氫結合,如小於或約40原子%的氫結合,且可提供小於或約35原子%、小於或約30原子%、小於或約25原子%、小於或約20原子%、小於或約15原子%、小於或約10原子%、小於或約5原子%或更少之降低的氫結合。
藉由調整所供應之電漿功率或偏壓功率的一或多個特性,可進行額外的調整,以進一步增進沿著特徵的側壁之沉積材料之蝕刻。舉例而言,在一些實施例中,電漿功率源及偏壓功率源二者均在連續波模式下操作。此外,可在脈衝模式下操作所述功率源中之一或二者。在一些實施例中,當在脈衝模式下操作偏壓功率的同時,可在連續波模式或脈衝模式下操作源功率。用於偏壓功率之脈衝頻率可為大於或約100 Hz,且可為大於或約200 Hz、大於或約300 Hz、大於或約500 Hz、大於或約750 Hz、大於或約1,000 Hz、大於或約1,500 Hz、大於或約2,000 Hz或更大。偏壓功率的工作週期可為小於或約75%,且可於以下工作週期操作偏壓功率:小於或約70%、小於或約60%、小於或約50%、小於或約40%、小於或約30%、小於或約20%、小於或約10%、小於或約5%或更小。藉由以減小的工作週期(如小於或約50%的導通時間率(on-time duty))操作偏壓功率,每個週期的更多時間可在特徵內進行更等向性的蝕刻,這可更好地從側壁去除材料。
額外功率配置還可包括主/從關係中的源功率和偏壓功率的同步之量。舉例而言,兩個電源都可在脈衝方向(pulsing orientation)上操作,且當已在各個脈衝處投入源功率後,可使偏壓功率同步以投入偏壓功率。也可應用位準對位準脈衝方案(level-to-level pulsing scheme)。舉例而言,在偏壓功率之工作期間,可在第一電漿功率下操作源功率。在偏壓功率關閉的剩餘循環期間,可在第二電漿功率下操作源功率,第二電漿功率可大於第一電漿功率。這可藉由消除偏壓引起的定向性來增進等向性蝕刻,且亦可增進等向性蝕刻之蝕刻特性。在本技術之實施例中,可在循環中重複任意次數之沉積及蝕刻製程,以填充特徵,所述製程可以非晶矽填充特徵。
此外,在可能尋求於特徵內轉化矽之一些實施例中,循環也可包括轉化操作。藉由在各循環期間進行轉化,可完全解決穿過特徵之滲透(penetration)問題。並且,藉由在硬化及蝕刻後進行轉化操作,可在轉化前從側壁去除沉積的材料,這可限制溝槽內或如前文所述之特徵內側壁間之膜的橫向膨脹。可在與沉積和處理不同的腔室中進行轉化,但在一些實施例中,可在單一製程腔室內進行兩個或更多個操作(包括所有操作)。相較於習用製程,這可減少佇列時間。
方法200也可視情況包括將非晶矽轉化為其他材料。舉例而言,在蝕刻和緻密化後,可將一或多種轉化前驅物輸送至腔室的處理區域。舉例而言,可將含氮前驅物、含氧前驅物及/或含碳前驅物,與任何載體或稀釋劑氣體一起,輸送至腔室的處理區域。可由轉化前驅物形成電漿,其可接著與特徵內之非晶矽材料接觸。於可選的操作230處,轉化前驅物的電漿流出物可與溝槽內之非晶矽材料交互作用,並(與可用來轉化非晶矽膜之任何其他材料一起)將所述材料轉化為氮化矽、氧化矽、碳化矽、氮氧化矽、碳氧化矽、氮碳化矽或氮碳氧化矽。電漿功率可類似於前文記載之功率,且例如,就電容耦合式系統而言可從約100 W上達約1,000 W或更大,且就電感耦合式電漿系統而言可達10 kW或更大,但也可進行任何類型的轉化。
儘管可將沉積形成至數奈米或更大,但藉由進行如前文所述之蝕刻製程,可將經緻密化材料的厚度控制在小於或約100 Å之厚度,且可小於或約90 Å、小於或約80 Å、小於或約70 Å、小於或約60 Å、小於或約50 Å、小於或約40 Å、小於或約30 Å、小於或約20 Å、小於或約10 Å或更小。藉由控制沉積材料的厚度,可更輕易地進行整個厚度的轉化,並可解決習用製程中常見的滲透問題。如第3C圖所圖解,在蝕刻製程後保留在特徵內之材料315可在膜的整個深度內完全轉化成材料320。接著可完全重複所述製程,以持續產生向上穿過特徵之轉化材料。
就任何形成操作期間使用之沉積前驅物而言,可與本技術一起使用任何數量的前驅物。在氧化矽形成、矽形成或氮化矽形成之任一者期間可使用之含矽前驅物可包括,但不限於:矽烷(SiH 4)、二矽烷(Si 2H 6)或其他有機矽烷,包括環己矽烷、四氟化矽(SiF 4)、四氯化矽(SiCl 4)、二氯矽烷(SiH 2Cl 2)、四乙基正矽酸鹽(TEOS),還有可用於含矽膜形成之任何其他含矽前驅物。在一些實施例中,含矽材料可不含氮、不含氧及/或不含碳。在本技術通篇描述之任何操作中使用之含氧前驅物可包括O 2、N 2O、NO 2、O 3、H 2O,還有可用於氧化矽膜形成或其他膜形成之任何其他含氧前驅物。在任何操作中使用之含氮前驅物可包括N 2、N 2O、NO 2、NH 3、N 2H 2,還有可用於氮化矽膜形成之任何其他含氮前驅物。含碳前驅物可為或可包括任何含碳材料,如任何烴,或包括碳之任何其他前驅物。在任何所述操作中,可包括一或多種額外前驅物,如惰性前驅物,其可包括Ar、He、Xe、Kr,或其他材料(如氮、氨、氫或其他前驅物)。
溫度及壓力也可能影響本技術之操作。舉例而言,在一些實施例中,為了促進膜流動,可在低於或約20 °C的溫度下進行製程,且可在小於或約0 °C、小於或約-20 °C、小於或約-50 °C、小於或約-75 °C、小於或約-100 °C或更低的溫度下進行製程。在整個方法中,包括在處理及蝕刻還有轉化期間,可將溫度維持在這些範圍中之任何一個範圍內。就任何製程而言,可將腔室內之壓力保持相對較低,如在小於或約20托的腔室壓力下,且可將壓力維持在小於或約15托、小於或約10托、小於或約5托、小於或約3托、小於或約2托、小於或約1托、小於或約0.1托或更小。藉由進行根據本技術的一些實施例之製程,可產生利用含矽材料之改進的窄特徵填充。
在前文描述中,出於解說之目的,已經闡述了諸多細節以便提供對本技術之各種實施例的理解。然而,對於本案所屬技術領域中具通常知識者將顯而易見的是,可在沒有這些細節中的某些細節或在有額外細節的情況下實踐某些實施例。
在已揭示若干實施例之後,本案所屬技術領域中具通常知識者將認識到,在不偏離實施例之精神的情況下可使用各種修改、替代構造及等效物。另外,為了避免不必要地混淆本技術,未描述若干已熟知的製程及元件。因此,上文描述不應視為限制本技術之範疇。另外,方法或製程可以被描述為依序或成步驟進行,但是應當理解,操作可同時進行,或以與所列順序不同的順序進行。
在提供一範圍之值之情況下,除非本文另有明確指定,應理解亦特定地揭示彼範圍之上限與下限之間的每一中間值,精確度為至下限單位的最小分位。將涵蓋在陳述範圍中之任一陳述值或未陳述的中間值與在彼陳述範圍中之任一其他陳述值或中間值之間的任何較窄範圍。此等較小範圍之上限及下限可獨立地包括於該範圍中或排除於該範圍之外,且在界限中任一者、沒有任一界限或兩界限皆包括於該等較小範圍中之每一範圍亦涵蓋於本技術內,所述每一範圍受所陳述範圍中任何特定排除之界限管轄。在所陳述範圍包括該等限制中一者或兩者之情況下,亦包括排除彼等包括之限制中一者或兩者之範圍。
如本文及隨附申請專利範圍中所使用,除非本文另有明確指定,否則單數形式「一(a)」、「一(an)」及「該(the)」包括複數參照。因此,例如,參照「一前驅物」包括複數個此類前驅物,且參照「該層」包括參照一或多種層及本案所屬技術領域中具通常知識者所知之等效物,等等。
又,當在本案說明書中及下文申請專利範圍中使用字彙「包含(comprise)」、「包含(comprising)」、「含有(contain)」「包括(include)」及「包括(including)」時,意欲指定陳述之特徵、整數、部件或操作之存在,但該等字彙不排除一或多個其他特徵、整數、部件、操作、動作或群組之存在或添加。
100:腔室 102:腔室主體 103:基板 104:基板支撐件 105:表面 106:蓋組件 108:第一電極 110a,110b:隔離器 111:電漿輪廓調變器 112:氣體分配器 114:入口 118:孔 120:處理容積 122:第二電極 124:第三電極 126:開口 128:第一調諧電路 130:電子感測器 132A,132B:電感器 134:第一電子控制器 136:第二調諧電路 138:電子感測器 140:第二電子控制器 142:第一電功率源 144:軸桿 145:箭頭 146:導管 147:軸 148:濾波器 150:第二電功率源 152:出口 200:方法 205~230:操作 300:結構 305:基板 310:特徵 315:材料 320:材料
透過參考說明書的其餘部份及圖式,可進一步瞭解本文揭露之技術的本質與優點。
第1圖顯示根據本技術的一些實施例之範例製程腔室的示意剖面視圖。
第2圖顯示根據本技術的一些實施例之處理方法中的範例操作。
第3A至3C圖顯示在根據本技術的一些實施例之處理期間之基板的示意剖面視圖。
以示意方式包括數個圖式。應理解到,該等圖式僅用於說明之目的,且除非特別說明是按比例繪示,否則不應被視為按比例繪示。此外,作為示意圖,該等圖式用於幫助理解,且相較於現實的表現,可能不包括所有態樣或資訊,且出於說明之目的,可能包括誇大的材料。
在附圖中,類似的部件及/或特徵可以具有相同的元件符號。進一步而言,同類的各部件可透過在元件符號後加上字母(該字母區別類似部件)加以區別。若在說明書中僅使用第一元件符號,則該描述適用於具有相同第一元件符號之任何一個相似部件,無論第二符號為何。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
200:方法
205~230:操作

Claims (20)

  1. 一種處理方法,包含以下步驟: 形成一含矽前驅物之一電漿; 以該含矽前驅物之電漿流出物在一半導體基板上沉積一可流動膜,其中該半導體基板容置於一半導體製程腔室的一處理區域中,其中該半導體基板界定該半導體基板內之一特徵,且其中該處理區域係至少部份地界定在一面板與一基板支撐件之間,其中該半導體基板安置於該基板支撐件上; 於該半導體製程腔室的該處理區域內,形成一含氫前驅物之一電漿,其中在來自一電漿功率源之一第一功率位準下形成該含氫前驅物之該電漿,且其中從一偏壓功率源將處於一第二功率位準下之一偏壓功率施加至該基板支撐件,該第二功率位準小於該第一功率位準; 以該含氫前驅物之電漿流出物從該半導體基板內之該特徵的一側壁蝕刻該可流動膜;以及 以該含氫前驅物之電漿流出物將保留在界定於該半導體基板內之該特徵內之可流動膜緻密化。
  2. 如請求項1所述之處理方法,其中該半導體基板內之該特徵的特性在於:大於或約5:1之一深寬比,且其中該特徵的特性在於:跨該特徵之一寬度小於或約10 nm。
  3. 如請求項1所述之處理方法,其中在小於或約1 kHz的一脈衝頻率下以一脈衝模式操作該偏壓功率源。
  4. 如請求項3所述之處理方法,其中在以該脈衝模式操作該偏壓功率源的同時,以一連續波模式操作該電漿功率源。
  5. 如請求項3所述之處理方法,其中在小於或約75%之一工作週期下操作該偏壓功率源。
  6. 如請求項1所述之處理方法,其中在該電漿功率源投入後,投入該偏壓功率源。
  7. 如請求項1所述之處理方法,其中該緻密化包含以下步驟:將該可流動膜之一氫含量降低至小於或約30原子%。
  8. 如請求項1所述之處理方法,進一步包含以下步驟: 在該緻密化後,形成一轉化前驅物之一電漿;以及 將該可流動膜轉化為一經修飾膜。
  9. 如請求項8所述之處理方法,其中該轉化前驅物包含:一含氮前驅物、一含氧前驅物或一含碳前驅物。
  10. 如請求項8所述之處理方法,其中在第二個循環中重複該方法。
  11. 如請求項8所述之處理方法,其中在該方法期間,將該半導體基板之一溫度維持在小於或約0 °C之一溫度下。
  12. 一種處理方法,包含以下步驟: 形成一含矽前驅物之一電漿; 以該含矽前驅物之電漿流出物在一半導體基板上沉積一可流動膜,其中該半導體基板容置於一半導體製程腔室的一處理區域中,其中該半導體基板界定該半導體基板內之一特徵; 在該半導體製程腔室的該處理區域內形成一含氫前驅物之一電漿,其中就一電漿功率源形成一第一功率位準下之該含氫前驅物的該電漿,且其中從一偏壓功率源將處於一第二功率位準下之一偏壓功率施加至該含氫前驅物的該電漿; 以該含氫前驅物之電漿流出物從界定於該半導體基板內之該特徵的一側壁蝕刻該可流動膜;以及 以該含氫前驅物之電漿流出物將保留在界定於該半導體基板內之該特徵內之可流動膜緻密化。
  13. 如請求項12所述之處理方法,其中在小於或約1 kHz的一頻率下以一脈衝模式操作該偏壓功率源的同時,連續地操作提供該第一功率位準之一電漿功率源。
  14. 如請求項13所述之處理方法,其中在小於或約50%之一工作週期下操作該偏壓功率源。
  15. 如請求項12所述之處理方法,其中該蝕刻從該特徵之該側壁完全去除該特徵的一基底填充(base fill)以上之該可流動膜。
  16. 如請求項12所述之處理方法,進一步包含以下步驟: 在該緻密化後,形成一轉化前驅物之一電漿;以及 將該可流動膜轉化為一經修飾膜。
  17. 如請求項16所述之處理方法,其中該轉化前驅物包含:一含氮前驅物、一含氧前驅物或一含碳前驅物。
  18. 如請求項17所述之處理方法,其中該經修飾膜包含:氮化矽、氧化矽或碳化矽。
  19. 一種處理方法,包含以下步驟: 形成一含矽前驅物之一電漿; 以該含矽前驅物之電漿流出物在一半導體基板上沉積一可流動膜,其中該半導體基板容置於一半導體製程腔室的一處理區域中,其中該半導體基板界定該半導體基板內之一特徵; 在該半導體製程腔室的該處理區域內形成一含氫前驅物之一電漿; 以該含氫前驅物之電漿流出物從界定於該半導體基板內之該特徵的一側壁蝕刻該可流動膜; 以該含氫前驅物之電漿流出物將保留在界定於該半導體基板內之該特徵內之可流動膜緻密化; 形成一轉化前驅物之一電漿;以及 將該可流動膜轉化為一經修飾膜。
  20. 如請求項19所述之處理方法,其中該經修飾膜包含矽,及以下一或多者:氮、氧或碳。
TW110125832A 2020-07-19 2021-07-14 可流動膜形成及處理 TWI794883B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/932,801 2020-07-19
US16/932,801 US11615966B2 (en) 2020-07-19 2020-07-19 Flowable film formation and treatments

Publications (2)

Publication Number Publication Date
TW202217925A true TW202217925A (zh) 2022-05-01
TWI794883B TWI794883B (zh) 2023-03-01

Family

ID=79292728

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110125832A TWI794883B (zh) 2020-07-19 2021-07-14 可流動膜形成及處理

Country Status (6)

Country Link
US (1) US11615966B2 (zh)
JP (1) JP2023535369A (zh)
KR (1) KR20230041047A (zh)
CN (1) CN115943482A (zh)
TW (1) TWI794883B (zh)
WO (1) WO2022020190A1 (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220076922A1 (en) * 2020-09-08 2022-03-10 Applied Materials, Inc. Single chamber flowable film formation and treatments
US20220367187A1 (en) * 2021-05-13 2022-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor Device and Method of Manufacture
US20240038527A1 (en) * 2022-07-26 2024-02-01 Applied Materials, Inc. Forming films with improved film quality

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60126832A (ja) 1983-12-14 1985-07-06 Hitachi Ltd ドライエツチング方法および装置
JPH06236858A (ja) 1993-02-10 1994-08-23 Tokyo Electron Ltd プラズマ処理装置
US5478429A (en) 1993-01-20 1995-12-26 Tokyo Electron Limited Plasma process apparatus
JP3602324B2 (ja) 1998-02-17 2004-12-15 アルプス電気株式会社 プラズマ処理装置
US20030010292A1 (en) 2001-07-16 2003-01-16 Applied Materials, Inc. Electrostatic chuck with dielectric coating
US6490145B1 (en) 2001-07-18 2002-12-03 Applied Materials, Inc. Substrate support pedestal
WO2004073051A1 (en) 2003-02-11 2004-08-26 Genus, Inc. Purged heater-susceptor for an ald/cvd reactor
CN100388434C (zh) 2003-03-12 2008-05-14 东京毅力科创株式会社 半导体处理用的基板保持结构和等离子体处理装置
JP4219734B2 (ja) 2003-05-19 2009-02-04 東京エレクトロン株式会社 基板保持機構およびプラズマ処理装置
US7163896B1 (en) * 2003-12-10 2007-01-16 Novellus Systems, Inc. Biased H2 etch process in deposition-etch-deposition gap fill
US20070091541A1 (en) 2005-10-20 2007-04-26 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor using feed forward thermal control
US8232176B2 (en) * 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
CN101583736A (zh) 2007-01-19 2009-11-18 应用材料股份有限公司 浸没式等离子体室
TW200837876A (en) 2007-03-07 2008-09-16 Nanya Technology Corp Gap filling method and method for fabricating shallow trench isolation
US20090274590A1 (en) 2008-05-05 2009-11-05 Applied Materials, Inc. Plasma reactor electrostatic chuck having a coaxial rf feed and multizone ac heater power transmission through the coaxial feed
CN102027810B (zh) * 2008-05-14 2014-08-13 应用材料公司 使用rf功率传递的时间分解调频方案以用于脉冲等离子体工艺的方法及设备
US20100018648A1 (en) 2008-07-23 2010-01-28 Applied Marterials, Inc. Workpiece support for a plasma reactor with controlled apportionment of rf power to a process kit ring
US7968441B2 (en) 2008-10-08 2011-06-28 Applied Materials, Inc. Dopant activation anneal to achieve less dopant diffusion (better USJ profile) and higher activation percentage
TWI579916B (zh) 2009-12-09 2017-04-21 諾菲勒斯系統公司 整合可流動氧化物及頂蓋氧化物之新穎間隙填充
US8629370B2 (en) 2010-06-08 2014-01-14 Applied Materials, Inc. Assembly for delivering RF power and DC voltage to a plasma processing chamber
WO2012019017A2 (en) 2010-08-06 2012-02-09 Applied Materials, Inc. Electrostatic chuck and methods of use thereof
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
US20150118863A1 (en) 2013-10-25 2015-04-30 Lam Research Corporation Methods and apparatus for forming flowable dielectric films having low porosity
US9293304B2 (en) 2013-12-17 2016-03-22 Applied Materials, Inc. Plasma thermal shield for heat dissipation in plasma chamber
KR102437125B1 (ko) 2014-06-27 2022-08-25 어플라이드 머티어리얼스, 인코포레이티드 고온 프로세싱을 위한 플라즈마 부식 저항성 가열기
JP6868553B2 (ja) 2014-08-15 2021-05-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プラズマ化学気相堆積システムにおいて高温で圧縮又は引張応力を有するウェハを処理する方法及び装置
US20160079034A1 (en) 2014-09-12 2016-03-17 Applied Materials Inc. Flowable film properties tuning using implantation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
CN106653675B (zh) 2015-08-28 2020-07-10 中芯国际集成电路制造(北京)有限公司 浅沟槽隔离结构的形成方法
US9997351B2 (en) 2015-12-08 2018-06-12 Varian Semiconductor Equipment Associates, Inc. Apparatus and techniques for filling a cavity using angled ion beam
KR20230162158A (ko) 2017-03-31 2023-11-28 어플라이드 머티어리얼스, 인코포레이티드 고종횡비 트렌치들을 비정질 실리콘 막으로 갭충전하기 위한 2-단계 프로세스
JP7168586B2 (ja) * 2017-05-13 2022-11-09 アプライド マテリアルズ インコーポレイテッド 高品質のボイド充填法のための流動性堆積及び高密度プラズマ処理工程サイクル
JP7203515B2 (ja) 2017-06-06 2023-01-13 アプライド マテリアルズ インコーポレイテッド 連続した堆積-エッチング-処理方法を使用した酸化ケイ素及び窒化ケイ素のボトムアップ成長
CN109166787B (zh) 2018-08-26 2019-06-28 合肥安德科铭半导体科技有限公司 一种氧化硅薄膜的可流动化学气相沉积方法
JP7203585B2 (ja) 2018-12-06 2023-01-13 東京エレクトロン株式会社 基板支持器、基板処理装置、基板処理システム、及び基板支持器における接着剤の浸食を検出する方法
JP7101628B2 (ja) 2019-02-04 2022-07-15 東京エレクトロン株式会社 プラズマ処理装置および電極構造体

Also Published As

Publication number Publication date
WO2022020190A1 (en) 2022-01-27
US11615966B2 (en) 2023-03-28
JP2023535369A (ja) 2023-08-17
CN115943482A (zh) 2023-04-07
US20220020594A1 (en) 2022-01-20
TWI794883B (zh) 2023-03-01
KR20230041047A (ko) 2023-03-23

Similar Documents

Publication Publication Date Title
TWI794883B (zh) 可流動膜形成及處理
TWI817218B (zh) 用於容納寄生電漿形成的半導體處理腔室及處理方法
US11862458B2 (en) Directional selective deposition
US20230386829A1 (en) Low temperature silicon oxide gap fill
TWI790736B (zh) 單腔室流動膜的形成和處理
US20240038527A1 (en) Forming films with improved film quality
TW202412066A (zh) 低溫氧化矽間隙填充
US20230051200A1 (en) Seam-free gapfill deposition
US20230360903A1 (en) Large area gapfill using volumetric expansion
TWI819398B (zh) 拉伸的氮化物沉積系統及方法
US11830734B2 (en) Thermal deposition of silicon-germanium
TWI817522B (zh) 用於遮罩圖案化的氮化硼
US11430654B2 (en) Initiation modulation for plasma deposition
TW202326820A (zh) 高深寬比間隙填充內的縫隙移除
TW202410123A (zh) 低溫碳隙填充