TWI817522B - 用於遮罩圖案化的氮化硼 - Google Patents

用於遮罩圖案化的氮化硼 Download PDF

Info

Publication number
TWI817522B
TWI817522B TW111119454A TW111119454A TWI817522B TW I817522 B TWI817522 B TW I817522B TW 111119454 A TW111119454 A TW 111119454A TW 111119454 A TW111119454 A TW 111119454A TW I817522 B TWI817522 B TW I817522B
Authority
TW
Taiwan
Prior art keywords
boron
nitrogen
containing precursor
deposition method
less
Prior art date
Application number
TW111119454A
Other languages
English (en)
Other versions
TW202305166A (zh
Inventor
朱思雨
楊傳曦
航 于
迪尼斯 帕奇
郭蓮朱
金政煥
謙 符
夏萬 楊
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202305166A publication Critical patent/TW202305166A/zh
Application granted granted Critical
Publication of TWI817522B publication Critical patent/TWI817522B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/342Boron nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Surface Treatment Of Glass (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

範例沉積方法可包括將含硼前驅物和含氮前驅物輸送到半導體處理腔室的處理區域。方法可包括提供具有含硼前驅物和含氮前驅物的含氫前驅物。含氫前驅物對含硼前驅物或含氮前驅物任一者的流率比可為大於或約2:1。方法可包括在半導體處理腔室的處理區域內形成所有前驅物的電漿。方法可包括在設置在半導體處理腔室的處理區域內的基板上沉積硼和氮材料。

Description

用於遮罩圖案化的氮化硼
交叉參考相關申請案 本申請案主張於2021年5月25日提交的題為「BORON NITRIDE FOR MASK PATTERNING」的美國專利申請案第17/330,013號的權益和優先權,該申請案的全部內容透過引用併入本文。
本技術涉及半導體沉積處理。更具體地,本技術涉及用於改進圖案化的沉積材料的方法。
透過在基板表面上產生複雜地圖案化的材料層的處理使得積體電路成為可能。在基板上產生圖案化材料需要形成和去除暴露材料的受控的方法。隨著裝置尺寸不斷縮小,材料均勻性可能會影響後續操作。此外,隨著更多材料可以隨時結合在基板上,改進的遮罩和圖案化材料可以改進選擇性去除操作。
因此,需要可用於生產高品質裝置和結構的改進的系統和方法。這些和其他需求由本技術解決。
範例沉積方法可包括將含硼前驅物和含氮前驅物輸送到半導體處理腔室的處理區域。方法可包括提供具有含硼前驅物和含氮前驅物的含氫前驅物。含氫前驅物對含硼前驅物或含氮前驅物任一者的流率比可為大於或約2:1。方法可包括在半導體處理腔室的處理區域內形成所有前驅物的電漿。方法可包括在設置在半導體處理腔室的處理區域內的基板上沉積硼和氮材料。
在一些實施例中,硼和氮材料可以以一膜密度大於或約1.6g/cm 3為特徵。在半導體處理腔室的處理區域內形成所有前驅物的電漿期間,電漿功率可保持在小於或約1000 W。在基板上沉積硼和氮材料期間,基板溫度可保持在高於或約300℃。在基板上沉積硼和氮材料期間,壓力可保持在低於或約10 Torr。方法可包括提供具有含硼前驅物和含氮前驅物的氬前驅物。剛沉積的硼和氮材料可以以絕對膜應力小於或約500 MPa為特徵。含硼前驅物可以是或包括乙硼烷,且含氮前驅物可以是或包括氨。基板可以是或包括形成在交替膜的堆疊上的含碳膜。
本技術的一些實施例可包括沉積方法。方法可包括將含硼前驅物和含氮前驅物輸送到半導體處理腔室的處理區域。方法可包括在半導體處理腔室的處理區域內形成所有前驅物的電漿。方法可包括在包括含碳材料的基板上沉積硼和氮材料。基板可設置在半導體處理腔室的處理區域內。硼和氮材料可以是富硼材料(boron-rich material)。硼和氮材料的密度可以以大於或約1.6 g/cm 3的膜密度為特徵。
在一些實施例中,方法可包括提供具有含硼前驅物和含氮前驅物的含氫前驅物。含氫前驅物對含硼前驅物或含氮前驅物任一者的流率比可為大於或約2:1。方法可包括提供具有含硼前驅物和含氮前驅物的氬前驅物。氬前驅物對含氫前驅物的流率比可為大於或約1:1。剛沉積的硼和氮材料可以以絕對膜應力小於或約500 MPa為特徵。在基板上沉積硼和氮材料期間,基板溫度可保持在高於或約300℃。在半導體處理腔室的處理區域內形成所有前驅物的電漿期間,電漿功率可保持在小於或約500 W。含硼前驅物可以是或包括乙硼烷,且含氮前驅物可以是或包括氨。
本技術的一些實施例可包括沉積方法。方法可包括將含硼前驅物和含氮前驅物輸送到半導體處理腔室的處理區域。方法可包括在半導體處理腔室的處理區域內形成所有前驅物的電漿。方法可包括在設置在半導體處理腔室的處理區域內的基板上沉積硼和氮材料。基板可以是或包括形成在交替膜的堆疊上的含碳膜。硼和氮材料可以與含碳膜接觸而沉積。
在一些實施例中,方法可包括提供具有含硼前驅物和含氮前驅物的含氫前驅物。含氫前驅物對含硼前驅物或含氮前驅物任一者的流率比為大於或約2:1。剛沉積的硼和氮材料可以以絕對膜應力小於或約500 MPa為特徵。硼和氮材料的密度可以以大於或約1.6 g/cm 3的膜密度為特徵。在基板上沉積硼和氮材料期間,基板溫度可保持在高於或約400 °C。在半導體處理腔室的處理區域內形成所有前驅物的電漿期間,電漿功率可保持在小於或約500 W。
相對於常規的系統和技術,本技術可提供許多益處。例如,方法可產生以改進的材料特性為特徵的膜。此外,透過在一些實施例中利用無矽材料,可以穿過下層膜提供改進的蝕刻,與傳統技術相比,這可以產生更均勻的遮罩開口或其他蝕刻。結合以下描述和隨附圖式更詳細地描述了這些和其他實施例以及它們的許多優點和特徵。
隨著3D NAND結構的形成單元數量的增加,記憶體孔和其他結構的長寬比持續增加,有時增加很顯著。在3D NAND處理期間,佔位層和介電材料的堆疊可以形成電極間介電層。在完全去除材料並以金屬替換之前,這些佔位層可能會實行各種操作以放置結構。特別是一些處理,例如記憶體孔形成或階梯形成,可包括蝕刻穿過堆疊的大部分或所有層。遮罩材料可用於允許材料被部分蝕刻,或被蝕刻以在基板上產生特徵。對於當前的遮罩技術而言,利用遮罩蝕刻穿過數十或數百層可能具有挑戰性。
例如,在穿過記憶體堆疊的結構形成中,一些技術可利用含碳硬遮罩,例如無定形(amorphous)碳。在圖案化記憶體結構之前,遮罩打開操作可包括穿過含碳硬遮罩形成特徵。傳統技術可利用含矽材料來圖案化碳硬遮罩。然而,隨著層的堆疊增加,碳硬遮罩的厚度也可能增加,例如厚度大於或約3 μm、大於或約4 μm、或更大。這可能會挑戰傳統技術的圖案化。例如,在遮罩打開處理期間,用於圖案化碳硬遮罩的含矽遮罩可以暴露於蝕刻劑化學物更長的時間段。這可能導致硬遮罩的錐形(tapered)開口,這可能使含矽材料以更大的入射角暴露於蝕刻劑。
與其他遮罩材料相比,隨著入射角的增加,含矽材料可能更容易濺射。然而,這種濺射材料可能不會從結構中耗盡,並且濺射的矽或矽和氧材料可能會穿過打開的碳遮罩重新沉積在蝕刻前沿。當這種情況發生時,蝕刻前沿可能會發生堵塞,這可能會改變特徵幾何形狀。例如,碳硬遮罩中的記憶體孔開口可能隨著在某些區域中發生堆積而開始形成橢圓形狀。這種形狀調整可能導致在穿過硬遮罩的直徑可能受限的地方發生頸縮(necking),並且可能無法在硬遮罩中形成足夠的記憶孔圖案。一些傳統技術可能會嘗試包括單獨的蝕刻劑或蝕刻操作以移動或去除重新沉積的矽材料,但隨著特徵尺寸在關鍵尺寸上的減小,可能會發生圖案塌陷。因此,隨著硬遮罩厚度的增加,傳統技術可能無法促進未來節點的形成。
本技術可透過利用硼和氮材料來圖案化碳硬遮罩來克服這些限制,並且在一些實施例中,本技術可以利用不含矽摻雜的遮罩。例如,本技術可以形成以特定膜特性為特徵的遮罩,其可以透過限制再沉積和保持特徵幾何形狀來實現改進的碳硬遮罩開口。這可以促進更均勻的開口輪廓,其可減少或限制不正確的圖案轉移到下面的基板材料。在描述了可以在其中實行如下文討論的電漿處理操作的根據本技術的實施例的腔室的一般態樣之後,可以討論具體的方法和配置。應理解,本技術並不旨在限於所討論的特定膜和處理,因為所描述的技術可用於改善許多膜形成處理,且可適用於各種處理腔室和操作。
1示出了根據本技術的一些實施例的示例性處理腔室100的剖視圖。該圖可示出結合了本技術的一或多個態樣和/或可以實行根據本技術的實施例的一或多個操作的系統的概述。腔室100的額外細節或所實行的方法可進一步在下文描述。根據本技術的一些實施例,腔室100可用以形成膜層,儘管應理解,方法可類似地在可能發生膜形成的任何腔室中實行。處理腔室100可包括腔室主體102、設置在腔室主體102內部的基板支撐件104、以及與腔室主體102耦接並將基板支撐件104封閉在處理空間120中的蓋組件106。可以穿過開口126將基板103提供給處理空間120,該開口通常可以被密封以用於使用狹縫閥或門進行處理。在處理期間,基板103可以位於基板支撐件的表面105上。如箭頭145所示,基板支撐件104可沿著軸線147旋轉,其中基板支撐件104的軸144可位於軸線147。或者,可以在沉積處理中根據需要將基板支撐件104提升以旋轉。
電漿輪廓調製器111可設置在處理腔室100中,以控制設置在基板支撐件104上的基板103上的電漿分佈。電漿輪廓調製器111可包括第一電極108,其可鄰近腔室主體102設置,並且可將腔室主體102與蓋組件106的其他元件分開。第一電極108可以是蓋組件106的一部分,或可以是單獨的側壁電極。第一電極108可以是環形或環狀構件,並且可以是環形電極。第一電極108可以是圍繞處理空間120的圍繞處理腔室100的圓周的連續環,或者如果需要的話,可在所選位置處不連續。第一電極108亦可是穿孔電極,例如穿孔環或網狀電極,或者可以是板狀電極,例如二次氣體分配器。
一或多個隔離器110a、110b可以是介電材料,例如陶瓷或金屬氧化物,例如氧化鋁和/或氮化鋁,可以與第一電極108接觸並且將第一電極108與氣體分配器112和腔室主體102電分離和熱分離。氣體分配器112可界定用於將處理前驅物分配到處理空間120中的孔118。氣體分配器112可以與第一電源142耦接,例如RF產生器、RF電源、DC電源、脈衝DC電源、脈衝RF電源、或可以與處理腔室耦接的任何其他電源。在一些實施例中,第一電源142可以是RF電源。
氣體分配器112可以是導電氣體分配器或非導電氣體分配器。氣體分配器112也可以由導電和非導電元件形成。例如,氣體分配器112的主體可以是導電的,而氣體分配器112的面板可以是不導電的。氣體分配器112可以例如由圖1所示的第一電源142供電,或者在一些實施例中,氣體分配器112可以接地。
第一電極108可以與第一調諧電路128耦接,該第一調諧電路128可以控制處理腔室100的接地路徑。第一調諧電路128可包括第一電子感測器130和第一電子控制器134。第一電子控制器134可以是或包括可變電容或其他電路元件。第一調諧電路128可以是或包括一或多個電感132。第一調諧電路128可以是在處理期間在存在於處理空間120中的電漿條件下實現可變或可控阻抗的任何電路。在所示的一些實施例中,第一調諧電路128可包括並聯耦接在地和第一電子感測器130之間的第一電路腳(circuit leg)和第二電路腳。第一電路腳可包括第一電感132A。第二電路腳可包括與第一電子控制器134串聯耦接的第二電感132B。第二電感132B可設置在第一電子控制器134和將第一電路腳和第二電路腳兩者都連接到第一電子感測器130的節點之間。第一電子感測器130可以是電壓或電流感測器,並且可以與第一電子控制器134耦接,該第一電子控制器可提供對處理空間120內的電漿條件的一定程度的封閉迴路控制。
第二電極122可與基板支撐件104耦接。第二電極122可被嵌入在基板支撐件104內或與基板支撐件104的表面耦接。第二電極122可以是板、穿孔板、網、鋼絲網(wire screen)或導電元件的任何其他分散式佈置。第二電極122可以是調諧電極,並且可以透過導管146與第二調諧電路136耦接,該導管146例如是設置在基板支撐件104的軸144中的具有例如50歐姆的選定電阻的電纜。第二調諧電路136可具有第二電子感測器138和第二電子控制器140,其可以是第二可變電容。第二電子感測器138可以是電壓或電流感測器,並且可以與第二電子控制器140耦接以提供對處理空間120中的電漿條件的進一步控制。
可以是偏置電極和/或靜電吸附電極的第三電極124可以與基板支撐件104耦接。第三電極可以透過濾波器148與第二電源150耦接,濾波器148可以是阻抗匹配電路。第二電源150可以是DC電源、脈衝DC電源、RF偏置電源、脈衝RF電源、或偏置電源、或這些或其他電源的組合。在一些實施例中,第二電源150可以是RF偏置電源。
圖1的蓋組件106和基板支撐件104可與任何處理腔室一起使用以進行電漿或熱處理。在操作中,處理腔室100可提供對處理空間120中電漿條件的即時控制。可以將基板103設置在基板支撐件104上,並且可以根據任何期望的流動計劃,使用入口114使處理氣體流過蓋組件106。氣體可以透過出口152離開處理腔室100。電力可以與氣體分配器112耦接以在處理空間120中建立電漿。在一些實施例中,可以使用第三電極124使基板經受電偏壓。
在激發處理空間120中的電漿時,可以在電漿與第一電極108之間建立電位差。亦可在電漿和第二電極122之間建立電位差。電子控制器134、140可接著被使用來調節由兩個調諧電路128和136表示的接地路徑的流動特性。設定點可以被傳遞到第一調諧電路128和第二調諧電路136,以提供從中心到邊緣的沉積率和電漿密度均勻性的獨立控制。在兩個電子控制器都可以是可變電容的實施例中,電子感測器可以調節可變電容以獨立地最大化沉積率且最小化厚度不均勻性。
調諧電路128、136中的每一個可具有可變阻抗,該可變阻抗可使用相應的電子控制器134、140來調節。在電子控制器134、140是可變電容的情況下,可以選擇每個可變電容的電容範圍以及第一電感132A和第二電感132B的電感來提供阻抗範圍。此範圍可取決於電漿的頻率和電壓特性,其在每個可變電容的電容範圍內可具有最小值。因此,當第一電子控制器134的電容為最小或最大時,第一調諧電路128的阻抗可能很高,導致電漿形狀在基板支撐件上具有最小的空中或橫向覆蓋。當第一電子控制器134的電容接近使第一調諧電路128的阻抗最小化的值時,電漿的空中覆蓋範圍可增長到最大,從而有效地覆蓋基板支撐件104的整個工作區域。當第一電子控制器134的電容偏離最小阻抗設置時,電漿形狀可能從腔室壁收縮並且基板支撐件的空中覆蓋率可能下降。第二電子控制器140可具有類似的效果,隨著第二電子控制器140的電容可改變,而增加和減少了在基板支撐件上的電漿的空中覆蓋。
電子感測器130、138可用於在封閉迴路中調諧各個電路128、136。取決於所使用的感測器的類型,可以將電流或電壓的設定點安裝在每個感測器中,並且感測器可配備有控制軟體,該控制軟體判定對每個相應電子控制器134、140的調整以最小化與設定點的偏差。因此,可以在處理期間選擇和動態地控制電漿形狀。應理解,儘管上文的討論是基於可以是可變電容的電子控制器134、140,但是具有可調節特性的任何電子元件都可以用來為調諧電路128和136提供可調節的阻抗。
2示出了根據本技術的一些實施例的沉積方法200中的示例性操作。方法可以在各種處理腔室中實行,包括上述處理腔室100。方法200可包括多個選擇性操作,其可以或可以不與根據本技術的方法的一些實施例具體地相關聯。例如,描述了許多操作以提供結構形成的更廣泛範疇,但是對技術不是關鍵的,或者可以透過容易理解的替代方法來實行。方法200可以描述產生 3中示意性示出的材料的操作,其說明將結合方法200的操作進行描述。應理解,圖式僅圖示了部分示意圖,並且基板可包含具有如圖所示的各種特性和態樣的任意數量的附加材料和特徵。
方法200可包括在開始所列的操作之前的附加操作。例如,額外的處理操作可包括在半導體基板上形成結構,包括用於3D NAND的材料堆疊、形成電晶體或其他記憶體結構、或者可包括形成和/或去除材料的任何其他處理。前處理操作可以在方法200在其中實行的腔室中執行,或者可以在將基板傳送到其中實行方法200的半導體處理腔室中之前,在一或多個其他處理腔室中實行處理。無論如何,方法200可以選擇性地包括將半導體基板傳送到半導體處理腔室的處理區域,例如上述處理腔室100,或可包括上述元件的其他腔室。基板可以放置在基板支撐件上,該基板支撐件可以是諸如基板支撐件104之類的底座,並且其可以駐留在腔室的處理區域中,諸如上述處理空間120。圖3中示出了示例性基板305,可以在其上形成材料。
基板305可以是可以在其上沉積材料的任何數量的材料。基板可以是或包括矽、鍺、包括氧化矽或氮化矽的介電材料、金屬材料、或這些材料的任意數量的組合,其可以是基板305或形成在基板305上的材料。覆蓋基板的可以是堆疊310,堆疊310可包括交替的矽層和氧化矽層、氧化矽層和氮化矽層,或者可以用於半導體處理的其他材料,例如用於產生3D NAND堆疊。儘管繪示了二十層,但應理解,任何數量的層可包括在整個堆疊內,例如數十或數百層。
覆蓋材料的堆疊的可以是硬遮罩315,其可被圖案化以產生穿過堆疊的特徵。作為一個非限制性範例,硬遮罩可以被一系列孔圖案化,用於產生穿過堆疊310的記憶體孔。硬遮罩315可以是在遮罩中使用的任何數量的材料,並且在一些實施例中可以是或包括含碳材料。含碳材料可以是無定形碳材料以及摻雜碳的材料,其可包括一或多種金屬或元素以調整遮罩的特性。如前所述,在一些實施例中,硬遮罩315可以以大於或約2 μm的厚度為特徵,並且可以以大於或約3 μm、大於或約4 μm、或更大的厚度為特徵。因此,穿過硬遮罩進行蝕刻可能需要更多時間,這可能導致特徵過度加寬以及使以傳統技術進行濺射和重新沉積。本技術透過在硬遮罩315上形成圖案化遮罩來克服這些問題,其可以改進的膜特性為特徵,並且可以不濺射或再沉積。在一些實施例中,根據本技術實施例的圖案化遮罩可以不含矽,並且在形成圖案化遮罩期間可以不包括含矽材料作為前驅物。相反地,本技術的一些實施例可以形成包括硼和氮的遮罩以促進圖案化硬遮罩315,例如無定形碳(amorphous-carbon)硬遮罩。
在操作205,可以將一或多個前驅物輸送到腔室的處理區域。例如,在可以形成硼和氮膜的示例性實施例中,可以將含硼前驅物和含氮前驅物輸送到處理腔室的處理區域。在本技術的一些實施例中可實行電漿增強沉積,這可以促進材料反應和沈積。如上所述,本技術的一些實施例可包括硼和氮材料的形成或沉積,其可以促進下層遮罩的圖案化的材料特性為特徵。為了產生以對碳具有足夠選擇性為特徵的膜,同時還限制濺射和圖案損失,本技術可包括許多處理的其他態樣以產生具有特定材料特性的氮化硼膜。
本技術的一些實施例可包括在操作210額外地提供含氫前驅物,其可提供有含硼前驅物和含矽前驅物。在操作215,所輸送的前驅物可以全部用於在半導體處理腔室的處理區域內形成電漿。在操作220,可以在基板305上沉積含硼和氮的材料。透過在一些實施例中結合含氫前驅物和/或如下所述的氬氣,可以控制膜應力、密度、和其他膜特性,以改進作為圖案化遮罩的操作。
透過結合額外的氫源,可以與材料的沉積同時實行膜修改或輪廓蝕刻。例如,透過與由硼和氮材料形成的特徵的反應和/或物理相互作用,氫自由基可以促進受控的膜生長和結構形成。因此,可以使膜應力更加中性,並且可基於轟擊量來調節密度,這也可以透過下文討論的附加參數來控制。為了在處理中提供足夠的氫自由基,可以以比含硼前驅物和含氮前驅物中的一者或兩者更大的流率來包括含氫前驅物。例如,在一些實施例中,含氫前驅物對含硼前驅物和含氮前驅物中的任一者或兩者的流率比可以大於或約1:1,並且在一些實施例中可以大於或約2:1、大於或約3:1、大於或約4:1、大於或約5:1、大於或約6:1、大於或約8:1、大於或約10:1、大於或約15:1、大於或約20:1、大於或約25:1、大於或約30:1、大於或約35:1、大於或約40: 1、大於或約45:1、大於或約50:1、大於或約100:1、大於或約200:1、或更高。
例如,根據所使用的前驅物,含氮前驅物可以以小於或約500 sccm的流率輸送,並且可以以小於或約400 sccm、小於或約300 sccm、小於或約200 sccm、小於或約100 sccm、小於或約90 sccm、小於或約80 sccm、小於或約70 sccm、小於或約60 sccm、小於或約50 sccm、小於或約25 sccm,小於或約10 sccm、或更低的流率輸送。類似地,含硼前驅物可以以小於或約500 sccm的流率輸送,並且可以以小於或約400 sccm、小於或約350 sccm、小於或約300 sccm、小於或約250 sccm、小於或約200 sccm、小於或約150 sccm、小於或約100 sccm、小於或約80 sccm、小於或約60 sccm、小於或約50 sccm、小於或約40 sccm、小於或約30 sccm、小於或約20 sccm、小於或約10 sccm、或更低的流率輸送。在一些實施例中,含硼前驅物可在另一前驅物如氫氣中稀釋,這可限制分解。可以以材料的任何百分比包括含硼前驅物,並且上述流率可以是根據本技術實施例的混合前驅物流率或硼成分的流率。也可以使用這些範圍或作為任何已說明或未說明的數字的組合內的任何額外的範圍。可以在基板或覆蓋基板的材料上沉積任何厚度的膜。例如,如圖3所示,可以是硼和氮膜的圖案化遮罩320可以直接沉積在硬遮罩315(例如碳硬遮罩)上並與硬遮罩接觸。
對於含硼前驅物和含氮前驅物,本技術可以使用任何數量的前驅物。例如,含硼材料可以是或包括硼烷,例如硼烷、乙硼烷或其他多中心鍵結(multicenter-bonded )硼材料,以及可用於產生含硼和氮的材料的任何其他含硼材料。含氮材料可包括任何氮材料,例如雙原子氮、氨、一氧化二氮、一氧化氮、或任何其他含氮材料。在一些實施例中,一或多種摻雜劑可包括在硼和氮膜中,例如碳、氧、金屬或任何其他材料,儘管在一些實施例中,圖案化遮罩320可不包括或可以不含矽。在本技術的實施例中,所產生的膜可以以任何百分比的硼和/或氮的摻入為特徵,其中任一元素組成所產生的膜中的多數原子百分比。
例如,硼和氮膜中的硼摻入可以是基於任何百分比摻入。例如,所產生的膜可包括大於或約5 at.%的硼摻入,並且在一些實施例中可包括大於或約10 at.%的硼摻入、大於或約15 at.%的硼摻入、大於或約20 at.%的硼摻入、大於或約25 at.%的硼摻入、大於或約30 at.%的硼摻入、大於或約35 at.%的硼摻入、大於或約40 at.%的硼摻入、大於或約45 at.%的硼摻入、大於或約50 at.%的硼摻入、大於或約55 at.%的硼摻入、大於或約 60 at.%的硼摻入、大於或約65 at.%的硼摻入、大於或約70 at.%的硼摻入、大於或約75 at.%的硼摻入、大於或約80 at.%的硼摻入、大於或約85 at.%的硼摻入、大於或約90 at.%的硼摻入、大於或約95 at.%的硼摻入、或更高,這可產生基本上無定形的硼膜。在任何的這些範例中,剩餘百分比可以基本上是或可以包括任何比例的氮或氫,並且亦可包括額外的摻雜劑或組成材料。在一些實施例中,硼和氮的膜可以是多數硼(majority boron)或富硼膜(boron-rich film)。這可包括其中硼組成大於或約51 at.%的膜,以及其中硼組成相對於一種或所有其他組成材料的更大百分比的內含物的膜。
亦可調整沉積的一或多個附加態樣以改進正在實行的沉積的態樣。例如,取決於所使用的含氫前驅物,電漿功率可能會影響氫離解的程度。對於一些含硼材料和含氮材料,這些材料在沉積溫度下具有足夠的反應性,其可包括最小的電漿增強。此外,電漿功率可能會影響離子轟擊並產生更具壓縮性的膜。因此,在一些實施例中,在電漿形成期間,電漿功率可維持在小於或約1000 W,並且可維持在小於或約950 W、小於或約900 W、小於或約850 W、小於或約800 W、小於或約750 W、小於或約700 W、小於或約650 W、小於或約600 W、小於或約550 W、小於或約500 W、小於或約450 W、小於或約400 W、小於或約350 W、小於或約300 W、小於或約250 W、小於或約200 W或更低。
基板的溫度可能額外地影響沉積。例如,在一些實施例中,基板可維持在大於或約300°C的溫度,並且可維持在大於或約320°C、大於或約340°C、大於或約360°C、大於或約380°C、大於或約400°C、大於或約420°C、大於或約440°C、大於或約460°C、大於或約480°C、大於或約500°C、大於或約520°C、大於或約540°C、大於或約560°C、大於或約580°C、大於或約600°C、或更高的溫度。許多電漿增強沉積處理和熱沉積處理可尋求增加沉積溫度,這可能更容易地改善膜內的結合。然而,隨著溫度繼續增加,膜應力也可能增加,且因此,為了在膜內產生較低的應力,溫度可以保持在低於或約650°C,並且可保持在低於或約600°C或更低。
處理區域內的壓力可能會影響在沉積期間實行的離子化的量和物理相互作用。透過降低處理壓力,可能發生增加的離子相互作用。因此,在一些實施例中,沉積期間的處理壓力可維持在小於或約10 Torr,並且可維持在小於或約9 Torr、小於或約8 Torr、小於或約7 Torr、小於或約6 Torr、小於或約5 Torr、小於或約4 Torr、小於或約3 Torr、小於或約2 Torr、或更低,儘管在一些實施例中,壓力可維持在高於或約1 Torr、高於或約2 Torr、或更高,以控制轟擊和膜應力。類似地,基板與面板或電極的間距也可能影響轟擊,其中較低的間距可能會增加轟擊。因此,在一些實施例中,基板可以與面板隔開大於或約7 mm的距離,並且可以以大於或約8 mm、大於或約9 mm、大於或約10 mm、大於或約11 mm、大於或約12 mm、大於或約13 mm、大於或約14 mm或更大的距離隔開。
在一些實施例中可包括氬以進一步有助於電漿特性和膜緻密化。因此,在一些實施例中,氬可以與含硼前驅物和含氮前驅物一起包含。為了限制較重的氬原子的撞擊造成的轟擊的影響,氬前驅物與含氫前驅物的流率比可以保持在小於或約2:1,並且可以保持在小於或約1.9:1、小於或約1.8:1、小於或約1.7:1、小於或約1.6:1、小於或約1.5:1、小於或約1.4:1、小於或約1.3:1、小於或約1.2:1、小於或約1.1:1、小於或約1.0:1或更低。
透過根據本技術的實施例實行沉積,沉積膜可以以改進的材料特性為特徵。例如,碳膜可以以基本上中性的應力為特徵,而硼和氮材料可以以更大的壓應力為特徵。如果不減弱,應力可能會影響基板的附著或彎曲。根據本技術的實施例實行沉積操作可產生可以鬆弛壓應力的膜。例如,在一些實施例中,在沉積之後,膜內的壓應力可以保持在小於或約-600 MPa,其可以是小於或約600 MPa的絕對應力,且壓應力可以是小於或約-550 MPa、小於或約-500 MPa、小於或約-450 MPa、小於或約-400 MPa、小於或約-350 MPa、小於或約-300 MPa、小於或約-250 MPa、小於或約-200 MPa、小於或約-150 MPa、小於或約-100 MPa或更低。
此外,本技術可產生以比其他含硼膜增加的密度為特徵的膜。氮氧化矽膜可以以更高的密度為特徵,且為了提供更接近的替代品,本技術可以產生以膜密度大於或約1.50 g/cm 3為特徵的硼和氮膜,並且可產生以大於或約1.55 g/cm 3、大於或約1.60 g/cm 3、大於或約1.65 g/cm 3、大於或約1.70 g/cm 3、大於或約1.75 g/cm 3、大於或約1.80 g/cm 3、大於或約1.85 g/cm 3、大於或約1.90 g/cm 3、大於或約1.95 g/cm 3、大於或約2.00 g/cm 3或更高的膜密度為特徵的膜。這可以提高蝕刻選擇性,其也可以控制穿過圖案化遮罩的蝕刻輪廓。
在已經實行膜形成之後,可以在選擇性操作225處處理圖案化遮罩以形成要在蝕刻處理中轉移到下層材料的特徵。在如圖3所示的一個非限制性範例中,圖案化遮罩320可用於圖案化用於3D NAND的硬遮罩315。接著可在蝕刻膜堆疊之前實行蝕刻處理以打開硬遮罩。如圖所示,隨著遮罩打開處理的實行,由於增加的硬遮罩厚度,圖案化遮罩320可能增加了對蝕刻劑材料的暴露,這可能導致過度去除和沿遮罩的一定量的傾斜。圖3示出了一個誇大的例子,儘管在使用含矽材料的傳統技術中,由於在形成斜面時沿圖案化遮罩的較高入射角,這種去除可能會產生濺射。
本技術可以以下為特徵:有限的或基本上沒有可能在硬遮罩315內產生再沉積或頸縮的濺射,並且可以增加穿過硬遮罩315或下層的孔或特徵形成的均勻性。如圖所示,在一些實施例中,可以表示自與垂直穿過圖案化遮罩和/或硬遮罩的角度的角度A可以保持在小於或約15°,並且可以特徵中產生圖案化,其中角度A可保持在小於或約10°、小於或約9°、小於或約8°、小於或約7°、小於或約6°、小於或約5°、小於或約4°、小於或約3°、小於或約2°、小於或約1°或更小,其中平行或實質上或基本上垂直的壁可完全穿過結構產生。此外,在形成孔的情況下,每個孔周圍的直徑在蝕刻之後可以在整個硬遮罩上均勻大於或約90%,並且可以在任何兩個點處從頂部到底部一致或均勻大於或約91%、大於或約92%、大於或約93%、大於或約94%、大於或約95%、大於或約96%、大於或約97%、大於或約98%、大於或約99%、或更高。透過根據本技術的實施例實行沉積,可以形成改進的硬遮罩,其可透過改進蝕刻選擇性同時利用可減少可能導致不均勻蝕刻的濺射或再沉積的材料來促進處理期間的增加的均勻性。
在前面的描述中,出於解釋的目的,已闡述許多細節以便提供對本技術的各種實施例的理解。然而,對所屬技術領域具有通常知識者將顯而易見的是,可以在沒有這些細節中的一些或具有其他細節的情況下實施某些實施例。
已經揭示了幾個實施例,所屬技術領域具有通常知識者將認識到,可以使用各種修改、替代構造、和均等而不脫離實施例的精神。此外,為了避免不必要地混淆本技術,並未描述許多習知的處理和元件。因此,以上描述不應被視為限制本技術的範疇。此外,方法或處理可以被描述為順序的或按步驟的,但是應理解,操作可以同時實行,或者以與所列順序不同的順序實行。
在提供值的範圍的情況下,應理解到,除非上下文另外明確指出,否則在此範圍的上限和下限之間的每個中間的值,到下限的單位的最小部分,都亦明確揭露。涵蓋了在描述的範圍內的任何描述的值或未描述的中間值與該描述的範圍內的任何其他描述的或中間值之間的任何較窄的範圍。這些較小範圍的上限和下限可以獨立地包括在該範圍中或排除在該範圍之外,且在界限的一者、均沒有、或兩者被包括在該較小範圍內的每個範圍亦被涵蓋於本技術之中,針對受描述的範圍內任何明確排除的界限。在所述範圍包括界限的一者或兩者的情況下,亦包括排除那些所包括的界限中的一者或兩者的範圍。
如本文和隨附申請專利範圍中所使用的,單數形式的「一」、「一個」、和「該」包括複數參照,除非上下文有另外明確指出。因此,例如,對於「前驅物」的參照包括複數個這種前驅物,並且對「該層」的參照包括對所屬技術領域具有通常知識者為已知的一或多個層及其均等,等等。
而且,當在本說明書和隨附申請專利範圍中使用時,用語「包括(comprise(s))」、「包括(comprising)」、「包含(contain(s))」、「包含(containing)」、「包括(include(s))」、和「包括(including)」是旨在於指名所描述的特徵、整體、元件、或操作的存在,但是它們並不排除一或多個其他特徵、整體、元件、操作、動作、或組的存在或增加。
100:處理腔室 102:腔室主體 103:基板 104:基板支撐件 105:表面 106:蓋組件 108:第一電極 110a:隔離器 110b:隔離器 111:電漿輪廓調製器 112:氣體分配器 114:入口 118:孔 120:處理空間 122:第二電極 124:第三電極 126:開口 128:第一調諧電路 130:第一電子感測器 132:電感 132A:第一電感 132B:第二電感 134:第一電子控制器 136:第二調諧電路 138:第二電子感測器 140:第二電子控制器 142:第一電源 144:軸 145:箭頭 146:導管 147:軸線 148:濾波器 150:第二電源 152:出口 200:方法 205:操作 210:操作 215:操作 220:操作 225:操作 305:基板 310:堆疊 315:硬遮罩 320:圖案化遮罩
透過參照說明書的其餘部分和隨附圖式,可以實現對所揭露的技術的性質和優點的進一步理解。
圖1示出了根據本技術的一些實施例的示例性處理腔室的示意性截面圖。
圖2示出了根據本技術的一些實施例的沉積方法中的示例性操作。
圖3示出了具有根據本技術的一些實施例的材料的基板的示意性截面圖。
一些圖作為示意圖包含在內。應理解,圖式僅用於說明性目的,除非特別說明是按比例,否則不應視為按比例。此外,作為示意,提供了圖以幫助理解,並且與實際表示相比,圖可能不包括所有態樣或資訊,並且出於說明目的,可能包括放大的材料。
在隨附圖式中,相似的元件和/或特徵可具有相同的參照標籤。此外,相同類型的各種元件可以透過在參照標籤後加上一個在相似元件之間進行區分的字母來進行區分。如果在說明書中僅使用第一參照標籤,則該描述可應用於具有相同第一參照標籤的任何一個類似的元件,而與字母無關。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
200:方法
205:操作
210:操作
215:操作
220:操作
225:操作

Claims (20)

  1. 一種沉積方法,包括以下步驟:將一含硼前驅物和一含氮前驅物輸送到一半導體處理腔室的一處理區域;提供具有該含硼前驅物和該含氮前驅物的一含氫前驅物,其中該含氫前驅物對該含硼前驅物或該含氮前驅物任一者的一流率比為大於或約2:1;在該半導體處理腔室的該處理區域內形成所有前驅物的一電漿;和在設置在該半導體處理腔室的該處理區域內的一基板上沉積不含矽之一硼和氮材料。
  2. 如請求項1所述之沉積方法,其中該硼和氮材料的特徵在於一膜密度大於或約1.6g/cm3
  3. 如請求項1所述之沉積方法,其中在一半導體處理腔室的該處理區域內形成所有前驅物的該電漿期間,一電漿功率保持在小於或約1000W。
  4. 如請求項1所述之沉積方法,其中在該基板上沉積該硼和氮材料期間,一基板溫度保持在高於或約300℃。
  5. 如請求項1所述之沉積方法,其中在該基板上沉積該硼和氮材料期間,一壓力保持在低於或約10Torr。
  6. 如請求項1所述之沉積方法,進一步包括以下步驟: 提供具有該含硼前驅物和該含氮前驅物的一氬前驅物。
  7. 如請求項1所述之沉積方法,其中剛沉積的該硼和氮材料的特徵在於一絕對膜應力小於或約500MPa。
  8. 如請求項1所述之沉積方法,其中該含硼前驅物包括乙硼烷,且其中該含氮前驅物包括氨。
  9. 如請求項1所述之沉積方法,其中該基板包括形成在交替膜的一堆疊上的一含碳膜。
  10. 一種沉積方法,包括以下步驟:將一含硼前驅物和一含氮前驅物輸送到一半導體處理腔室的一處理區域;在該半導體處理腔室的該處理區域內形成所有前驅物的一電漿;和在包括一含碳材料的一基板上沉積不含矽之一硼和氮材料,其中該基板設置在該半導體處理腔室的該處理區域內,其中該硼和氮材料包括一富硼材料(boron-rich material),且其中該硼和氮材料的一密度的特徵在於大於或約1.6g/cm3的一膜密度。
  11. 如請求項10所述之沉積方法,進一步包括以下步驟:提供具有該含硼前驅物和該含氮前驅物的一含氫前驅物,其中該含氫前驅物對該含硼前驅物或該含氮前驅物任一者的一流率比為大於或約2:1。
  12. 如請求項11所述之沉積方法,進一步包括以下步驟:提供具有該含硼前驅物和該含氮前驅物的一氬前驅物,其中該氬前驅物對該含氫前驅物的一流率比大於或約1:1。
  13. 如請求項10所述之沉積方法,其中剛沉積的該硼和氮材料的特徵在於一絕對膜應力小於或約500MPa。
  14. 如請求項10所述之沉積方法,其中在該基板上沉積該硼和氮材料期間,一基板溫度保持在高於或約300℃。
  15. 如請求項10所述之沉積方法,其中在一半導體處理腔室的該處理區域內形成所有前驅物的該電漿期間,一電漿功率保持在小於或約500W。
  16. 如請求項10所述之沉積方法,其中該含硼前驅物包括乙硼烷,且其中該含氮前驅物包括氨。
  17. 一種沉積方法,包括以下步驟:將一含硼前驅物和一含氮前驅物輸送到一半導體處理腔室的一處理區域;在該半導體處理腔室的該處理區域內形成所有前驅物的一電漿;和在設置在該半導體處理腔室的該處理區域內的一基板上沉積不含矽之一硼和氮材料,其中該基板包括形成在交替膜的一堆疊上的一含碳膜,且其中該硼和氮材料被 與該含碳膜接觸而沉積。
  18. 如請求項17所述之沉積方法,進一步包括以下步驟:提供具有該含硼前驅物和該含氮前驅物的一含氫前驅物,其中該含氫前驅物對該含硼前驅物或該含氮前驅物任一者的一流率比為大於或約2:1。
  19. 如請求項17所述之沉積方法,其中剛沉積的該硼和氮材料的特徵在於一絕對膜應力小於或約500MPa,且其中該硼和氮材料的特徵在於一膜密度大於或約1.6g/cm3
  20. 如請求項17所述之沉積方法,其中在該基板上沉積該硼和氮材料期間,一基板溫度保持在高於或約400℃,且其中在一半導體處理腔室的該處理區域內形成所有前驅物的該電漿期間,一電漿功率保持在小於或約500W。
TW111119454A 2021-05-25 2022-05-25 用於遮罩圖案化的氮化硼 TWI817522B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/330,013 US11935751B2 (en) 2021-05-25 2021-05-25 Boron nitride for mask patterning
US17/330,013 2021-05-25

Publications (2)

Publication Number Publication Date
TW202305166A TW202305166A (zh) 2023-02-01
TWI817522B true TWI817522B (zh) 2023-10-01

Family

ID=84194266

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111119454A TWI817522B (zh) 2021-05-25 2022-05-25 用於遮罩圖案化的氮化硼

Country Status (6)

Country Link
US (1) US11935751B2 (zh)
JP (1) JP2024519162A (zh)
KR (1) KR20240011804A (zh)
CN (1) CN117795646A (zh)
TW (1) TWI817522B (zh)
WO (1) WO2022251196A1 (zh)

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020090835A1 (en) * 2001-01-08 2002-07-11 Chakravarti Ashima B. Methods and materials for depositing films on semiconductor substrates
TW200915427A (en) * 2007-07-13 2009-04-01 Applied Materials Inc Boron derived materials deposition method

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8247332B2 (en) 2009-12-04 2012-08-21 Novellus Systems, Inc. Hardmask materials
US8288292B2 (en) 2010-03-30 2012-10-16 Novellus Systems, Inc. Depositing conformal boron nitride film by CVD without plasma
DE102013224308B4 (de) * 2013-11-27 2017-02-02 Kennametal Inc. Gesinterter Bornitrid-Körper sowie Verfahren zum Herstellen eines gesinterten Bornitrid-Körpers
US10985009B2 (en) * 2018-04-27 2021-04-20 Applied Materials, Inc. Methods to deposit flowable (gap-fill) carbon containing films using various plasma sources
WO2019245702A1 (en) * 2018-06-19 2019-12-26 Applied Materials, Inc. Pulsed plasma deposition etch step coverage improvement
US11702751B2 (en) 2019-08-15 2023-07-18 Applied Materials, Inc. Non-conformal high selectivity film for etch critical dimension control
CN115280467A (zh) * 2019-11-08 2022-11-01 应用材料公司 减少材料表面粗糙度的方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020090835A1 (en) * 2001-01-08 2002-07-11 Chakravarti Ashima B. Methods and materials for depositing films on semiconductor substrates
TW200915427A (en) * 2007-07-13 2009-04-01 Applied Materials Inc Boron derived materials deposition method

Also Published As

Publication number Publication date
CN117795646A (zh) 2024-03-29
US20220384189A1 (en) 2022-12-01
KR20240011804A (ko) 2024-01-26
TW202305166A (zh) 2023-02-01
WO2022251196A1 (en) 2022-12-01
JP2024519162A (ja) 2024-05-08
US11935751B2 (en) 2024-03-19

Similar Documents

Publication Publication Date Title
TWI719015B (zh) 在3d nand存放裝置中用於提高豎直蝕刻性能的膜的電漿增強化學氣相沉積
US11939674B2 (en) Methods to reduce material surface roughness
TW202217925A (zh) 可流動膜形成及處理
TWI817522B (zh) 用於遮罩圖案化的氮化硼
TWI794691B (zh) 高硼含量硬遮罩材料及其形成方法
TW202300684A (zh) 無氦矽形成
TWI804927B (zh) 處理方法、蝕刻方法及移除方法
US11894228B2 (en) Treatments for controlling deposition defects
TWI840814B (zh) 金屬摻雜的碳硬遮罩
TWI809877B (zh) 矽鍺的熱沉積
TWI828120B (zh) 具有金屬摻雜劑之材料的沉積方法
US11967524B2 (en) 3D NAND gate stack reinforcement
TW202303698A (zh) 金屬摻雜的碳硬遮罩
TW202321491A (zh) 無縫隙間隙填充沉積
TW202144608A (zh) 硼及碳膜之催化形成
TW202120739A (zh) 表面被覆材料層
TW202326820A (zh) 高深寬比間隙填充內的縫隙移除
TW202322187A (zh) 用於3d nand的分子層沉積襯墊
TW202410123A (zh) 低溫碳隙填充
TW202333185A (zh) 含碳材料之催化熱沉積