TW202209568A - 半導體結構及其形成方法 - Google Patents

半導體結構及其形成方法 Download PDF

Info

Publication number
TW202209568A
TW202209568A TW110126950A TW110126950A TW202209568A TW 202209568 A TW202209568 A TW 202209568A TW 110126950 A TW110126950 A TW 110126950A TW 110126950 A TW110126950 A TW 110126950A TW 202209568 A TW202209568 A TW 202209568A
Authority
TW
Taiwan
Prior art keywords
source
drain
contact
dielectric
layer
Prior art date
Application number
TW110126950A
Other languages
English (en)
Other versions
TWI818293B (zh
Inventor
游力蓁
蘇煥傑
黃麟淯
莊正吉
王志豪
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202209568A publication Critical patent/TW202209568A/zh
Application granted granted Critical
Publication of TWI818293B publication Critical patent/TWI818293B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823462MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • H01L29/456Ohmic electrodes on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • H01L29/66507Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide providing different silicide thicknesses on the gate and on source or drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5286Arrangements of power or ground buses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Nanotechnology (AREA)
  • Materials Engineering (AREA)
  • Geometry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

一種半導體結構,包括一個或多個通道層;閘極結構與上述一個或多個通道層嚙合;第一源極/汲極部件連接到上述一個或多個通道層的第一側,並且與上述閘極結構相鄰;第一介電蓋層設置於上述第一源極/汲極部件之上,其中上述第一介電蓋層的底表面位於上述閘極結構的頂表面下方;導孔設置於上述第一源極/汲極部件之下,並且電性連接到上述第一源極/汲極部件;以及電源軌設置於導孔之下,並且電性連接到上述導孔。

Description

半導體結構及其形成方法
本發明係有關於一種半導體結構及其形成方法,特別是有關於具有背面電源軌及背面自對準導孔的半導體裝置及其形成方法。
習知的積體電路以向上堆疊的方式建構,其具有處於最低水平的電晶體,並且在此電晶體的頂部之上具有內連線(導孔及導線),以提供到電晶體的連接性。電源軌(例如,用於電壓源及接地層的金屬線)亦位於電晶體之上,並且可以是內連線的一部分。隨著積體電路的不斷縮小,電源軌亦隨之縮小。如此將不可避免地導致跨電源軌的電壓降(voltage drop)增加,以及積體電路的功率消耗增加。因此,雖然半導體製造中的現有方法通常已普遍能夠符合其預期目的,然而其仍無法完全滿足所有方面的需求。
在本發明的一實施例中,提供一種半導體結構。此半導體結構包括:一個或多個通道層;閘極結構,與一個或多個通道層嚙合;第一源極/汲極部件,連接到一個或多個通道層的第一側,並且與閘極結構相鄰;第一介電蓋層,設置於第一源極/汲極部件之上,其中第一介電蓋層的底表面位於閘極結構的頂表面下方;導孔,設置於第一源極/汲極部件之下,並且電性連接到第一源極/汲極部件;以及電源軌,設置於導孔之下,並且電性連接到導孔。
在本發明的另一實施例中,提供一種半導體結構的形成方法。此半導體結構的形成方法包括:提供一結構,其中結構具有基底、源極部件及汲極部件、一個或多個通道層、閘極結構及一個或多個介電層,其中源極部件及汲極部件位於基底之上,其中一個或多個通道層連接源極部件及汲極部件,其中閘極結構位於源極部件與汲極部件之間,並且與一個或多個通道層嚙合,且其中一個或多個介電層設置於源極部件及汲極部件之上;形成源極接觸孔及汲極接觸孔,其中源極接觸孔及汲極接觸孔穿過一個或多個介電層,並且分別暴露源極部件及汲極部件;分別形成源極接觸件及汲極接觸件於源極接觸孔及汲極接觸孔中;形成蝕刻遮罩覆蓋汲極接觸件,並且暴露源極接觸件;經由蝕刻遮罩而移除源極接觸件,因而再次形成源極接觸孔的至少一部分;以及沉積第一介電蓋層於源極接觸孔的至少部分之中。
在本發明的又一實施例中,提供一種半導體結構的形成方法。此半導體結構的形成方法包括:提供一結構,其中結構具有基底、第一源極/汲極部件及第二源極/汲極部件、一個或多個通道層、高介電常數金屬閘極及一個或多個介電層,其中第一源極/汲極部件及第二源極/汲極部件位於基底之上,其中一個或多個通道層連接第一源極/汲極部件及第二源極/汲極部件,其中高介電常數金屬閘極位於第一源極/汲極部件與第二源極/汲極部件之間,並且與一個或多個通道層嚙合,且其中一個或多個介電層設置於第一源極/汲極部件及第二源極/汲極部件之上;形成第一接觸件及第二接觸件,其中第一接觸件及第二接觸件穿過一個或多個介電層,並且分別電性連接到第一源極/汲極部件及第二源極/汲極部件;形成蝕刻遮罩暴露第一接觸件,並且覆蓋第二接觸件;經由蝕刻遮罩而移除第一接觸件,而形成第一溝槽於第一源極/汲極部件之上;沉積第一介電蓋層於第一溝槽中;形成第一導孔於第二接觸件之上,並且電性連接到第二接觸件;形成第一內連線結構於第一導孔之上,並且電性連接到第一導孔,其中第一介電蓋層將第一源極/汲極部件與第一內連線結構隔離;形成第二導孔於第一源極/汲極部件之下,並且電性連接到第一源極/汲極部件;以及形成第二內連線結構於第二導孔之下,並且電性連接到第二導孔。
以下揭露提供了許多不同的實施例或範例,用於實施本發明實施例中的不同部件。組件與配置的具體範例描述如下,以簡化本發明實施例的說明。當然,這些僅僅是範例,並非用以限定本發明實施例。舉例而言,當以下敘述中提及第一部件形成於第二部件之上或上方,可能包含上述第一部件與上述第二部件直接接觸的實施例,亦可能包含有額外的部件形成於上述第一部件與上述第二部件之間,使得上述第一部件與上述第二部件不直接接觸的實施例。另外,以下揭露的不同範例可能重複使用相同的參照符號及/或標記。這些重複係為了簡化與清晰的目的,並非用以限定所討論的不同實施例及/或結構之間有特定的關係。
再者,在此可使用空間相對用詞,例如「在……下方」、「在……下」、「下方的」、「在……上」、「上方的」及類似的用詞以助於描述圖中所示之其中一個元件或部件相對於另一(些)元件或部件之間的關係。除了在圖式中繪示的方位外,這些空間相對用詞意欲包含使用中或操作中之裝置的不同方位。裝置能夠以其他方式定向(旋轉90度或其他方向),並且可與其相應地解釋在此使用之空間相對的描述。此外,當使用「大約」、「近似於」或其他類似的用語等描述一個數值或一個數值範圍時,此術語旨在涵蓋在包括此數值的合理範圍內的數字,例如,在此數值的+/- 10%,或是本技術領域中具有通常知識者所理解的其他數值。舉例而言,技術用語「大約5 nm」涵蓋從4.5 nm至5.5 nm的尺寸範圍。
本發明實施例一般而言是有關於半導體結構及製造製程,並且更具體而言是有關於具有背面電源軌(backside power rail)及背面自對準導孔(backside self-aligned via)的半導體裝置。如上所述,積體電路中的電源軌(或電力佈線)需要進一步改進,以提供所需的性能提升,並且降低功率消耗。本發明實施例的目的包括,除了在結構的前側(或正面)上的內連線結構(亦可以包括電源軌)之外,在包含電晶體的結構的背側(或背面)上提供電源軌。如此將會增加結構中可用於直接連接到源極/汲極接觸件及導孔的金屬走線(metal track)的數量。與沒有背面電源軌的現有結構相比,此結構亦會增加閘極密度,以實現更大的裝置集積程度。背面電源軌的尺寸可能比位於結構正面的第一層金屬(M0)走線的尺寸更寬,如此可有利於降低電源軌的電阻。藉由加入背面電源軌及背面導孔,某些源極/汲極部件可僅通過半導體裝置的背面進行存取,因此無需在正面為如此的源極/汲極部件提供接觸及/或其他導電部件。因此,本發明實施例提供用於形成僅在一側(正面或背面)上具有接觸的源極部件及/或汲極部件的方法,因而減小源極/汲極部件與附近的導體(例如,金屬閘極、源極/汲極導孔及閘極導孔)之間的耦合電容(coupling capacitance)。下文結合圖式描述本發明實施例的結構及製造方法的細節,圖式繪示出根據一些實施例的製造全繞式閘極裝置的製程。全繞式閘極裝置是指具有垂直堆疊且水平取向的多通道電晶體的裝置,例如,奈米線電晶體及奈米片電晶體。全繞式閘極裝置具有較佳的閘極控制能力、較低的漏電流以及完全的鰭式場效電晶體(FinFET)裝置佈局相容性,因此有望將互補式金屬─氧化物─半導體(CMOS)推向發展藍圖的下一個階段。本發明實施例還可用於製造具有背面電源軌及背面自對準導孔的鰭式場效電晶體裝置。為了簡單起見,本發明實施例以全繞式閘極裝置為例,並且指出全繞式閘極裝置與鰭式場效電晶體實施例之間的製程上的某些差異。本技術領域中具有通常知識者應可理解,且可輕易地以本發明實施例為基礎來設計或修飾其他製程及結構,並以此達到相同的目的及/或達到與在此介紹的實施例等相同之優點。
第1A圖、第1B圖、第1C圖及第1D圖繪示出根據本發明實施例的一些實施形態的形成半導體裝置的方法100的流程圖。本發明實施例亦考慮額外的製程步驟。可以在方法100之前、之間及之後提供額外的操作步驟,並且對於方法100的附加實施例,可以移動、替換或省略上述的一些操作步驟。
下文將結合第2A圖至第30圖描述方法100,根據一些實施例,第2A圖到第30圖繪示出根據方法100的在製程的各個步驟中的半導體裝置(或半導體結構或結構) 200的俯視示意圖、透視示意圖及剖面示意圖。在一些實施例中,半導體裝置200是積體電路晶片的一部分、系統整合晶片(system on chip;SoC)的一部分或上述的部分,其包括各種被動與主動微電子裝置,例如,電阻器、電容器、電感器、二極體、p型場效電晶體(p-type field effect transistor;PFET)、n型場效電晶體(n-type field effect transistor;NFET)、鰭式場效電晶體、金屬─氧化物─半導體場效電晶體、奈米片場效電晶體、奈米線場效電晶體、其他類型的多閘極場效電晶體、金屬─氧化物─半導體場效電晶體(MOSFET)、互補式金屬─氧化物─半導體電晶體、雙極接面電晶體(bipolar junction transistor;BJT)、橫向擴散金屬─氧化物─半導體(laterally diffused MOS;LDMOS)電晶體、高壓電晶體、高頻電晶體、記憶體裝置、其他合適的構件或上述之組合。為了清晰而簡化第2A圖至第30圖,以更良好地理解本發明實施例的發明概念。在半導體裝置200的其他實施例中,可添加額外的部件到半導體裝置200中,且以下所述的一些部件可被置換、修飾或省略。
在操作步驟102中,方法100 (第1A圖)提供一結構200,其具有基底201、鰭片218及犧牲(或虛置)閘極堆疊物240,其中鰭片218位於基底201之上,且犧牲(或虛置)閘極堆疊物240與鰭片218嚙合。請參照第2A圖及第2D圖,鰭片218是沿著“x”方向縱向取向,而犧牲閘極堆疊物240則是沿著“y”方向縱向取向,並且在電晶體的通道區域處與鰭片218嚙合。鰭片218藉由隔離結構230 (第2A圖)彼此隔離。根據一實施例,第2B圖繪示出沿著第2A圖及第2D圖的“B-B”剖線的結構200的一部分的剖面示意圖。根據一實施例,第2C圖繪示出沿著第2A圖的“C-C”剖線的結構200的一部分的剖面示意圖。以下同時參照第2A圖、第2B圖、第2C圖及第2D圖而進行討論。
在一實施例中,基底201是絕緣體上覆半導體(semiconductor-on-insulator)基底,例如,絕緣體上覆矽(silicon-on-insulator;SOI)基底、絕緣體上覆矽鍺(silicon germanium-on-insulator;SGOI)基底或絕緣體上覆鍺(germanium-on-insulator;GOI)基底。可藉由使用氧注入隔離(separation by implantation of oxygen;SIMOX)、晶圓接合及/或其他合適的方法,而製造絕緣體上覆半導體基底。在其他實施例中,基底201是塊材(bulk)半導體基底(舉例而言:包括塊材單晶矽),例如,矽晶圓。在各個實施例中,基底201可以包括其他半導體材料,例如,鍺,碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、銻化銦、矽鍺(SiGe)、磷砷化鎵(GaAsP)、砷化銦鋁(AlInAs)、砷化鎵鋁(AlGaAs)、砷化銦鎵(GaInAs)、磷化銦鎵(GaInP)、磷砷化銦鎵(GaInAsP)或上述之組合。
每一個鰭片218包括半導體層210及半導體層215的堆疊物205,其以交錯或交替的配置方式從基底201的表面垂直地(例如,沿著z方向)堆疊。在一些實施例中,磊晶成長半導體層210及半導體層215而使其成為所繪示的交錯及交替的配置方式。舉例而言,在基底201上磊晶成長第一層的半導體層210,在第一層的半導體層210上磊晶成長第一層的半導體層215,在第一層的半導體層215上磊晶成長第二層的半導體層210,並且如此持續直到半導體層堆疊物205具有所需數量的半導體層210及半導體層215為止。在一些實施例中,藉由分子束磊晶成長(molecular beam epitaxy;MBE)製程、化學氣相沉積(chemical vapor deposition;CVD)製程、金屬有機化學氣相沉積(metal organic CVD;MOCVD)、其他合適的磊晶成長製程或上述之組合,而實現半導體層210及半導體層215的磊晶成長。
半導體層210的組成不同於半導體層215的組成,以在後續的製程期間實現蝕刻選擇性及/或不同的氧化速率。在一些實施例中,半導體層210具有對蝕刻劑的第一蝕刻速率,並且半導體層215具有對蝕刻劑的第二蝕刻速率,其中第二蝕刻速率小於第一蝕刻速率。在一些實施例中,半導體層210具有第一氧化速率,並且半導體層215具有第二氧化速率,其中第二氧化速率小於第一氧化速率。在所繪示的實施例中,半導體層210與半導體層215包括不同的材料、組成原子百分比、組成重量百分比、厚度及/或特性,以在蝕刻製程(例如,為了在通道區域中形成懸浮通道層而實施的蝕刻製程)期間實現所期望的蝕刻選擇性。舉例而言,在半導體層210包括矽鍺且半導體層215包括矽的情況下,半導體層215的矽蝕刻速率小於半導體層210的矽鍺蝕刻速率。半導體層210與半導體層215可以包括相同的材料,但是具有不同的組成原子百分比,以實現蝕刻選擇性及/或不同的氧化速率。舉例而言,半導體層210及半導體層215可包括矽鍺,其中半導體層210具有第一矽原子百分比及/或第一鍺原子百分比,並且半導體層215具有不同的第二矽原子百分比及/或不同的第二鍺原子百分比。本發明實施例亦考慮半導體層210與半導體層215包括能夠提供所期望的蝕刻選擇性、所期望的氧化速率差異及/或所期望的性能特性(例如,使電流最大化的材料)的半導體材料的任何組合,包括在此所揭露的任何一種半導體材料。
如以下進一步描述,半導體層215或其部分形成半導體裝置200的通道區域。在所繪示的實施例中,半導體層堆疊物205包括三個半導體層210及三個半導體層215,其被配置為形成三個半導體層對而設置於基底201之上,其中每一個半導體層對具有相應的第一半導體層210及相應的第二半導體層215。在進行後續的製程之後,如此的配置將導致半導體裝置200具有三個通道。然而,本發明實施例亦考慮其他實施例,其中半導體層堆疊物205包括更多或更少的半導體層,例如,可取決於半導體裝置200 (例如,全繞式閘極電晶體)所期望的通道的數目及/或半導體裝置200的設計要求。舉例而言,半導體層堆疊物205可包含兩個到十個半導體層210及兩個到十個半導體層215。在半導體裝置200是鰭式場效電晶體裝置的替代實施例中,堆疊物205僅為一層的半導體材料,例如,一層的矽。如後續將討論,方法100將在基底201的兩側處加工各個膜層。在本發明實施例中,將基底201的堆疊物205所駐留的一側稱為前側,而將與此前側相反的一側稱為背側。
在一實施例中,藉由將堆疊物205及基底201圖案化(在成長半導體層210及215的堆疊物之後),以形成鰭片218。可以藉由任何合適的方法將鰭片218圖案化。舉例而言,可使用一個或多個光學微影製程,包括雙重圖案化(double-patterning)製程或多重圖案化(multi-patterning)製程,而將鰭片218圖案化。一般而言,雙重圖案化或多重圖案化製程結合光學微影及自對準製程(self-aligned process),以產生具有較小節距(pitch)的圖案,舉例而言,此圖案所具有的節距比使用單一直接光學微影製程所能夠得到的節距更小。舉例而言,在一實施例中,形成犧牲層於堆疊物205之上並使用光學微影製程將其圖案化。使用自對準製程形成間隔物於經過圖案化的犧牲層旁。之後,移除犧牲層,然後可使用留下來的間隔物(或心軸(mandrel))作為用以將鰭片218圖案化的遮罩元件。舉例而言,可使用此遮罩元件將凹部蝕刻於堆疊物205及基底201中,而在基底201上留下鰭片218。蝕刻製程可以包括乾式蝕刻、濕式蝕刻、反應性離子蝕刻(reactive ion etching;RIE)及/或其他合適的製程。舉例而言,乾式蝕刻製程可以使用含氧氣體、含氟氣體(例如,全氟甲烷(CF4 )、六氟化硫(SF6 )、二氟甲烷(CH2 F2 )、三氟甲烷(CHF3 )及/或全氟乙烷(C2 F6 ))、含氯氣體(例如,氯氣(Cl2 )、三氯甲烷(CHCl3 )、四氯化碳(CCl4 )及/或三氯化硼(BCl3 ))、含溴氣體(例如,溴化氫(HBr)及/或三溴甲烷(CHBr3 ))、含碘氣體、其他合適的氣體及/或電漿及/或上述之組合。舉例而言,濕式蝕刻製程可以包括在以下的溶液中進行蝕刻,包括稀氫氟酸(diluted hydrofluoric acid;DHF);氫氧化鉀(KOH)溶液;氨;含有氫氟酸(HF)、硝酸(HNO3 )及/或乙酸(CH3 COOH)的溶液;或其他合適的濕式蝕刻劑。形成鰭片218的方法的許多其他實施例亦可能是合適的。
隔離結構230形成在基底201上方及/或之中,以隔離半導體裝置200的各個區域。舉例而言,隔離結構230圍繞鰭片218的底部部分,以將鰭片218彼此分開並隔離。隔離結構230包括氧化矽、氮化矽、氮氧化矽、其他合適的隔離材料(例如,包括矽、氧、氮、碳或其他合適的隔離成分)或上述之組合。隔離結構230可以包括不同的結構,例如,淺溝槽隔離(shallow trench isolation;STI)結構及/或深溝槽隔離(deep trench isolation;DTI)結構。在一實施例中,隔離結構230可以藉由以下步驟而形成:使用絕緣材料填充鰭片218之間的溝槽(例如,藉由使用化學氣相沉積製程或旋塗玻璃(spin-on glass)製程),進行化學機械研磨(chemical mechanical polishing;CMP)製程以移除多餘的結構及/或使絕緣材料層的頂表面平坦化,並且回蝕絕緣材料層。在一些實施例中,隔離結構230包括多層結構,例如,氮化矽層設置於熱氧化物襯層之上。
虛置(dummy)閘極堆疊物240可以包括虛置閘極介電層以及位於虛置閘極介電層之上的虛置閘極電極層。虛置閘極介電層可以包括介電材料,例如,氧化矽、高介電常數(high-k)介電材料、其他合適的介電材料。虛置閘極電極層可以包括多晶矽或其他合適的材料。虛置閘極堆疊物240可以進一步包括位於虛置閘極電極層之上的一個或多個硬遮罩層,其中此一個或多個硬遮罩層可以包括氧化矽、氮化矽或其他合適的材料。藉由沉積製程、微影製程、蝕刻製程、其他合適的製程或上述之組合,而形成虛置閘極堆疊物240。沉積製程可以包括化學氣相沉積、物理氣相沉積(physical vapor deposition;PVD)、原子層沉積(atomic layer deposition;ALD)、高密度電漿化學氣相沉積(high density plasma CVD;HDPCVD)、金屬有機化學氣相沉積(metal organic CVD;MOCVD)、遠程電漿化學氣相沉積(remote plasma CVD;RPCVD)、電漿輔助化學氣相沉積(plasma-enhanced CVD;PECVD)、低壓化學氣相沉積(low-pressure CVD;LPCVD)、原子層化學氣相沉積(atomic layer CVD;ALCVD)、常壓化學氣相沉積(atmospheric pressure CVD;APCVD)、其他合適的方法或上述之組合。之後進行製程及蝕刻製程,將上述一個或多個硬遮罩層、虛置閘極電極層及虛置閘極介電層圖案化,以形成虛置閘極堆疊物240,如第2A圖、第2B圖及第2D圖所繪示。光學微影圖案化製程包括光阻塗佈(例如,旋轉塗佈)、軟烘烤、遮罩對準、曝光、曝光後烘烤、顯影此光阻、漂洗(rinsing)、乾燥(例如,硬烘烤)、其他合適的製程或上述之組合。蝕刻製程包括乾式蝕刻製程、濕式蝕刻製程、其他蝕刻方法或上述之組合。
結構200進一步包括位於虛置閘極堆疊物240的側壁之上的閘極間隔物247 (如第2B圖所繪示)。閘極間隔物247藉由任何合適的製程形成並且包括介電材料。此介電材料可以包括矽、氧、碳、氮、其他合適的材料或上述之組合(例如,氧化矽、氮化矽、氮氧化矽(SiON)、碳化矽、氮碳化矽(silicon carbon nitride;SiCN)、碳氧化矽(silicon oxycarbide;SiOC)、氮碳氧化矽(silicon oxycarbon nitride;SiOCN))。舉例而言,包括矽與氮的介電層,例如,氮化矽層,可以被沉積在虛置閘極堆疊物240之上,並且隨後被蝕刻(例如,非等向性蝕刻),以形成閘極間隔物247。在一些實施例中,閘極間隔物247包括多層結構,例如,包括氮化矽的第一介電層及包括氧化矽的第二介電層。在一些實施例中,相鄰於虛置閘極堆疊物240而形成多於一組的間隔物,例如,密封間隔物(seal spacers)、偏移間隔物(offset spacers)、犧牲間隔物(sacrificial spacers)、虛置間隔物(dummy spacers)及/或主間隔物(main spacers)。在這樣的實施例中,各組的間隔物可包括具有不同蝕刻速率的材料。舉例而言,可以沉積並蝕刻包括矽及氧(例如,氧化矽)的第一介電層,以形成相鄰於虛置閘極堆疊物240的第一間隔物組,並且可以沉積並蝕刻包括矽及氮(例如,氮化矽)的第二介電層,以形成相鄰於第一間隔物組的第二間隔物組。
在操作步驟104中,方法100 (第1A圖)藉由蝕刻與閘極間隔物247相鄰的鰭片218,以形成源極/汲極(S/D)溝槽250。根據一實施例,所形成的結構繪示於第3圖中。在所繪示的實施例中,蝕刻製程完全移除位於鰭片218的源極/汲極區域中的半導體層堆疊物205,因而在源極/汲極區域中暴露基底201。因此,源極/汲極溝槽250具有由半導體層堆疊物205的留下來的部分所限定的側壁,其中此側壁設置在閘極堆疊物240下方的通道區域中,並且源極/汲極溝槽250的底部由基底201所限定。在一些實施例中,蝕刻製程移除一部分的(但並非全部的)半導體層堆疊物205,使得源極/汲極溝槽250在源極/汲極區域中具有由基底201或半導體層215所限定的底部。在一些實施例中,蝕刻製程進一步移除一部分的(但並非全部的)鰭片218,使得源極/汲極溝槽250延伸於基底201的最頂部表面下方。蝕刻製程可以包括乾式蝕刻製程、濕式蝕刻製程、其他合適的蝕刻製程或上述之組合。在一些實施例中,蝕刻製程是多步驟蝕刻製程。舉例而言,蝕刻製程可以交替使用蝕刻劑,以分別地且交替地移除半導體層210及半導體層215。在一些實施例中,蝕刻製程的參數被配置為對虛置閘極堆疊物240及/或隔離部件230是最少的(至沒有)蝕刻,以選擇性地蝕刻半導體層堆疊物。在一些實施例中,進行微影製程,例如,本文所述的微影製程,以形成經過圖案化的遮罩層覆蓋虛置閘極堆疊物240及/或隔離部件230,並且蝕刻製程使用此經過圖案化的遮罩層作為蝕刻遮罩。
在操作步驟106中,方法100 (第1A圖)橫向蝕刻在源極/汲極溝槽250中所暴露的半導體層210,因而在垂直地相鄰的半導體層215之間形成間隙(gap) 251。根據一實施例,所形成的結構繪示於第4圖中。舉例而言,進行蝕刻製程,此蝕刻製程選擇性地蝕刻受到源極/汲極溝槽250所暴露的半導體層210,而對半導體層215是最少的(至沒有)蝕刻,因而在半導體層215之間以及在半導體層215與基底201之間形成間隙251,且間隙251位於閘極間隔物247下方。半導體層215的部分(邊緣)因此懸浮在閘極間隔物247下方的通道區域中。在一些實施例中,間隙251部分地延伸於虛置閘極堆疊物240下方。蝕刻製程被配置為橫向蝕刻(例如,沿著“x”方向的半導體層210,因而減小沿著“x”方向的半導體層210的長度。此蝕刻製程可以是乾式蝕刻製程、濕式蝕刻製程、其他合適的蝕刻製程或上述之組合。在半導體裝置200是鰭式場效電晶體的實施例中,操作步驟106被省略。
在操作步驟108中,方法100 (第1A圖)形成介電層(或間隔物前驅物層)255於源極/汲極溝槽250之中。根據一實施例,所形成的結構繪示於第5圖中。請參照第5圖,介電層255形成於閘極間隔物247的側壁上、形成於半導體層210及215的側壁上並且形成於間隙251之中。在源極/汲極溝槽250的底部暴露出基底201。在一實施例中,介電層255最初沉積於虛置閘極堆疊物240之上並且位於用以限定源極/汲極溝槽250的部件之上。介電層255部分地(並且在一些實施例中是完全地)填充源極/汲極溝槽250。可以使用化學氣相沉積、物理氣相沉積、原子層沉積、高密度電漿化學氣相沉積、金屬有機化學氣相沉積、遠程電漿化學氣相沉積、電漿輔助化學氣相沉積、低壓化學氣相沉積、原子層化學氣相沉積、常壓化學氣相沉積、其他合適的方法或上述之組合,而沉積介電層255。沉積製程被配置為確保介電層255填充間隙251,其中間隙251是位於閘極間隔物247下方且在半導體層215之間以及在半導體層215與基底201之間。之後進行選擇性地蝕刻介電層255的蝕刻製程(或拉回(pull-back)製程),以將介電層255部分地從源極/汲極溝槽250中移除,並且使基底201暴露,其中一部分的介電層255仍保留在閘極間隔物247的側壁以及半導體層210及215的側壁上。介電層255包括一材料,且此材料不同於半導體層215的材料及閘極間隔物247的材料,以在另一蝕刻製程期間實現所期望的蝕刻選擇性(下文將參照操作步驟110進行討論)。在一些實施例中,介電層255包括一介電材料,其中此介電材料包括矽、氧、碳、氮、其他合適的材料或上述之組合(例如,氧化矽、氮化矽、氮氧化矽、碳化矽或氮碳氧化矽(silicon oxycarbon nitride)。在一些實施例中,介電層255包括低介電常數(low-k)介電材料,例如本文所述的那些低介電常數介電材料。
在一實施例中,在操作步驟110中,方法100 (第1A圖)對半導體裝置200的源極區域進行額外的蝕刻。在另一實施例中,方法100對半導體裝置200的汲極區域進行額外的蝕刻,而不是源極區域。根據一實施例,所形成的結構繪示於第6圖中。在一實施例中,操作步驟110形成蝕刻遮罩(例如,位於經過圖案化的硬遮罩上的經過圖案化的光阻,未繪示)覆蓋源極區域以外的半導體裝置200,其中上述源極區域被蝕刻遮罩中的開口暴露。之後,操作步驟110在基底201中將源極區域深深地蝕刻,直到僅有基底201的薄層保留在源極溝槽250中,因而將源極溝槽250延伸到基底201中。此蝕刻製程可以包括乾式蝕刻、濕式蝕刻、反應性離子蝕刻或其他合適的蝕刻。在本實施例中,此蝕刻製程實質上是非等向性的(舉例而言:實質上是垂直的)。而且,此蝕刻製程被調整為對基底201的材料具有選擇性,並且不會對介電層255、閘極間隔物247及虛置閘極堆疊物240進行蝕刻(或進行最少的蝕刻)。
在操作步驟112中,方法100 (第1A圖)形成半導體層239於源極溝槽250中,而來自操作步驟110的蝕刻遮罩仍在原位。根據一實施例,所形成的結構繪示於第7圖中。可以使用磊晶成長製程或藉由其他合適的製程,而沉積半導體層239。在一些實施例中,藉由分子束磊晶成長製程、化學氣相沉積製程、金屬有機化學氣相沉積、其他合適的磊晶成長製程或上述之組合,而實現半導體層239的磊晶成長。半導體層239包括一材料,且此材料不同於基底201中所包括的半導體材料,以在後續的製程期間實現蝕刻選擇性。舉例而言,半導體層239與基底201可以包括不同的材料、不同的組成原子百分比、不同的組成重量百分比及/或其他特性,以在蝕刻製程期間實現所期望的蝕刻選擇性。在一實施例中,基底201包括矽且半導體層239包括矽鍺。在另一實施例中,半導體層239與基底201可以都包括矽鍺,但是具有不同的矽原子百分比。本發明實施例亦考慮半導體層239與基底201包括能夠提供所期望的蝕刻選擇性的半導體材料的任何組合,包括在此所揭露的任何一種半導體材料。由於汲極區域仍然受到在操作步驟110中形成的蝕刻遮罩所覆蓋,因此半導體層239僅沉積在源極區域之中。半導體層239可以被沉積到一定厚度,使得其位於堆疊物205的底部附近並且大約與隔離部件230的頂表面齊平(第2C圖)。在沉積半導體層239之後,操作步驟112移除在操作步驟110中形成的蝕刻遮罩。如下文將討論,在各個實施例中,操作步驟110中的額外蝕刻以及操作步驟112中半導體層239的成長可以只在源極區域中進行,只在汲極區域中進行,或是在源極區域與汲極區域兩者中進行。
根據一實施例,在操作步驟114中,方法100 (第1A圖)蝕刻介電層255,使得半導體層215的側壁在源極/汲極溝槽250中暴露,並且沿著位於源極/汲極溝槽250內部的半導體層210的側壁而保留一部分的介電層255,如第8圖所繪示。介電層255的留下來的部分被稱為內部間隔物255。舉例而言,進行蝕刻製程選擇性地蝕刻介電層255,以形成如第8圖所繪示的內部間隔物255,而對半導體層215、虛置閘極堆疊物240及閘極間隔物247是最少的(至沒有)蝕刻。在半導體裝置200是鰭式場效電晶體的實施例中,從源極/汲極溝槽250中完全移除介電層255。
在操作步驟116中,方法100 (第1A圖)磊晶成長半導體源極/汲極部件260 (包括源極部件260S與汲極部件260D)於源極/汲極溝槽250中。根據一實施例,所形成的結構繪示於第9圖中。如第9圖所繪示,從位於源極/汲極溝槽250的底部位置的半導體層239及基底201並且從位於源極/汲極溝槽250的側壁位置的半導體層215成長磊晶源極/汲極部件260。磊晶製程可以使用化學氣相沉積技術(例如,氣相磊晶(vapor-phase epitaxy;VPE)及/或超高真空化學氣相沉積(UHV-CVD))、分子束磊晶、其他合適的磊晶成長製程或上述之組合。磊晶製程可使用氣態及/或液態前驅物,其可與半導體層201、239及215 (特別是半導體層215)組成成分相互作用。以用於n型電晶體或p型電晶體的n型摻質或p型摻質分別摻雜磊晶源極/汲極部件260。在一些實施例中,對n型電晶體而言,磊晶源極/汲極部件260包括矽,並且可以摻雜有碳、磷、砷、其他n型摻質或上述之組合(例如,形成Si:C磊晶源極/汲極部件、Si:P磊晶源極/汲極部件或Si:C:P磊晶源極/汲極部件)。在一些實施例中,對p型電晶體而言,磊晶源極/汲極部件260包括矽鍺或鍺,並且可以摻雜有硼、其他p型摻質或上述之組合(例如,形成Si:Ge:B磊晶源極/汲極部件)。在一些實施例中,磊晶源極/汲極部件260包括超過一個的磊晶半導體層,其中這些磊晶半導體層可以包括相同或不同的材料及/或摻質濃度。舉例而言,在如第9圖所繪示的實施例中,每一個源極/汲極部件260包括三個膜層L0、L1及L2。膜層L0設置於源極/汲極溝槽250的底部,膜層L1設置於膜層L0之上,並且膜層L2設置於膜層L1之上。在一實施例中,膜層L0包括一材料,且此材料不同於膜層L1及膜層L2中的材料,以在背面導孔(backside via)形成製程的期間提供膜層L0與膜層L1及膜層L2之間的蝕刻選擇性。舉例而言,在一實施例中,膜層L0包括矽鍺,且膜層L1及膜層L2包括矽(用於n型電晶體)。舉例而言,在另一實施例中,膜層L0包括具有第一鍺原子百分比的矽鍺,且膜層L1及膜層L2包括具有第二鍺原子百分比的矽鍺(用於p型電晶體),並且第一鍺原子百分比不同於第二鍺原子百分比。此外,膜層L2可以包括比膜層L1更高的摻雜濃度,以減小源極/汲極部件260的片電阻(sheet resistance)並且減小源極/汲極接觸電阻。在一些實施例中,磊晶源極/汲極部件260包括一材料及/或摻質,且此材料及/或摻質在相應的通道區域中實現所期望的拉伸應力及/或壓縮應力。在一些實施例中,在沉積期間,藉由將雜質添加到磊晶製程的來源材料中,而摻雜磊晶源極/汲極部件260 (舉例而言:原位摻雜)。在一些實施例中,在沉積製程之後,藉由離子佈植製程,而摻雜磊晶源極/汲極部件260。在一些實施例中,進行退火製程(例如,快速熱退火(rapid thermal annealing;RTA)及/或雷射退火(laser annealing)),以將位於磊晶源極/汲極部件260中的摻質活性化。在一些實施例中,磊晶源極/汲極部件260以分開的製程順序形成,其包括,例如,當在n型全繞式閘極電晶體區域中形成磊晶源極/汲極部件260時,遮蔽p型全繞式閘極電晶體區域,並且當在p型全繞式閘極電晶體中形成磊晶源極/汲極部件260時,遮蔽n型全繞式閘極電晶體區域。
在操作步驟118中,方法100 (第1B圖)形成接觸蝕刻停止層(contact etch stop layer;CESL)269及層間介電(inter-layer dielectric;ILD)層270。根據一實施例,所形成的結構繪示於第10圖中。如第10圖所繪示,沉積接觸蝕刻停止層269於源極/汲極部件260之上及閘極間隔物247的側壁之上,並且沉積層間介電層270於接觸蝕刻停止層269之上且填充相對兩側的閘極間隔物247之間的空間。接觸蝕刻停止層269包括一材料,且此材料不同於層間介電層270。接觸蝕刻停止層269可以包括三氧化二鑭(La2 O3 )、三氧化二鋁(Al2 O3 )、氮碳氧化矽(SiOCN)、碳氧化矽(SiOC)、氮碳化矽(SiCN)、二氧化矽(SiO2 )、碳化矽(SiC)、氧化鋅(ZnO)、氮化鋯(ZrN)、氧化鋁鋯(Zr2 Al3 O9 )、二氧化鈦(TiO2 )、二氧化鉭(TaO2 )、二氧化鋯(ZrO2 )、二氧化鉿(HfO2 )、四氮化三矽(Si3 N4 )、三氧化二釔(Y2 O3 )、氮氧化鋁(AlON)、氮碳化鉭(TaCN)、矽化鋯(ZrSi)或其他合適的材料;並且可以藉由化學氣相沉積、物理氣相沉積、原子層沉積或其他合適的方法,而形成接觸蝕刻停止層269。層間介電層270可以包括正矽酸四乙酯(tetraethylorthosilicate;TEOS)形成的氧化物、未摻雜的矽酸鹽玻璃、或經摻雜的氧化矽,例如,硼磷矽酸鹽玻璃(borophosphosilicate glass;BPSG)、氟摻雜的矽玻璃(fluoride-doped silica glass;FSG)、磷矽酸鹽玻璃(phosphosilicate glass;PSG)、硼摻雜的矽玻璃(boron doped silicon glass;BSG)、低介電常數介電材料、其他合適的介電材料或上述之組合。可藉由電漿輔助化學氣相沉積、流動式化學氣相沉積(flowable CVD;FCVD)或其他合適的方法,而形成層間介電層270。在接觸蝕刻停止層269及層間介電層270的沉積之後,可以進行化學機械研磨(CMP)製程及/或其他平坦化製程,直到到達(暴露)虛置閘極堆疊物240的頂部(或頂表面)為止。在一些實施例中,平坦化製程移除虛置閘極堆疊物240的硬遮罩層,以暴露位於其下方的虛置閘極電極,例如,多晶矽閘極電極層。
在操作步驟120中,方法100 (第1B圖)使用一個或多個蝕刻製程移除虛置閘極堆疊物240及半導體層210。如此而形成閘極溝槽211。根據一實施例,所形成的結構繪示於第11圖中。此操作步驟包括多種製程,如下所簡述。首先,操作步驟120使用一個或多個蝕刻製程移除虛置閘極堆疊物240,以暴露通道區域中的半導體層210及215。此蝕刻製程可以是乾式蝕刻製程、濕式蝕刻製程、其他合適的蝕刻製程或上述之組合。在一些實施例中,蝕刻製程是多步驟蝕刻製程。舉例而言,蝕刻製程可以交替使用蝕刻劑,以分別地移除虛置閘極堆疊物240的各個膜層。在一些實施例中,蝕刻製程被配置為對半導體裝置200的其他部件(例如,層間介電層270、閘極間隔物247、隔離部件230、半導體層215及半導體層210)是最少的(至沒有)蝕刻,以選擇性地蝕刻虛置閘極堆疊物240。接著,操作步驟120移除暴露在通道區域中的半導體層210,而使半導體層215懸浮於基底201之上並且與源極/汲極部件260連接。此製程亦被稱為通道釋放(channel release)製程,並且半導體層215亦被稱為通道層。此蝕刻製程選擇性地蝕刻半導體層210,同時對半導體層215進行最少的(至沒有)蝕刻,並且在一些實施例中,對閘極間隔物247及/或內部間隔物255進行最少的(至沒有)蝕刻。在半導體裝置200是鰭式場效電晶體的實施例中,由於在通道區域中只有通道層215而沒有半導體層210,因此省略此通道釋放製程。
在操作步驟122中,方法100 (第1B圖)形成功能性閘極結構240’於閘極溝槽211中。根據一實施例,所形成的結構繪示於第12圖中。在一實施例中,功能閘極結構240’包括閘極介電層及閘極電極,其中閘極介電層包覆在每一個半導體層215周圍,且閘極電極位於閘極介電層之上。閘極介電層可以包括高介電常數介電材料,例如,二氧化鉿(HfO2 )、氧化矽鉿(HfSiO)、矽酸鉿(HfSiO4 )、氮氧化矽鉿(HfSiON)、氧化鑭鉿(HfLaO)、氧化鉭鉿(HfTaO)、氧化鈦鉿(HfTiO)、氧化鋯鉿(HfZrO)、氧化鋁鉿(HfAlOx )、氧化鋯(ZrO)、二氧化鋯(ZrO2 )、二氧化矽鋯(ZrSiO2 )、氧化鋁(AlO)、氧化矽鋁(AlSiO)、三氧化二鋁(Al2 O3 )、氧化鈦(TiO)、二氧化鈦(TiO2 )、氧化鑭(LaO)、氧化矽鑭(LaSiO)、三氧化二鉭(Ta2 O3 )、五氧化二鉭(Ta2 O5 )、三氧化二釔(Y2 O3 )、鈦酸鍶(SrTiO3 )、氧化鋯鋇(BaZrO)、鈦酸鋇(BaTiO3 ;BTO)、鈦酸鍶鋇((Ba,Sr)TiO3 ;BST)、四氮化三矽(Si3 N4 )、二氧化鉿-三氧化二鋁(hafnium dioxide-alumina;HfO2 -Al2 O3 )合金、其他合適的高介電常數介電材料或上述之組合。可以藉由化學氧化、熱氧化、原子層沉積、化學氣相沉積及/或其他合適的方法,而形成閘極介電層。在一些實施例中,閘極堆疊物240’進一步包括界面層位於閘極介電層與半導體層215之間。界面層可以包括二氧化矽、氮氧化矽或其他合適的材料。在一些實施例中,閘極電極層包括n型或p型功函數層及金屬填充層。舉例而言,n型功函數層可以包括具有足夠低的有效功函數(effective work function)的金屬,例如,鈦、鋁、碳化鉭(tantalum carbide)、氮碳化鉭(tantalum carbide nitride)、氮矽化鉭(tantalum silicon nitride)或上述之組合。舉例而言,p型功函數層可以包括具有足夠大的有效功函數的金屬,例如,氮化鈦、氮化鉭、釕、鉬、鎢、鉑或上述之組合。舉例而言,金屬填充層可以包括鋁、鎢、鈷、銅及/或其他合適的材料。可以藉由化學氣相沉積、物理氣相沉積、鍍覆(plating)及/或其他合適的製程,而形成閘極電極層。由於閘極結構240’包括高介電常數介電層及金屬層,因此亦被稱為高介電常數金屬閘極。
在操作步驟124中,方法100 (第1B圖)將閘極結構240’及視需要的閘極間隔物247部分地凹陷化,之後形成閘極介電蓋層352於經過凹陷化的閘極結構240’以及視需要的經過凹陷化的閘極間隔物247之上。根據一實施例,所形成的結構繪示於第13圖中。可以藉由乾式蝕刻製程、濕式蝕刻製程、其他合適的蝕刻製程或上述之組合,而將閘極結構240’及閘極間隔物247凹陷化。蝕刻製程被配置為對半導體裝置200的其他部件(例如,接觸蝕刻停止層269及層間介電層270)是最少的(至沒有)蝕刻,以選擇性地蝕刻閘極結構240’及閘極間隔物247。蝕刻製程形成溝槽於相鄰的接觸蝕刻停止層269之間,且溝槽位於閘極結構240’及閘極間隔物247的留下來的部分之上。之後,操作步驟124沉積閘極介電蓋層352於上述溝槽之中。在一些實施例中,閘極介電蓋層352包括三氧化二鑭、三氧化二鋁、氮碳氧化矽、碳氧化矽、氮碳化矽、二氧化矽、碳化矽、氧化鋅、氮化鋯、氧化鋁鋯、二氧化鈦、二氧化鉭、二氧化鋯、二氧化鉿、四氮化三矽、三氧化二釔、氮氧化鋁、氮碳化鉭、矽化鋯或其他合適的材料。在用於蝕刻源極/汲極接觸孔的蝕刻及化學機械研磨製程中,閘極介電蓋層352保護閘極結構240’免受影響。舉例而言,閘極介電蓋層352可以具有在大約0 nm (不存在)至大約50 nm的範圍內的厚度(沿著“z”方向),以及在大約5 nm至大約30 nm的範圍內的寬度(沿著“x”方向)。
在操作步驟126中,方法100 (第1B圖)形成蝕刻遮罩241。根據一實施例,所形成的結構繪示於第14圖中。蝕刻遮罩241包括開口238,其暴露出結構200的將形成源極/汲極接觸孔的區域,而結構200的其餘部分受到蝕刻遮罩241所覆蓋。在本實施例中,蝕刻遮罩241包括經過圖案化的硬遮罩236及經過圖案化的光阻237。在一些實施例中,蝕刻遮罩241可以另外包括底部抗反射塗佈(bottom anti-reflective coating;BARC)層位於經過圖案化的硬遮罩236與經過圖案化的光阻237之間。可以使用光阻塗佈(例如,旋轉塗佈)、軟烘烤、遮罩對準、曝光、曝光後烘烤、光阻顯影、漂洗、乾燥(例如,硬烘烤)、其他合適的製程或上述之組合,而形成經過圖案化的光阻237。可以在形成經過圖案化的光阻237之前沉積硬遮罩層,並且在形成經過圖案化的光阻237之後。藉由經過圖案化的光阻237蝕刻硬遮罩層,而形成經過圖案化的硬遮罩236。
在操作步驟128中,方法100 (第1B圖)蝕刻源極/汲極接觸孔253,以暴露一部分的源極/汲極部件260。根據一實施例,所形成的結構繪示於第15圖中。在一實施例中,操作步驟128包括第一蝕刻製程,此第一蝕刻製程被調整為對層間介電層270的材料具有選擇性,並且不會對接觸蝕刻停止層269及閘極介電蓋層352進行蝕刻(或進行最少的蝕刻)。在從接觸孔253移除層間介電層270之後,操作步驟128進一步包括第二蝕刻製程(非等向性蝕刻),此第二蝕刻製程被調整為對接觸蝕刻停止層269的材料具有選擇性,並且不會對閘極介電蓋層352進行蝕刻(或進行最少的蝕刻)。第一蝕刻製程及第二蝕刻製程聯合形成接觸孔253,其暴露出源極/汲極部件260。在一些實施例中,可以部分地蝕刻源極/汲極部件260。此蝕刻製程可以是乾式蝕刻、濕式蝕刻、反應性離子蝕刻或其他蝕刻方法。在上述蝕刻製程的期間,經過圖案化的光阻237可以被部分地消耗或完全消耗。在形成接觸孔之後,移除蝕刻遮罩241。
在操作步驟130中,方法100 (第1B圖)形成矽化物部件273於源極/汲極部件260之上,並且形成源極/汲極接觸件(或接觸插塞) 275於矽化物部件273之上。根據一實施例,所形成的結構繪示於第16圖中。由於矽化物部件273及源極/汲極接觸件275形成在半導體裝置200的正面,因此亦分別被稱為正面矽化物部件273及正面源極/汲極接觸件275。在一實施例中,操作步驟130包括將一種或多種金屬沉積到接觸孔253之中,對半導體裝置200進行退火製程,以引起上述一種或多種金屬與源極/汲極部件260之間的反應,而產生矽化物部件273,並且移除上述一種或多種金屬的未反應部分,而將矽化物部件273留在孔洞253之中。上述一種或多種金屬可包括鈦(Ti)、鉭(Ta)、鎢(W)、鎳(Ni)、鉑(Pt)、鐿(Yb)、銥(Ir)、鉺(Er)、鈷(Co)或上述之組合(例如,兩種或多種金屬的合金),並且可以使用化學氣相沉積、物理氣相沉積、原子層沉積或其他合適的方法,而沉積上述一種或多種金屬。矽化物部件273可以包括矽化鈦(TiSi)、矽化鎳(NiSi)、矽化鎢(WSi)、矽化鎳鉑(NiPtSi)、矽化鎳鉑鍺(NiPtGeSi)、矽化鎳鍺(NiGeSi)、矽化鐿(YbSi)、矽化鉑(PtSi)、矽化銥(IrSi)、矽化鉺(ErSi)、矽化鈷(CoSi)或其他合適的化合物。在一實施例中,源極/汲極接觸件275可以包括導電阻障層及金屬填充層,其中金屬填充層位於導電阻障層之上。導電阻障層的作用是防止金屬填充層的金屬材料擴散到與源極/汲極接觸件275相鄰的介電層中。導電阻障層可以包括鈦、鉭、鎢、鈷、釕(Ru)或導電氮化物,例如,氮化鈦(TiN)、氮化鋁鈦(TiAlN)、氮化鎢(WN)、氮化鉭(TaN)或上述之組合,並且可以藉由化學氣相沉積、物理氣相沉積、原子層沉積及/或其他合適的製程,而形成導電阻障層。金屬填充層可以包括鎢、鈷、鉬(Mo)、釕或其他金屬,並且可以藉由化學氣相沉積、物理氣相沉積、原子層沉積、鍍覆或其他合適的製程,而形成金屬填充層。在一些實施例中,在源極/汲極接觸件275中省略導電阻障層。操作步驟130可以進行化學機械研磨製程,以移除源極/汲極接觸件275的多餘材料。
在操作步驟132中,方法100 (第1C圖)例如,使用自對準蝕刻製程將源極/汲極接觸件275部分地凹陷化。根據一實施例,所形成的結構繪示於第17圖中。在一實施例中,操作步驟132包括蝕刻製程,此蝕刻製程被調整為對極/汲極接觸件275的材料具有選擇性,並且不會對接觸蝕刻停止層269、閘極介電蓋層352及層間介電層270 (層間介電層270未繪示於第17圖中)進行蝕刻(或進行最少的蝕刻)。此蝕刻製程可以是乾式蝕刻、濕式蝕刻、反應性離子蝕刻或其他蝕刻方法。在一些實施例中,源極/汲極接觸件275的留下來的部分具有約10 nm至約50 nm的厚度。操作步驟132可以使用計時器而控制源極/汲極接觸件275被蝕刻的深度。蝕刻製程再次形成接觸孔253的一部分253a。在方法100的一些實施例中,省略操作步驟132,且源極/汲極接觸件275並未被部分地凹陷化。在各個實施例中,孔洞253a的深度(沿著“z”方向)可以在0 nm (當省略操作步驟132時)至大約50 nm的範圍內,並且孔洞253a的寬度(沿著“x”方向)可以在大約5 nm至大約30 nm的範圍內。
在操作步驟134中,方法100 (第1C圖)形成蝕刻遮罩241a,其中此蝕刻遮罩241a暴露源極接觸件275 (位於源極部件260S上方的源極/汲極接觸件275),並且覆蓋汲極接觸件275 (位於汲極部件260D上方的源極/汲極接觸件部件275),之後使用一種或多種蝕刻製程且藉由蝕刻遮罩241a而移除源極接觸件275。根據一實施例,所形成的結構繪示於第18圖中。在本實施例中,蝕刻製程將孔洞253a延伸得足夠深,以暴露出位於源極部件260S上方的矽化物部件273。經過延伸的孔洞253a在第18圖中被標記為253b。在另一個實施例中,蝕刻製程可以部分地或完全地移除位於源極部件260S上方的矽化物部件273,如第28圖所繪示。此蝕刻製程被調整為對源極接觸件275的材料具有選擇性,並且不會對接觸蝕刻停止層269、閘極介電蓋層352及蝕刻遮罩241a進行蝕刻(或進行最少的蝕刻)。此蝕刻製程可以是乾式蝕刻、濕式蝕刻、反應性離子蝕刻或其他蝕刻方法。在本實施例中,蝕刻遮罩241a包括經過圖案化的光阻,並且在一些實施例中,蝕刻遮罩241a可以另外包括底部抗反射塗佈層位於經過圖案化的光阻下方。可以使用光阻塗佈(例如,旋轉塗佈)、軟烘烤、遮罩對準、曝光、曝光後烘烤、光阻顯影、漂洗、乾燥(例如,硬烘烤)、其他合適的製程或上述之組合,而形成蝕刻遮罩241a。可以在形成經過圖案化的光阻237之前沉積硬遮罩層,並且在形成經過圖案化的光阻237之後。藉由經過圖案化的光阻237蝕刻硬遮罩層,而形成經過圖案化的硬遮罩236。在源極接觸件275的蝕刻(以及視需要而進行的矽化物部件273的蝕刻)完成之後,操作步驟134移除蝕刻遮罩241a,因而再次形成孔洞253a於汲極部件260D上方。孔洞253b比孔洞253a更深。
在操作步驟136中,方法100 (第1C圖)形成介電蓋層356於孔洞253a (位於汲極部件260D上方)及253b (位於源極部件260S上方)之中。根據一實施例,所形成的結構繪示於第19圖中。在一些實施例中,介電蓋層356包括三氧化二鑭、三氧化二鋁、氮碳氧化矽、碳氧化矽、氮碳化矽、二氧化矽、碳化矽、氧化鋅、氮化鋯、氧化鋁鋯、二氧化鈦、二氧化鉭、二氧化鋯、二氧化鉿、四氮化三矽、三氧化二釔、氮氧化鋁、氮碳化鉭、矽化鋯或其他合適的材料。在各個實施例中,介電蓋層352與介電蓋層356可以包括相同的材料或不同的材料。舉例而言,位於源極部件260S上方的介電蓋層356可以具有在大約20 nm至大約50 nm的範圍內的厚度(沿著“z”方向),以及在大約5 nm至大約30 nm的範圍內的寬度(沿著“x”方向)。舉例而言,位於汲極部件260D上方的介電蓋層356可以具有在0 nm(不存在)至大約50 nm的範圍內的厚度(沿著“z”方向),以及在大約5 nm至大約30 nm的範圍內的寬度(沿著“x”方向)。可以使用化學氣相沉積、物理氣相沉積、原子層沉積或其他合適的方法,而沉積介電蓋層356。操作步驟136可以進行化學機械研磨製程,以移除介電蓋層356的沉積在孔洞253a及孔洞253b外部的部分,例如,那些沉積在介電蓋層352及接觸蝕刻停止層269上的部分。如第19圖所繪示,位於源極部件260S上方的介電蓋層356的底表面356b低於閘極結構240’的頂表面240a。再者,在本實施例中,位於汲極部件260D上方的介電蓋層356的底表面356a高於頂表面240a。在替代的實施例中,底表面356a低於頂表面240a但高於底表面356b。如後續將顯示的,藉由背面電源軌及背面導孔而存取源極部件260S。因此,不需要將源極部件260S的正面連接到形成在結構200的正面上的內連線結構(正面內連線結構(frontside interconnect structure))。藉由移除源極接觸件275 (並且視需要而移除矽化物部件273),能夠有利於減小源極部件260S與附近的導電部件(例如,高介電常數金屬閘極240’)之間的耦合電容,因而提高結構200的操作速度。再者,藉由汲極接觸件275及正面內連線結構而存取汲極部件260D。
在操作步驟138中,方法100 (第1C圖)形成介電層279及介電層281於介電蓋層352及介電蓋層356、接觸蝕刻停止層269及層間介電層270 (層間介電層270未繪示於第20圖中)之上,且形成汲極接觸件導孔(drain contact via) 358穿過介電層281、279及356並電性連接到汲極接觸件275。根據一實施例,所形成的結構繪示於第20圖中。在一實施例中,介電層279可以包括三氧化二鑭、三氧化二鋁、氮碳氧化矽、碳氧化矽、氮碳化矽、二氧化矽、碳化矽、氧化鋅、氮化鋯、氧化鋁鋯、二氧化鈦、二氧化鉭、二氧化鋯、二氧化鉿、四氮化三矽、三氧化二釔、氮氧化鋁、氮碳化鉭、矽化鋯或其他合適的材料;並且可以藉由化學氣相沉積、物理氣相沉積、原子層沉積或其他合適的方法,而形成介電層279。介電層281可以包括正矽酸四乙酯形成的氧化物、未摻雜的矽酸鹽玻璃、或經摻雜的氧化矽,例如,硼磷矽酸鹽玻璃、氟摻雜的矽玻璃、磷矽酸鹽玻璃、硼摻雜的矽玻璃、低介電常數介電材料、其他合適的介電材料或上述之組合。可藉由電漿輔助化學氣相沉積、流動式化學氣相沉積或其他合適的方法,而形成介電層281。在一實施例中,汲極接觸件導孔358可以包括導電阻障層及金屬填充層,其中金屬填充層位於導電阻障層之上。導電阻障層可以包括鈦、鉭、鎢、鈷、釕或導電氮化物,例如,氮化鈦、氮化鋁鈦、氮化鎢、氮化鉭或上述之組合,並且可以藉由化學氣相沉積、物理氣相沉積、原子層沉積及/或其他合適的製程,而形成導電阻障層。金屬填充層可以包括鎢、鈷、鉬、釕、鎳、銅或其他金屬,並且可以藉由化學氣相沉積、物理氣相沉積、原子層沉積、鍍覆或其他合適的製程,而形成金屬填充層。在一些實施例中,在汲極接觸件導孔358中省略導電阻障層。在一些實施例中,操作步驟138可以形成連接到閘極堆疊物240’的閘極導孔(未繪示)。
在操作步驟140中,方法100 (第1C圖)在半導體裝置200的正面進行後段 (back-end-of-line;BEOL)製程。舉例而言,操作步驟140可以形成一個或多個內連線層,其具有嵌埋於介電層中的導線及導孔。上述一個或多個內連線層連接各個電晶體的閘極電極、源極電極及汲極電極以及半導體裝置200中的其他電路。操作步驟140還可以形成鈍化層於內連線層之上。在第21圖所繪示的示範例中,膜層277用於表示各種介電材料及金屬層,其包括形成於半導體裝置200的正面的內連線層及鈍化層。
在操作步驟142中,方法100 (第1C圖)將半導體裝置200上下翻轉,並將半導體裝置200的正面貼附到載體370,如第22圖所繪示。如此導致可以從半導體裝置200的背面存取半導體裝置200,以進行進一步的製程。操作步驟142可以使用任何合適的貼附製程,例如,直接接合(direct bonding)、混成接合(hybrid bonding)、使用黏著劑或其他接合方法。操作步驟142可以進一步包括對準、退火及/或其他製程。在一些實施例中,載體370可以是矽晶圓。在本發明實施例的圖式中,“z”方向從半導體裝置200的背面指向半導體裝置200的正面,而“-z”方向從半導體裝置200的正面指向半導體裝置200的背面。
在操作步驟144中,方法100 (第1D圖)選擇性地移除基底201,以形成溝槽272,如第23圖所繪示。可以在溝槽272中暴露半導體層239、隔離結構230 (隔離結構230未繪示於第23圖中)、汲極部件260D、源極部件260S、閘極結構240’及內部間隔物255。此操作步驟可以包括多個製程,包括薄化製程及蝕刻製程。舉例而言,操作步驟144可以首先從半導體裝置200的背面將半導體裝置200減薄,直到暴露出半導體層239,之後選擇性地蝕刻基底201。薄化製程可以包括機械研磨製程及/或化學薄化製程。在機械研磨製程的期間,可以首先從基底201上移除大量的基底材料。之後,化學薄化製程可以將蝕刻化學藥劑施加到基底201的背面,以進一步減薄基底201。此蝕刻製程被調整為對基底201的材料(例如,在一個實施例中為矽)具有選擇性,並且不會對閘極堆疊物240’、隔離部件230及半導體層239 (在一個實施例中為矽鍺)進行蝕刻(或進行最少的蝕刻)。在所繪示的實施例中,汲極部件260D的膜層L0亦被移除。源極部件260S的膜層L0被半導體層239保護而免受蝕刻製程影響。蝕刻製程可以是乾式蝕刻、濕式蝕刻、反應性離子蝕刻或其他蝕刻方法。
在操作步驟146中,方法100 (第1D圖)形成介電襯層274及一個或多個介電層276,以填充溝槽272。根據一實施例,所形成的結構繪示於第24圖中在一實施例中,介電襯層274包括氮化矽,且介電層276包括氧化矽。在一些實施例中,介電襯層274包括其他介電材料,例如,三氧化二鑭、三氧化二鋁、氮碳氧化矽、碳氧化矽、氮碳化矽、二氧化矽、碳化矽、氧化鋅、氮化鋯、氧化鋁鋯、二氧化鈦、二氧化鉭、二氧化鋯、二氧化鉿、三氧化二釔、氮氧化鋁、氮碳化鉭、矽化鋯或其他合適的材料。介電襯層274可以沿著溝槽272的各個表面具有實質上均勻的厚度,並且可以藉由化學氣相沉積、物理氣相沉積、原子層沉積或其他合適的方法,而形成介電襯層274。在一些實施例中,介電層276可包括正矽酸四乙酯形成的氧化物、未摻雜的矽酸鹽玻璃、或經摻雜的氧化矽,例如,硼磷矽酸鹽玻璃、氟摻雜的矽玻璃、磷矽酸鹽玻璃、硼摻雜的矽玻璃及/或其他合適的介電材料。可藉由電漿輔助化學氣相沉積、流動式化學氣相沉積或其他合適的方法,而形成介電層276。操作步驟146可以進一步進行化學機械研磨製程,以平坦化半導體裝置200的背面,並且暴露半導體層239以進行進一步的製程。
在操作步驟148中,方法100 (第1D圖)從半導體裝置200的背面移除半導體層239。在一些實施例中,操作步驟148亦移除源極部件260S的膜層L0,如第25圖所繪示。在一實施例中,操作步驟148實施一蝕刻製程,此蝕刻製程被調整為對半導體層239的材料(例如,在一個實施例中為矽鍺)及源極部件260S的膜層L0 (例如,在一個實施例中為矽鍺)具有選擇性,並且不會對介電襯層274、介電層276、隔離部件230 (隔離部件230未繪示於第25圖中)及源部件260S的膜層L1進行蝕刻(或進行最少的蝕刻)。此蝕刻製程產生溝槽278,且溝槽278從半導體裝置200的背面暴露出源極部件260S (特別是在本實施例中的膜層L1)。在一些實施例中,可以部分地蝕刻膜層L1。蝕刻製程可以是乾式蝕刻、濕式蝕刻、反應性離子蝕刻或其他蝕刻方法。在本實施例中,對半導體層239及膜層L0的蝕刻是自對準的。換言之,操作步驟148不需要製造蝕刻遮罩(例如,藉由光學微影製程而形成的蝕刻遮罩),而蝕刻半導體層239及膜層L0。相反地,此操作步驟仰賴於半導體層239及膜層L0與其周圍各層的材料的蝕刻選擇性。如此有利於形成溝槽278與下方的源極部件260S對準,而不會產生對準偏差(misalignment),例如,由光學微影重疊偏移所導致的對準偏差。使用此製程將使背面源極接觸件(或源極導孔)與源極部件260S理想地對齊,將於下文進行討論。
在操作步驟150中,方法100 (第1D圖)形成背面源極矽化物部件280及背面源極接觸件(或導孔) 282電性連接到源極部件260S。根據一實施例,所形成的結構繪示於第26圖中。如第26圖所繪示,作為上文參考第25圖所討論的自對準蝕刻製程的結果,背面源極接觸件282與源極部件260S自對準。自對準的背面接觸件282使源極部件260S與附近的閘極堆疊物240’之間的短路風險最小化。在一實施例中,操作步驟150包括將一種或多種金屬沉積到孔洞278之中,對半導體裝置200進行退火製程,以引起上述一種或多種金屬與源極部件260S之間的反應,而產生矽化物部件280,並且移除上述一種或多種金屬的未反應部分,而遺留矽化物部件280於孔洞278之中。上述一種或多種金屬可包括鈦、鉭、鎢、鎳、鉑、鐿、銥、鉺、鈷或上述之組合(例如,兩種或多種金屬的合金),並且可以使用化學氣相沉積、物理氣相沉積、原子層沉積或其他合適的方法,而沉積上述一種或多種金屬。矽化物部件280可以包括矽化鈦、矽化鎳、矽化鎢、矽化鎳鉑、矽化鎳鉑鍺、矽化鎳鍺、矽化鐿、矽化鉑、矽化銥、矽化鉺、矽化鈷或其他合適的化合物。在一實施例中,源極接觸件282可以包括導電阻障層及金屬填充層,其中金屬填充層位於導電阻障層之上。導電阻障層的作用是防止金屬填充層的金屬材料擴散到與源極接觸件282相鄰的介電層中(例如,介電層274)。導電阻障層可以包括鈦、鉭、鎢、鈷、釕或導電氮化物,例如,氮化鈦、氮化鋁鈦、氮化鎢、氮化鉭或上述之組合,並且可以藉由化學氣相沉積、物理氣相沉積、原子層沉積及/或其他合適的製程,而形成導電阻障層。金屬填充層可以包括鎢、鈷、鉬、釕、銅、鋁、鈦、鉭或其他金屬,並且可以藉由化學氣相沉積、物理氣相沉積、原子層沉積、鍍覆或其他合適的製程,而形成金屬填充層。在一些實施例中,在源極接觸件282中省略導電阻障層。操作步驟150可以進行化學機械研磨製程,以移除源極接觸件282的多餘材料。
在操作步驟152中,方法100 (第1D圖)形成背面電源軌(backside power rail) 284及背面內連線(backside interconnect) 286。根據一實施例,所形成的結構繪示於第27圖中背面源極接觸件282電性連接到背面電源軌284。在一實施例中,可以使用鑲嵌製程、雙鑲嵌製程、金屬圖案化製程或其他合適的製程,而形成背面電源軌284。背面電源軌284可以包括鎢、鈷、鉬、釕、銅、鋁、鈦、鉭或其他金屬,並且可以藉由化學氣相沉積、物理氣相沉積、原子層沉積及/或其他合適的製程,而形成背面電源軌284。雖然未繪示於在第27圖中,背面電源軌284被嵌埋於一個或多個介電層中,並且背面內連線286包括被嵌埋於一個或多個介電層中的導線及導孔。在一些實施例中,背面電源軌284被視為是背面內連線286的一部分。具有背面電源軌284有利於增加半導體裝置200中可用於直接連接到源極/汲極接觸件及導孔的金屬走線的數量。與沒有背面電源軌284的其他結構相比,此結構亦會增加閘極密度,以實現更大的裝置集積程度。背面電源軌284的尺寸可能比位於半導體裝置200正面的第一層金屬(M0)走線的尺寸更寬,如此可有利於降低背面電源軌的電阻。
在操作步驟154中,方法100 (第1D圖)對半導體裝置200進行進一步的製造製程。舉例而言,此操作步驟可以形成鈍化層於半導體裝置200的背面上,移除載體370,並且進行其他後段製程。
在以上的實施例中,源極部件260S形成有背面矽化物部件及背面接觸件,並且與正面電源軌及正面內連線隔離,而汲極部件260D形成有正面矽化物部件及正面接觸件,並且與背面電源軌及背面內連線隔離。在替代的實施例中,汲極部件260D形成有背面矽化物部件及背面接觸件,並且與正面電源軌及正面內連線隔離,而源極部件260S形成有正面矽化物部件及正面接觸件,並且與背面電源軌及背面內連線隔離。可以藉由轉換在上述實施例中的專用於源極區域的製程與專用於汲極區域的製程,而實現如此的實施例。舉例而言,可以在汲極區域中提供半導體層239,而不在源極區域中提供半導體層239。
第28圖繪示出根據方法100的替代實施例而製造的半導體半導體裝置200的一部分的剖面示意圖。請參照第28圖,在本實施例中,操作步驟134不僅完全移除源極接觸件275,而且還移除源極矽化物273。介電蓋層356直接沉積於源極部件260S上。介電蓋層356的底表面356a在閘極結構240’的頂表面240a的下方。
第29圖繪示出根據方法100的替代實施例而製造的半導體裝置200的一部分的剖面示意圖,其中省略操作步驟132。請參照第29圖,在本實施例中,汲極接觸件275並未被凹陷化,且介電蓋層356被設置於源極部件260S上方,但是不在汲極部件260D上方。在本實施例中,部分地或完全地保留源極矽化物部件273。在替代的實施例中,源極矽化物部件273被部分地或完全地移除。
第30圖繪示出根據方法100的替代實施例而製造的半導體裝置200的一部分的剖面示意圖,其中位於汲極部件260D上方的介電蓋層356 (標記為356D)與位於源極部件260S上方的介電蓋層356 (標記為356S)包括不同的介電材料。在一實施例中,這是藉由以下方法而實現的,在形成孔洞253b之後並且在移除蝕刻遮罩241a之前,沉積介電蓋層356S (第18圖),然後,在從孔洞253a移除蝕刻遮罩241a之後,沉積介電蓋層356D。在另一實施例中,這是藉由以下方法而實現的,在操作步驟136完成之後,例如,使用光學微影及蝕刻製程,從源極側移除介電蓋層356,然後沉積介電蓋層356S。在一實施例中,介電蓋層356S包括低介電常數介電材料(例如,k值小於約3.9),以進一步減小源極部件260S與附近的導電部件之間的耦合電容。舉例而言,介電蓋層356S可以包括氮碳氧化矽、碳氧化矽、氮碳化矽或其他低介電常數介電材料。
雖然目的並非限制,但是本發明實施例的實施形態提供以下優點中的一個或多個。舉例而言,本發明實施例的實施形態提供用於形成具有正面電源軌及內連線與背面電源軌及內連線兩者的半導體裝置的方法。如此將會增加半導體裝置中可用於直接連接到源極/汲極接觸件及導孔的金屬走線的數量。此實施例亦會增加閘極密度,以實現更大的裝置集積程度。本發明實施例的實施形態亦提供用於形成僅在一側(正面或背面)上具有接觸的源極部件及/或汲極部件的方法,因而減小源極/汲極部件與附近的導體(例如,金屬閘極、源極/汲極導孔及閘極導孔)之間的耦合電容。本發明實施例的實施形態可以輕易地整合到現有的半導體製造製程中。
在一示範例中,本發明實施例提供一種半導體結構。此半導體結構包括一個或多個通道層;閘極結構,與上述一個或多個通道層嚙合;第一源極/汲極部件,連接到上述一個或多個通道層的第一側,並且與上述閘極結構相鄰;第一介電蓋層,設置於上述第一源極/汲極部件之上,其中上述第一介電蓋層的底表面位於上述閘極結構的頂表面下方;導孔,設置於上述第一源極/汲極部件之下,並且電性連接到上述第一源極/汲極部件;以及電源軌,設置於上述導孔之下,並且電性連接到上述導孔。
在一實施例中,上述半導體結構進一步包括矽化物部件,設置於上述第一介電蓋層與上述第一源極/汲極部件之間。
在另一實施例中,上述半導體結構進一步包括第二源極/汲極部件,連接到上述一個或多個通道層的第二側,其中上述第二側與上述一個或多個通道層的上述第一側彼此相對,其中上述閘極結構設置於上述第一源極/汲極部件與上述第二源極/汲極部件之間;接觸插塞,設置於上述第二源極/汲極部件之上,並且電性連接到上述第二源極/汲極部件;以及介電部件,設置於上述第二源極/汲極部件之下,並且使上述第二源極/汲極部件與上述電源軌隔離。在一實施例中,上述半導體結構進一步包括第二介電蓋層,設置於上述接觸插塞之上;以及第二導孔,穿過上述第二介電蓋層,並且電性連接到上述接觸插塞。在一些實施例中,上述第一介電蓋層與上述第二介電蓋層包括相同的材料。在一些實施例中,上述第一介電蓋層與上述第二介電蓋層包括不同的材料。在一些實施例中,上述第一介電蓋層包括一材料,且上述材料具有介電常數低於上述第二介電蓋層中的材料的介電常數。在一些實施例中,上述第一介電蓋層比上述第二介電蓋層更厚。
在另一實施例中,上述半導體結構進一步包括第一內連線結構,位於上述第一介電蓋層之上,其中上述第一介電蓋層使上述第一源極/汲極部件與上述第一內連線結構隔離。
在另一示範例中,本發明實施例提供一種半導體結構的形成方法。此半導體結構的形成方法包括提供一結構,其中上述結構具有基底、源極部件及汲極部件、一個或多個通道層、閘極結構及一個或多個介電層,其中上述源極部件及上述汲極部件位於上述基底之上,其中上述一個或多個通道層連接上述源極部件及上述汲極部件,其中上述閘極結構位於上述源極部件與上述汲極部件之間,並且與上述一個或多個通道層嚙合,且其中上述一個或多個介電層設置於上述源極部件及上述汲極部件之上;形成源極接觸孔及汲極接觸孔,其中上述源極接觸孔及上述汲極接觸孔穿過上述一個或多個介電層,並且分別暴露上述源極部件及上述汲極部件;分別形成源極接觸件及汲極接觸件於上述源極接觸孔及上述汲極接觸孔中;形成蝕刻遮罩覆蓋上述汲極接觸件,並且暴露上述源極接觸件;藉由上述蝕刻遮罩而移除上述源極接觸件,因而再次形成上述源極接觸孔的至少一部分;以及沉積第一介電蓋層於上述源極接觸孔的至少上述部分之中。
在一實施例中,上述方法進一步包括形成源極矽化物部件於上述源極部件與上述源極接觸件之間,並且形成汲極矽化物部件於上述汲極部件與上述汲極接觸件之間。在另一實施例中,上述源極接觸件的移除亦移除上述源極矽化物部件的至少一部分。
在一實施例中,上述方法進一步包括:在形成上述蝕刻遮罩之前,將上述汲極接觸件部分地凹陷化,因而再次形成上述汲極接觸孔的一部分;以及沉積第二介電蓋層於上述汲極接觸孔的上述部分中。
在另一實施例中,上述方法進一步包括形成第一內連線結構於上述第一介電蓋層上方;以及形成導孔於上述源極部件之下,並且電性連接到上述源極部件。在另一實施例中,上述方法進一步包括形成電源軌於上述導孔之下,並且電性連接到上述導孔。
在又一示範例中,本發明實施例提供一種半導體結構的形成方法。此半導體結構的形成方法包括提供一結構,其中上述結構具有基底、第一源極/汲極部件及第二源極/汲極部件、一個或多個通道層、高介電常數金屬閘極及一個或多個介電層,其中上述第一源極/汲極部件及上述第二源極/汲極部件位於上述基底之上,其中上述一個或多個通道層連接上述第一源極/汲極部件及上述第二源極/汲極部件,其中上述高介電常數金屬閘極位於上述第一源極/汲極部件與上述第二源極/汲極部件之間,並且與上述一個或多個通道層嚙合,且其中上述一個或多個介電層設置於上述第一源極/汲極部件及上述第二源極/汲極部件之上;形成第一接觸件及第二接觸件,其中上述第一接觸件及上述第二接觸件穿過上述一個或多個介電層,並且分別電性連接到上述第一源極/汲極部件及上述第二源極/汲極部件;形成蝕刻遮罩暴露上述第一接觸件,並且覆蓋上述第二接觸件;藉由上述蝕刻遮罩而移除上述第一接觸件,而形成第一溝槽於上述第一源極/汲極部件之上;沉積第一介電蓋層於上述第一溝槽中;形成第一導孔於上述第二接觸件之上,並且電性連接到上述第二接觸件;形成第一內連線結構於上述第一導孔之上,並且電性連接到上述第一導孔,其中上述第一介電蓋層將上述第一源極/汲極部件與上述第一內連線結構隔離;形成第二導孔於上述第一源極/汲極部件之下,並且電性連接到上述第一源極/汲極部件;以及形成第二內連線結構於上述第二導孔之下,並且電性連接到上述第二導孔。
在一實施例中,在上述第一接觸件及上述第二接觸件的形成之前,上述方法進一步包括形成第一矽化物部件於上述第一接觸件與上述第一源極/汲極部件之間,並且形成一二矽化物部件於上述第二接觸件與上述第二源極/汲極部件之間。在另一實施例中,上述第一接觸件的移除亦移除上述第一矽化物部件的至少一部分。
在一實施例中,在上述蝕刻遮罩的形成之前,上述方法進一步包括將上述第二接觸件部分地凹陷化,而形成第二溝槽於上述第二接觸件之上;以及形成第二介電蓋層於上述第二溝槽中,其中上述第一導孔穿過上述第二介電蓋層。在另一實施例中,上述第一介電蓋層與上述第二介電蓋層包括不同的材料。
前述內文概述了許多實施例的部件,使本技術領域中具有通常知識者可以從各個方面更佳地了解本發明實施例。本技術領域中具有通常知識者應可理解,且可輕易地以本發明實施例為基礎來設計或修飾其他製程及結構,並以此達到相同的目的及/或達到與在此介紹的實施例等相同之優點。本技術領域中具有通常知識者亦應了解這些相等的結構並未背離本發明的發明精神與範圍。在不背離本發明的發明精神與範圍之前提下,可對本發明進行各種改變、置換或修改。
100:方法 102:操作步驟 104:操作步驟 106:操作步驟 108:操作步驟 110:操作步驟 112:操作步驟 114:操作步驟 116:操作步驟 118:操作步驟 120:操作步驟 122:操作步驟 124:操作步驟 126:操作步驟 128:操作步驟 130:操作步驟 132:操作步驟 134:操作步驟 136:操作步驟 138:操作步驟 140:操作步驟 142:操作步驟 144:操作步驟 146:操作步驟 148:操作步驟 150:操作步驟 152:操作步驟 154:操作步驟 200:半導體裝置(半導體結構;結構) 201:基底(半導體層) 205:半導體層堆疊物(堆疊物) 210:第一半導體層(半導體層) 211:閘極溝槽 215:第二半導體層(半導體層) 218:鰭片 230:隔離部件 236:經過圖案化的硬遮罩 237:經過圖案化的光阻 238:開口 239:半導體層 240:虛置閘極堆疊物(犧牲閘極堆疊物) 240’:閘極結構(閘極堆疊物;高介電常數金屬閘極) 240a:頂表面 241:蝕刻遮罩 241a:蝕刻遮罩 247:閘極間隔物 250:源極/汲極溝槽 251:間隙 253:源極/汲極接觸孔(接觸孔;孔洞) 253a:孔洞(經過延伸的孔洞) 253b:孔洞(經過延伸的孔洞) 255:介電層(間隔物前驅物層;內部間隔物) 260:源極/汲極部件(半導體源極/汲極部件;磊晶源極/汲極部件) 260D:源極部件 260S:汲極部件 269:接觸蝕刻停止層 270:層間介電層 272:溝槽 273:矽化物部件(正面矽化物部件;源極矽化物;源極矽化物部件) 274:介電襯層(介電層) 275:源極/汲極接觸件(或接觸插塞) 276:介電層 277:膜層 278:溝槽(孔洞) 279:介電層 280:背面源極矽化物部件(矽化物部件) 281:介電層 282:背面源極接觸件(源極接觸件導孔) 284:背面電源軌 286:背面內連線 352:閘極介電蓋層(介電蓋層) 356:介電蓋層 356a:底表面 356b:底表面 358:汲極接觸件導孔 370:載體 L0:膜層 L1:膜層 L2:膜層
藉由以下的詳述配合所附圖式可更加理解本發明實施例的內容。需注意的是,根據工業上的標準做法,各個部件(feature)並未按照比例繪製。事實上,為了能清楚地討論,可能任意地放大或縮小各個部件的尺寸。 第1A圖、第1B圖、第1C圖及第1D圖繪示出根據本發明實施例的一些實施形態的形成具有背面電源軌及背面自對準導孔的半導體裝置的方法的流程圖。 第2A圖繪示出根據一些實施例的在如第1A圖至第1D圖所示的方法的一個製程中間步驟中的半導體裝置的一部分的俯視示意圖。 第2B圖及第2C圖繪示出根據一些實施例的第2A圖所繪示的半導體裝置的一部分的剖面示意圖,其分別沿著第2A圖所繪示的B-B剖線及C-C剖線所繪製。第2D圖繪示出根據一些實施例的第2A圖所繪示的半導體裝置的一部分的透視示意圖。 第3圖、第4圖、第5圖、第6圖、第7圖、第8圖、第9圖、第10圖、第11圖、第12圖、第13圖、第14圖、第15圖、第16圖、第17圖、第18圖、第19圖、第20圖、第21圖、第22圖、第23圖、第24圖、第25圖、第26圖、第27圖、第28圖、第29圖及第30圖繪示出根據一些實施例的第2A圖所繪示的半導體裝置的一部分的在如第1A圖至第1D圖所繪示的方法的製程中間步驟中的剖面示意圖,其沿著第2A圖所繪示的B-B剖線所繪製。
200:半導體裝置(半導體結構;結構)
215:第二半導體層(半導體層)
240’:閘極結構(閘極堆疊物;高介電常數金屬閘極)
240a:頂表面
247:閘極間隔物
255:介電層(間隔物前驅物層;內部間隔物)
260D:源極部件
260S:汲極部件
269:接觸蝕刻停止層
273:矽化物部件(正面矽化物部件;源極矽化物;源極矽化物部件)
274:介電襯層(介電層)
275:源極/汲極接觸件(或接觸插塞)
276:介電層
277:膜層
279:介電層
280:背面源極矽化物部件(矽化物部件)
281:介電層
282:背面源極接觸件(源極接觸件導孔)
284:背面電源軌
286:背面內連線
356:介電蓋層
356a:底表面
358:汲極接觸件導孔

Claims (20)

  1. 一種半導體結構,包括: 一個或多個通道層; 一閘極結構,與上述一個或多個通道層嚙合; 一第一源極/汲極部件,連接到上述一個或多個通道層的一第一側,並且與該閘極結構相鄰; 一第一介電蓋層,設置於該第一源極/汲極部件之上,其中該第一介電蓋層的一底表面位於該閘極結構的一頂表面下方; 一導孔,設置於該第一源極/汲極部件之下,並且電性連接到該第一源極/汲極部件;以及 一電源軌,設置於該導孔之下,並且電性連接到該導孔。
  2. 如請求項1之半導體結構,更包括: 一矽化物部件,設置於該第一介電蓋層與該第一源極/汲極部件之間。
  3. 如請求項1之半導體結構,更包括: 一第二源極/汲極部件,連接到該一個或多個通道層的一第二側,其中該第二側與上述一個或多個通道層的該第一側彼此相對,其中該閘極結構設置於該第一源極/汲極部件與該第二源極/汲極部件之間; 一接觸插塞,設置於該第二源極/汲極部件之上,並且電性連接到該第二源極/汲極部件;以及 一介電部件,設置於該第二源極/汲極部件之下,並且使該第二源極/汲極部件與該電源軌隔離。
  4. 如請求項3之半導體結構,更包括: 一第二介電蓋層,設置於該接觸插塞之上;以及 一第二導孔,穿過該第二介電蓋層,並且電性連接到該接觸插塞。
  5. 如請求項4之半導體結構,其中該第一介電蓋層與該第二介電蓋層包括相同的材料。
  6. 如請求項4之半導體結構,其中該第一介電蓋層與該第二介電蓋層包括不同的材料。
  7. 如請求項4之半導體結構,其中該第一介電蓋層包括一材料,且該材料具有一介電常數低於該第二介電蓋層中的一材料的一介電常數。
  8. 如請求項4之半導體結構,其中該第一介電蓋層比該第二介電蓋層更厚。
  9. 如請求項1之半導體結構,更包括一第一內連線結構,位於該第一介電蓋層之上,其中該第一介電蓋層使該第一源極/汲極部件與該第一內連線結構隔離。
  10. 一種半導體結構的形成方法,包括: 提供一結構,其中該結構具有一基底、一源極部件及一汲極部件、一個或多個通道層、一閘極結構及一個或多個介電層,其中該源極部件及該汲極部件位於該基底之上,其中上述一個或多個通道層連接該源極部件及該汲極部件,其中該閘極結構位於該源極部件與該汲極部件之間,並且與上述一個或多個通道層嚙合,且其中上述一個或多個介電層設置於該源極部件及該汲極部件之上; 形成一源極接觸孔及一汲極接觸孔,其中該源極接觸孔及該汲極接觸孔穿過上述一個或多個介電層,並且分別暴露該源極部件及該汲極部件; 分別形成一源極接觸件及一汲極接觸件於該源極接觸孔及該汲極接觸孔中; 形成一蝕刻遮罩覆蓋該汲極接觸件,並且暴露該源極接觸件; 經由該蝕刻遮罩而移除該源極接觸件,因而再次形成該源極接觸孔的至少一部分;以及 沉積一第一介電蓋層於該源極接觸孔的至少該部分之中。
  11. 如請求項10之半導體結構的形成方法,更包括: 形成一源極矽化物部件於該源極部件與該源極接觸件之間,並且形成一汲極矽化物部件於該汲極部件與該汲極接觸件之間。
  12. 如請求項11之半導體結構的形成方法,其中該源極接觸件的移除亦移除該源極矽化物部件的至少一部分。
  13. 如請求項10之半導體結構的形成方法,更包括: 在形成該蝕刻遮罩之前,將該汲極接觸件部分地凹陷化,因而再次形成該汲極接觸孔的一部分;以及 沉積一第二介電蓋層於該汲極接觸孔的該部分中。
  14. 如請求項10之半導體結構的形成方法,更包括: 形成一第一內連線結構於該第一介電蓋層上方;以及 形成一導孔於該源極部件之下,並且電性連接到該源極部件。
  15. 如請求項14之半導體結構的形成方法,更包括: 形成一電源軌於該導孔之下,並且電性連接到該導孔。
  16. 一種半導體結構的形成方法,包括: 提供一結構,其中該結構具有一基底、一第一源極/汲極部件及一第二源極/汲極部件、一個或多個通道層、一高介電常數金屬閘極及一個或多個介電層,其中該第一源極/汲極部件及該第二源極/汲極部件位於該基底之上,其中該一個或多個通道層連接該第一源極/汲極部件及該第二源極/汲極部件,其中該高介電常數金屬閘極位於該第一源極/汲極部件與該第二源極/汲極部件之間,並且與該一個或多個通道層嚙合,且其中該一個或多個介電層設置於該第一源極/汲極部件及該第二源極/汲極部件之上; 形成一第一接觸件及一第二接觸件,其中該第一接觸件及該第二接觸件穿過上述一個或多個介電層,並且分別電性連接到該第一源極/汲極部件及該第二源極/汲極部件; 形成一蝕刻遮罩暴露該第一接觸件,並且覆蓋該第二接觸件; 經由該蝕刻遮罩移除該第一接觸件,而形成一第一溝槽於該第一源極/汲極部件之上; 沉積一第一介電蓋層於該第一溝槽中; 形成一第一導孔於該第二接觸件之上,並且電性連接到該第二接觸件; 形成一第一內連線結構於該第一導孔之上,並且電性連接到該第一導孔,其中該第一介電蓋層將該第一源極/汲極部件與該第一內連線結構隔離; 形成一第二導孔於該第一源極/汲極部件之下,並且電性連接到該第一源極/汲極部件;以及 形成一第二內連線結構於該第二導孔之下,並且電性連接到該第二導孔。
  17. 如請求項16之半導體結構的形成方法,在該第一接觸件及該第二接觸件的形成之前,更包括: 形成一第一矽化物部件於該第一接觸件與該第一源極/汲極部件之間,並且形成一第二矽化物部件於該第二接觸件與該第二源極/汲極部件之間。
  18. 如請求項17之半導體結構的形成方法,其中該第一接觸件的移除亦移除該第一矽化物部件的至少一部分。
  19. 如請求項16之半導體結構的形成方法,在該蝕刻遮罩的形成之前,更包括: 將該第二接觸件部分地凹陷化,而形成一第二溝槽於該第二接觸件之上;以及 形成一第二介電蓋層於該第二溝槽中,其中該第一導孔穿過該第二介電蓋層。
  20. 如請求項19之半導體結構的形成方法,其中該第一介電蓋層與該第二介電蓋層包括不同的材料。
TW110126950A 2020-08-27 2021-07-22 半導體結構及其形成方法 TWI818293B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063071130P 2020-08-27 2020-08-27
US63/071,130 2020-08-27
US17/159,309 US11482594B2 (en) 2020-08-27 2021-01-27 Semiconductor devices with backside power rail and method thereof
US17/159,309 2021-01-27

Publications (2)

Publication Number Publication Date
TW202209568A true TW202209568A (zh) 2022-03-01
TWI818293B TWI818293B (zh) 2023-10-11

Family

ID=78892939

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110126950A TWI818293B (zh) 2020-08-27 2021-07-22 半導體結構及其形成方法

Country Status (5)

Country Link
US (3) US11482594B2 (zh)
KR (1) KR102458021B1 (zh)
CN (1) CN113809074A (zh)
DE (1) DE102021102213A1 (zh)
TW (1) TWI818293B (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11749670B2 (en) * 2020-05-18 2023-09-05 Taiwan Semiconductor Manufacturing Company Limited Power switch for backside power distribution
US20240105615A1 (en) * 2022-09-28 2024-03-28 Samsung Electronics Co., Ltd. Field-effect transistor with uniform source/drain regions on self-aligned direct backside contact structures of backside power distribution network (bspdn)
US20240196627A1 (en) * 2022-12-13 2024-06-13 International Business Machines Corporation EMBEDDED ReRAM WITH BACKSIDE CONTACT

Family Cites Families (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3802504A (en) 1971-06-16 1974-04-09 Brown Oil Tools Automatic safety valve
US7402866B2 (en) * 2006-06-27 2008-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Backside contacts for MOS devices
US8598003B2 (en) 2009-12-21 2013-12-03 Intel Corporation Semiconductor device having doped epitaxial region and its methods of fabrication
US8797057B2 (en) 2011-02-11 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Testing of semiconductor chips with microbumps
US8816444B2 (en) 2011-04-29 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US8803316B2 (en) 2011-12-06 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. TSV structures and methods for forming the same
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US9105654B2 (en) 2012-03-21 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain profile for FinFET
US8860148B2 (en) 2012-04-11 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET integrated with capacitor
US8803292B2 (en) 2012-04-27 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Through-substrate vias and methods for forming the same
US9443783B2 (en) 2012-06-27 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC stacking device and method of manufacture
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8823065B2 (en) 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8772109B2 (en) 2012-10-24 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming semiconductor contacts
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
US9299649B2 (en) 2013-02-08 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. 3D packages and methods for forming the same
US8802504B1 (en) 2013-03-14 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. 3D packages and methods for forming the same
US8993380B2 (en) 2013-03-08 2015-03-31 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for 3D IC package
US9209175B2 (en) 2013-07-17 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices having epitaxy regions with reduced facets
US9711555B2 (en) 2013-09-27 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Dual facing BSI image sensors with wafer level stacking
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9281254B2 (en) 2014-02-13 2016-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming integrated circuit package
US9425126B2 (en) 2014-05-29 2016-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy structure for chip-on-wafer-on-substrate
US9496189B2 (en) 2014-06-13 2016-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked semiconductor devices and methods of forming same
US10199502B2 (en) 2014-08-15 2019-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Structure of S/D contact and method of making same
CN206516630U (zh) 2015-01-09 2017-09-22 硅源公司 三维集成电路
US9818872B2 (en) 2015-06-30 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9754840B2 (en) 2015-11-16 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Horizontal gate-all-around device having wrapped-around source and drain
US9899387B2 (en) 2015-11-16 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US10032627B2 (en) 2015-11-16 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming stacked nanowire transistors
US9887269B2 (en) 2015-11-30 2018-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US11088030B2 (en) * 2015-12-30 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US9899269B2 (en) 2015-12-30 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd Multi-gate device and method of fabrication thereof
US9899398B1 (en) 2016-07-26 2018-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Non-volatile memory device having nanocrystal floating gate and method of fabricating same
US9780210B1 (en) * 2016-08-11 2017-10-03 Qualcomm Incorporated Backside semiconductor growth
US10304957B2 (en) 2016-09-13 2019-05-28 Qualcomm Incorporated FinFET with reduced series total resistance
US10282504B2 (en) 2016-09-30 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method for improving circuit layout for manufacturability
US10020261B2 (en) 2016-10-14 2018-07-10 Taiwan Semiconductor Manufacturing Co., Ltd. Split rail structures located in adjacent metal layers
US10446606B2 (en) * 2017-07-19 2019-10-15 International Business Machines Corporation Back-side memory element with local memory select transistor
DE102018100114B4 (de) 2017-11-15 2020-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung und verfahren zu ihrer herstellung
CN111699550B (zh) * 2018-03-19 2023-05-09 东京毅力科创株式会社 三维器件及其形成方法
US10522626B2 (en) * 2018-05-31 2019-12-31 Qualcomm Incorporated Silicon-on-insulator backside contacts
US10861750B2 (en) 2018-07-02 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10720530B2 (en) 2018-09-27 2020-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and methods of forming same
US10998238B2 (en) * 2018-10-31 2021-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuits with buried interconnect conductors
US11075269B2 (en) 2018-11-30 2021-07-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
KR20200086607A (ko) 2019-01-08 2020-07-17 삼성전자주식회사 반도체 장치

Also Published As

Publication number Publication date
DE102021102213A1 (de) 2022-03-03
KR20220027742A (ko) 2022-03-08
US11923408B2 (en) 2024-03-05
US20220367619A1 (en) 2022-11-17
KR102458021B1 (ko) 2022-10-21
US20220069076A1 (en) 2022-03-03
CN113809074A (zh) 2021-12-17
TWI818293B (zh) 2023-10-11
US20240204045A1 (en) 2024-06-20
US11482594B2 (en) 2022-10-25

Similar Documents

Publication Publication Date Title
US11355601B2 (en) Semiconductor devices with backside power rail and backside self-aligned via
US11631736B2 (en) Epitaxial source/drain feature with enlarged lower section interfacing with backside via
CN113517275B (zh) 半导体结构及其形成方法
TWI818293B (zh) 半導體結構及其形成方法
US11600695B2 (en) Dielectric fins with air gap and backside self-aligned contact
KR102538823B1 (ko) 채널과 후면 파워 레일 사이에 자기 정렬된 캡핑을 갖는 게이트 올 어라운드 디바이스
TWI770920B (zh) 半導體結構及其形成方法
TWI777556B (zh) 半導體裝置及其製造方法
TWI793552B (zh) 半導體結構及其製造方法
US20230387127A1 (en) Semiconductor structure with self-aligned backside power rail
US11901456B2 (en) FinFET devices with a backside power rail and a backside self-aligned via disposed between dielectric fins
TWI810568B (zh) 半導體裝置及其製造方法
TWI770912B (zh) 半導體結構及其形成方法
TWI813998B (zh) 半導體結構及其形成方法
US11710742B2 (en) Semiconductor devices with backside contacts and isolation
US20240222508A1 (en) FINFET Devices with Backside Power Rail and Backside Self-Aligned Via
TW202145450A (zh) 半導體結構及其形成方法