TW202201553A - 半導體結構及其製造方法 - Google Patents
半導體結構及其製造方法 Download PDFInfo
- Publication number
- TW202201553A TW202201553A TW110118063A TW110118063A TW202201553A TW 202201553 A TW202201553 A TW 202201553A TW 110118063 A TW110118063 A TW 110118063A TW 110118063 A TW110118063 A TW 110118063A TW 202201553 A TW202201553 A TW 202201553A
- Authority
- TW
- Taiwan
- Prior art keywords
- source
- layer
- drain
- dielectric
- semiconductor
- Prior art date
Links
- 238000000034 method Methods 0.000 title claims abstract description 268
- 239000004065 semiconductor Substances 0.000 title claims abstract description 116
- 238000005530 etching Methods 0.000 claims abstract description 63
- 239000000758 substrate Substances 0.000 claims abstract description 47
- 238000000151 deposition Methods 0.000 claims abstract description 22
- 230000008569 process Effects 0.000 claims description 173
- 238000007789 sealing Methods 0.000 claims description 89
- 229910052751 metal Inorganic materials 0.000 claims description 61
- 239000002184 metal Substances 0.000 claims description 61
- 238000004519 manufacturing process Methods 0.000 claims description 24
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 claims description 17
- 239000010410 layer Substances 0.000 description 399
- 239000003989 dielectric material Substances 0.000 description 60
- 238000002955 isolation Methods 0.000 description 49
- 239000000463 material Substances 0.000 description 45
- 239000000126 substance Substances 0.000 description 30
- 125000006850 spacer group Chemical group 0.000 description 28
- 238000005229 chemical vapour deposition Methods 0.000 description 23
- XLOMVQKBTHCTTD-UHFFFAOYSA-N Zinc monoxide Chemical compound [Zn]=O XLOMVQKBTHCTTD-UHFFFAOYSA-N 0.000 description 22
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 21
- 229910052710 silicon Inorganic materials 0.000 description 21
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 20
- 239000010703 silicon Substances 0.000 description 20
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 19
- 238000001312 dry etching Methods 0.000 description 19
- 239000007789 gas Substances 0.000 description 19
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 18
- 238000000231 atomic layer deposition Methods 0.000 description 17
- 229910021332 silicide Inorganic materials 0.000 description 17
- 238000005538 encapsulation Methods 0.000 description 16
- 238000005240 physical vapour deposition Methods 0.000 description 15
- 239000010936 titanium Substances 0.000 description 15
- 239000010949 copper Substances 0.000 description 13
- 239000000203 mixture Substances 0.000 description 13
- 238000007517 polishing process Methods 0.000 description 13
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 13
- 229910010271 silicon carbide Inorganic materials 0.000 description 13
- 238000001039 wet etching Methods 0.000 description 13
- ITWBWJFEJCHKSN-UHFFFAOYSA-N 1,4,7-triazonane Chemical compound C1CNCCNCCN1 ITWBWJFEJCHKSN-UHFFFAOYSA-N 0.000 description 12
- 229910017109 AlON Inorganic materials 0.000 description 12
- 229910006249 ZrSi Inorganic materials 0.000 description 12
- 229910017052 cobalt Inorganic materials 0.000 description 12
- 239000010941 cobalt Substances 0.000 description 12
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 12
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 11
- 230000015572 biosynthetic process Effects 0.000 description 11
- 150000002739 metals Chemical class 0.000 description 11
- 238000001020 plasma etching Methods 0.000 description 11
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 11
- 229910052721 tungsten Inorganic materials 0.000 description 11
- 239000010937 tungsten Substances 0.000 description 11
- 229910018072 Al 2 O 3 Inorganic materials 0.000 description 10
- 229910008322 ZrN Inorganic materials 0.000 description 10
- 230000008021 deposition Effects 0.000 description 10
- 229910003465 moissanite Inorganic materials 0.000 description 10
- 210000002381 plasma Anatomy 0.000 description 10
- KWYUFKZDYYNOTN-UHFFFAOYSA-M Potassium hydroxide Chemical compound [OH-].[K+] KWYUFKZDYYNOTN-UHFFFAOYSA-M 0.000 description 9
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 9
- 229910052581 Si3N4 Inorganic materials 0.000 description 9
- 229910010413 TiO 2 Inorganic materials 0.000 description 9
- 238000004380 ashing Methods 0.000 description 9
- 230000005669 field effect Effects 0.000 description 9
- 229910052707 ruthenium Inorganic materials 0.000 description 9
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 8
- 229910021193 La 2 O 3 Inorganic materials 0.000 description 8
- 229910004298 SiO 2 Inorganic materials 0.000 description 8
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 description 8
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 8
- 230000004888 barrier function Effects 0.000 description 8
- 229910052814 silicon oxide Inorganic materials 0.000 description 8
- 229910052715 tantalum Inorganic materials 0.000 description 8
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 8
- 229910052719 titanium Inorganic materials 0.000 description 8
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 7
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 description 7
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 7
- 229910052802 copper Inorganic materials 0.000 description 7
- 238000009713 electroplating Methods 0.000 description 7
- 238000011049 filling Methods 0.000 description 7
- 229910052750 molybdenum Inorganic materials 0.000 description 7
- 239000011733 molybdenum Substances 0.000 description 7
- 229910052759 nickel Inorganic materials 0.000 description 7
- 229910052760 oxygen Inorganic materials 0.000 description 7
- 238000000059 patterning Methods 0.000 description 7
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 7
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 7
- 239000011800 void material Substances 0.000 description 7
- QTBSBXVTEAMEQO-UHFFFAOYSA-N Acetic acid Chemical compound CC(O)=O QTBSBXVTEAMEQO-UHFFFAOYSA-N 0.000 description 6
- -1 Zr 2 Al 3 O 9 Inorganic materials 0.000 description 6
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 6
- 230000008878 coupling Effects 0.000 description 6
- 238000010168 coupling process Methods 0.000 description 6
- 238000005859 coupling reaction Methods 0.000 description 6
- 239000002019 doping agent Substances 0.000 description 6
- 239000001301 oxygen Substances 0.000 description 6
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 5
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 5
- 229910052799 carbon Inorganic materials 0.000 description 5
- 239000011229 interlayer Substances 0.000 description 5
- 229910052757 nitrogen Inorganic materials 0.000 description 5
- BASFCYQUMIYNBI-UHFFFAOYSA-N platinum Chemical compound [Pt] BASFCYQUMIYNBI-UHFFFAOYSA-N 0.000 description 5
- 238000012545 processing Methods 0.000 description 5
- 239000000377 silicon dioxide Substances 0.000 description 5
- 235000012239 silicon dioxide Nutrition 0.000 description 5
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 4
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 4
- MHAJPDPJQMAIIY-UHFFFAOYSA-N Hydrogen peroxide Chemical compound OO MHAJPDPJQMAIIY-UHFFFAOYSA-N 0.000 description 4
- QAOWNCQODCNURD-UHFFFAOYSA-N Sulfuric acid Chemical compound OS(O)(=O)=O QAOWNCQODCNURD-UHFFFAOYSA-N 0.000 description 4
- 229910004160 TaO2 Inorganic materials 0.000 description 4
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 4
- 230000008901 benefit Effects 0.000 description 4
- 229910052681 coesite Inorganic materials 0.000 description 4
- 230000000295 complement effect Effects 0.000 description 4
- 229910052593 corundum Inorganic materials 0.000 description 4
- 229910052906 cristobalite Inorganic materials 0.000 description 4
- NQKXFODBPINZFK-UHFFFAOYSA-N dioxotantalum Chemical compound O=[Ta]=O NQKXFODBPINZFK-UHFFFAOYSA-N 0.000 description 4
- 229910052732 germanium Inorganic materials 0.000 description 4
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 4
- 239000011810 insulating material Substances 0.000 description 4
- 239000012212 insulator Substances 0.000 description 4
- MRELNEQAGSRDBK-UHFFFAOYSA-N lanthanum oxide Inorganic materials [O-2].[O-2].[O-2].[La+3].[La+3] MRELNEQAGSRDBK-UHFFFAOYSA-N 0.000 description 4
- 229910044991 metal oxide Inorganic materials 0.000 description 4
- 150000004706 metal oxides Chemical class 0.000 description 4
- KTUFCUMIWABKDW-UHFFFAOYSA-N oxo(oxolanthaniooxy)lanthanum Chemical compound O=[La]O[La]=O KTUFCUMIWABKDW-UHFFFAOYSA-N 0.000 description 4
- 238000002161 passivation Methods 0.000 description 4
- 238000000206 photolithography Methods 0.000 description 4
- 229910052682 stishovite Inorganic materials 0.000 description 4
- 229910052905 tridymite Inorganic materials 0.000 description 4
- 229910001845 yogo sapphire Inorganic materials 0.000 description 4
- 229910052691 Erbium Inorganic materials 0.000 description 3
- 229910052769 Ytterbium Inorganic materials 0.000 description 3
- GEIAQOFPUVMAGM-UHFFFAOYSA-N ZrO Inorganic materials [Zr]=O GEIAQOFPUVMAGM-UHFFFAOYSA-N 0.000 description 3
- 238000009825 accumulation Methods 0.000 description 3
- 238000000137 annealing Methods 0.000 description 3
- 238000000277 atomic layer chemical vapour deposition Methods 0.000 description 3
- 239000005380 borophosphosilicate glass Substances 0.000 description 3
- 238000000576 coating method Methods 0.000 description 3
- 238000013461 design Methods 0.000 description 3
- UYAHIZSMUZPPFV-UHFFFAOYSA-N erbium Chemical compound [Er] UYAHIZSMUZPPFV-UHFFFAOYSA-N 0.000 description 3
- 239000011521 glass Substances 0.000 description 3
- 150000002500 ions Chemical class 0.000 description 3
- 229910052741 iridium Inorganic materials 0.000 description 3
- GKOZUEZYRPOHIO-UHFFFAOYSA-N iridium atom Chemical compound [Ir] GKOZUEZYRPOHIO-UHFFFAOYSA-N 0.000 description 3
- 230000003647 oxidation Effects 0.000 description 3
- 238000007254 oxidation reaction Methods 0.000 description 3
- 150000002927 oxygen compounds Chemical class 0.000 description 3
- NAWDYIZEMPQZHO-UHFFFAOYSA-N ytterbium Chemical compound [Yb] NAWDYIZEMPQZHO-UHFFFAOYSA-N 0.000 description 3
- ZXEYZECDXFPJRJ-UHFFFAOYSA-N $l^{3}-silane;platinum Chemical compound [SiH3].[Pt] ZXEYZECDXFPJRJ-UHFFFAOYSA-N 0.000 description 2
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 2
- HEDRZPFGACZZDS-UHFFFAOYSA-N Chloroform Chemical compound ClC(Cl)Cl HEDRZPFGACZZDS-UHFFFAOYSA-N 0.000 description 2
- 229910004129 HfSiO Inorganic materials 0.000 description 2
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 2
- 239000004642 Polyimide Substances 0.000 description 2
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 2
- 229910010037 TiAlN Inorganic materials 0.000 description 2
- MCMNRKCIXSYSNV-UHFFFAOYSA-N Zirconium dioxide Chemical compound O=[Zr]=O MCMNRKCIXSYSNV-UHFFFAOYSA-N 0.000 description 2
- HLFWJMSINGUMDQ-UHFFFAOYSA-N [Ge].[Pt].[Ni] Chemical compound [Ge].[Pt].[Ni] HLFWJMSINGUMDQ-UHFFFAOYSA-N 0.000 description 2
- UGACIEPFGXRWCH-UHFFFAOYSA-N [Si].[Ti] Chemical compound [Si].[Ti] UGACIEPFGXRWCH-UHFFFAOYSA-N 0.000 description 2
- 239000004964 aerogel Substances 0.000 description 2
- 229910045601 alloy Inorganic materials 0.000 description 2
- 239000000956 alloy Substances 0.000 description 2
- UQZIWOQVLUASCR-UHFFFAOYSA-N alumane;titanium Chemical compound [AlH3].[Ti] UQZIWOQVLUASCR-UHFFFAOYSA-N 0.000 description 2
- 229910052782 aluminium Inorganic materials 0.000 description 2
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 2
- 229910021529 ammonia Inorganic materials 0.000 description 2
- 239000006117 anti-reflective coating Substances 0.000 description 2
- IVHJCRXBQPGLOV-UHFFFAOYSA-N azanylidynetungsten Chemical compound [W]#N IVHJCRXBQPGLOV-UHFFFAOYSA-N 0.000 description 2
- 229910052796 boron Inorganic materials 0.000 description 2
- 150000001875 compounds Chemical class 0.000 description 2
- 238000011161 development Methods 0.000 description 2
- 230000009977 dual effect Effects 0.000 description 2
- 230000000694 effects Effects 0.000 description 2
- TXFYZJQDQJUDED-UHFFFAOYSA-N germanium nickel Chemical compound [Ni].[Ge] TXFYZJQDQJUDED-UHFFFAOYSA-N 0.000 description 2
- 239000001257 hydrogen Substances 0.000 description 2
- 229910052739 hydrogen Inorganic materials 0.000 description 2
- 230000010354 integration Effects 0.000 description 2
- 238000001459 lithography Methods 0.000 description 2
- 239000002070 nanowire Substances 0.000 description 2
- PCLURTMBFDTLSK-UHFFFAOYSA-N nickel platinum Chemical compound [Ni].[Pt] PCLURTMBFDTLSK-UHFFFAOYSA-N 0.000 description 2
- PEUPIGGLJVUNEU-UHFFFAOYSA-N nickel silicon Chemical compound [Si].[Ni] PEUPIGGLJVUNEU-UHFFFAOYSA-N 0.000 description 2
- 150000004767 nitrides Chemical class 0.000 description 2
- 239000005360 phosphosilicate glass Substances 0.000 description 2
- 229910052697 platinum Inorganic materials 0.000 description 2
- 229910021339 platinum silicide Inorganic materials 0.000 description 2
- 238000005498 polishing Methods 0.000 description 2
- 229920001721 polyimide Polymers 0.000 description 2
- 238000011112 process operation Methods 0.000 description 2
- 230000005855 radiation Effects 0.000 description 2
- 238000004151 rapid thermal annealing Methods 0.000 description 2
- WNUPENMBHHEARK-UHFFFAOYSA-N silicon tungsten Chemical compound [Si].[W] WNUPENMBHHEARK-UHFFFAOYSA-N 0.000 description 2
- TXEYQDLBPFQVAA-UHFFFAOYSA-N tetrafluoromethane Chemical compound FC(F)(F)F TXEYQDLBPFQVAA-UHFFFAOYSA-N 0.000 description 2
- 238000000927 vapour-phase epitaxy Methods 0.000 description 2
- 229910019311 (Ba,Sr)TiO Inorganic materials 0.000 description 1
- ZCYVEMRRCGMTRW-UHFFFAOYSA-N 7553-56-2 Chemical compound [I] ZCYVEMRRCGMTRW-UHFFFAOYSA-N 0.000 description 1
- AIRCTMFFNKZQPN-UHFFFAOYSA-N AlO Inorganic materials [Al]=O AIRCTMFFNKZQPN-UHFFFAOYSA-N 0.000 description 1
- 229910017121 AlSiO Inorganic materials 0.000 description 1
- 229910000980 Aluminium gallium arsenide Inorganic materials 0.000 description 1
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 1
- WKBOTKDWSSQWDR-UHFFFAOYSA-N Bromine atom Chemical compound [Br] WKBOTKDWSSQWDR-UHFFFAOYSA-N 0.000 description 1
- KZBUYRJDOAKODT-UHFFFAOYSA-N Chlorine Chemical compound ClCl KZBUYRJDOAKODT-UHFFFAOYSA-N 0.000 description 1
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 1
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 1
- XPDWGBQVDMORPB-UHFFFAOYSA-N Fluoroform Chemical compound FC(F)F XPDWGBQVDMORPB-UHFFFAOYSA-N 0.000 description 1
- 229910005540 GaP Inorganic materials 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- 229910000530 Gallium indium arsenide Inorganic materials 0.000 description 1
- 229910003855 HfAlO Inorganic materials 0.000 description 1
- 229910000673 Indium arsenide Inorganic materials 0.000 description 1
- GPXJNWSHGFTCBW-UHFFFAOYSA-N Indium phosphide Chemical compound [In]#P GPXJNWSHGFTCBW-UHFFFAOYSA-N 0.000 description 1
- 229910018245 LaO Inorganic materials 0.000 description 1
- GRYLNZFGIOXLOG-UHFFFAOYSA-N Nitric acid Chemical compound O[N+]([O-])=O GRYLNZFGIOXLOG-UHFFFAOYSA-N 0.000 description 1
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 1
- 229910002367 SrTiO Inorganic materials 0.000 description 1
- 229910006501 ZrSiO Inorganic materials 0.000 description 1
- UMVBXBACMIOFDO-UHFFFAOYSA-N [N].[Si] Chemical compound [N].[Si] UMVBXBACMIOFDO-UHFFFAOYSA-N 0.000 description 1
- 239000000853 adhesive Substances 0.000 description 1
- 230000001070 adhesive effect Effects 0.000 description 1
- 229910003481 amorphous carbon Inorganic materials 0.000 description 1
- 229910052785 arsenic Inorganic materials 0.000 description 1
- RQNWIZPPADIBDY-UHFFFAOYSA-N arsenic atom Chemical compound [As] RQNWIZPPADIBDY-UHFFFAOYSA-N 0.000 description 1
- GDTBXPJZTBHREO-UHFFFAOYSA-N bromine Substances BrBr GDTBXPJZTBHREO-UHFFFAOYSA-N 0.000 description 1
- 229910052794 bromium Inorganic materials 0.000 description 1
- 239000003990 capacitor Substances 0.000 description 1
- 230000015556 catabolic process Effects 0.000 description 1
- 238000006243 chemical reaction Methods 0.000 description 1
- 239000000460 chlorine Substances 0.000 description 1
- 229910052801 chlorine Inorganic materials 0.000 description 1
- 238000004891 communication Methods 0.000 description 1
- 239000013078 crystal Substances 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 239000010432 diamond Substances 0.000 description 1
- RWRIWBAIICGTTQ-UHFFFAOYSA-N difluoromethane Chemical compound FCF RWRIWBAIICGTTQ-UHFFFAOYSA-N 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 238000000407 epitaxy Methods 0.000 description 1
- 230000009969 flowable effect Effects 0.000 description 1
- 239000012530 fluid Substances 0.000 description 1
- 239000011737 fluorine Substances 0.000 description 1
- 229910052731 fluorine Inorganic materials 0.000 description 1
- 229940104869 fluorosilicate Drugs 0.000 description 1
- HZXMRANICFIONG-UHFFFAOYSA-N gallium phosphide Chemical compound [Ga]#P HZXMRANICFIONG-UHFFFAOYSA-N 0.000 description 1
- 229910052735 hafnium Inorganic materials 0.000 description 1
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical compound [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 description 1
- CJNBYAVZURUTKZ-UHFFFAOYSA-N hafnium(IV) oxide Inorganic materials O=[Hf]=O CJNBYAVZURUTKZ-UHFFFAOYSA-N 0.000 description 1
- WPYVAWXEWQSOGY-UHFFFAOYSA-N indium antimonide Chemical compound [Sb]#[In] WPYVAWXEWQSOGY-UHFFFAOYSA-N 0.000 description 1
- RPQDHPTXJYYUPQ-UHFFFAOYSA-N indium arsenide Chemical compound [In]#[As] RPQDHPTXJYYUPQ-UHFFFAOYSA-N 0.000 description 1
- 229910052740 iodine Inorganic materials 0.000 description 1
- 239000011630 iodine Substances 0.000 description 1
- 238000010884 ion-beam technique Methods 0.000 description 1
- 238000005224 laser annealing Methods 0.000 description 1
- 230000000873 masking effect Effects 0.000 description 1
- 238000010297 mechanical methods and process Methods 0.000 description 1
- 239000007769 metal material Substances 0.000 description 1
- NFFIWVVINABMKP-UHFFFAOYSA-N methylidynetantalum Chemical compound [Ta]#C NFFIWVVINABMKP-UHFFFAOYSA-N 0.000 description 1
- 238000004377 microelectronic Methods 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 238000001451 molecular beam epitaxy Methods 0.000 description 1
- 125000000896 monocarboxylic acid group Chemical group 0.000 description 1
- 229910021421 monocrystalline silicon Inorganic materials 0.000 description 1
- QPJSUIGXIBEQAC-UHFFFAOYSA-N n-(2,4-dichloro-5-propan-2-yloxyphenyl)acetamide Chemical compound CC(C)OC1=CC(NC(C)=O)=C(Cl)C=C1Cl QPJSUIGXIBEQAC-UHFFFAOYSA-N 0.000 description 1
- 239000002135 nanosheet Substances 0.000 description 1
- 229910017604 nitric acid Inorganic materials 0.000 description 1
- 239000012071 phase Substances 0.000 description 1
- 230000010363 phase shift Effects 0.000 description 1
- 229910052698 phosphorus Inorganic materials 0.000 description 1
- 239000011574 phosphorus Substances 0.000 description 1
- 229920002120 photoresistant polymer Polymers 0.000 description 1
- 239000011295 pitch Substances 0.000 description 1
- 229920000052 poly(p-xylylene) Polymers 0.000 description 1
- 239000002861 polymer material Substances 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 239000005368 silicate glass Substances 0.000 description 1
- HWEYZGSCHQNNEH-UHFFFAOYSA-N silicon tantalum Chemical compound [Si].[Ta] HWEYZGSCHQNNEH-UHFFFAOYSA-N 0.000 description 1
- 238000004528 spin coating Methods 0.000 description 1
- 238000006467 substitution reaction Methods 0.000 description 1
- SFZCNBIFKDRMGX-UHFFFAOYSA-N sulfur hexafluoride Chemical compound FS(F)(F)(F)(F)F SFZCNBIFKDRMGX-UHFFFAOYSA-N 0.000 description 1
- 229910003468 tantalcarbide Inorganic materials 0.000 description 1
- 230000036962 time dependent Effects 0.000 description 1
- OGIDPMRJRNCKJF-UHFFFAOYSA-N titanium oxide Inorganic materials [Ti]=O OGIDPMRJRNCKJF-UHFFFAOYSA-N 0.000 description 1
- 238000000038 ultrahigh vacuum chemical vapour deposition Methods 0.000 description 1
- RUDFQVOCFDJEEF-UHFFFAOYSA-N yttrium(III) oxide Inorganic materials [O-2].[O-2].[O-2].[Y+3].[Y+3] RUDFQVOCFDJEEF-UHFFFAOYSA-N 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/7682—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/823475—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/785—Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76897—Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/76—Making of isolation regions between components
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
- H01L21/76834—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76843—Barrier, adhesion or liner layers formed in openings in a dielectric
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/823412—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/823418—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
- H01L21/823425—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures manufacturing common source or drain regions between a plurality of conductor-insulator-semiconductor structures
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/823437—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/823462—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/48—Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
- H01L23/482—Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
- H01L23/485—Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/528—Geometry or layout of the interconnection structure
- H01L23/5286—Arrangements of power or ground buses
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/5329—Insulating materials
- H01L23/53295—Stacked insulating layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
- H01L27/08—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
- H01L27/085—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
- H01L27/088—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/06—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
- H01L29/0603—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/06—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
- H01L29/0684—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape, relative sizes or dispositions of the semiconductor regions or junctions between the regions
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/401—Multistep manufacturing processes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/41—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
- H01L29/417—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
- H01L29/41725—Source or drain electrodes for field effect devices
- H01L29/41791—Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/41—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
- H01L29/423—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
- H01L29/42312—Gate electrodes for field effect devices
- H01L29/42316—Gate electrodes for field effect devices for field-effect transistors
- H01L29/4232—Gate electrodes for field effect devices for field-effect transistors with insulated gate
- H01L29/42356—Disposition, e.g. buried gate electrode
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/41—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
- H01L29/423—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
- H01L29/42312—Gate electrodes for field effect devices
- H01L29/42316—Gate electrodes for field effect devices for field-effect transistors
- H01L29/4232—Gate electrodes for field effect devices for field-effect transistors with insulated gate
- H01L29/42384—Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
- H01L29/42392—Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66545—Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66787—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
- H01L29/66795—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/786—Thin film transistors, i.e. transistors with a channel being at least partly a thin film
- H01L29/78696—Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02172—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/02274—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/823481—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/06—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
- H01L29/0657—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
- H01L29/0665—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
- H01L29/0669—Nanowires or nanotubes
- H01L29/0673—Nanowires or nanotubes oriented parallel to a substrate
Landscapes
- Engineering & Computer Science (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Manufacturing & Machinery (AREA)
- Ceramic Engineering (AREA)
- Nanotechnology (AREA)
- Chemical & Material Sciences (AREA)
- Geometry (AREA)
- Materials Engineering (AREA)
- Crystallography & Structural Chemistry (AREA)
- Insulated Gate Type Field-Effect Transistor (AREA)
- Thin Film Transistor (AREA)
- Photovoltaic Devices (AREA)
- Junction Field-Effect Transistors (AREA)
Abstract
方法包含提供結構,結構具有基底、在基底上的第一介電層、在第一介電層上且連接第一源極/汲極部件和的第二源極/汲極部件的一或多個半導體通道層,以及與一或多個半導體通道層嚙合的閘極結構;自結構的背側蝕刻基底以形成暴露出第一源極/汲極部件的第一溝槽和暴露出第二源極/汲極部件的第二溝槽;在第一溝槽內形成源極/汲極接觸件;蝕刻至少一部分的第一介電層,使得源極/汲極接觸件的一部分自結構的背側的第一介電層突出;以及在源極/汲極接觸件上沉積密封層,其中密封層覆蓋閘極結構與密封層之間的氣隙。
Description
本發明是有關於一種半導體結構及其製造方法,特別是有關於具有氣隙(air gap)的半導體結構及其製造方法。
半導體積體電路(integrated circuit,IC)產業已歷經了指數式成長。積體電路材料及設計之技術的進步造成積體電路世代的產生,每一世代的電路比前一世代更小且更複雜。在積體電路的發展過程中,通常增加了功能密度(即每晶片面積中互連的裝置數量),而降低了幾何尺寸(即使用製程所能創造的最小組件(或線))。這種微縮化製程一般可藉由增加生產效率及降低相關成本以提供許多利益。這樣的微縮化製程亦增加了製造和生產積體電路的複雜度。
近來,為了藉由增加閘極-通道耦合(gate-channel coupling)、降低關閉狀態(off-state)的電流,以及減少短通道效應(short-channel effect,SCE)以改善閘極控制,已導入多閘極裝置。鰭式場效電晶體(fin field-effect transistor,FinFET)是其中一種已導入的多閘極裝置。鰭式場效電晶體因自基底延伸並形成於基底上的似鰭結構而得名,且似鰭結構是用以形成場效電晶體通道。另一個在某種程度上解決與鰭式場效電晶體有關之效能挑戰的多閘極裝置為全繞式閘極(gate-all-around,GAA)電晶體。全繞式閘極電晶體因其閘極結構能延伸環繞通道區,對通道提供四側的連接而得名。全繞式閘極電晶體可與傳統互補式金屬氧化物半導體(complementary metal-oxide-semiconductor,CMOS)製程相容,且它們的結構允許它們在維持閘極控制和減少短通道效應的同時進行極度地縮放。
傳統上以堆疊方式構成多閘極裝置(例如鰭式場效電晶體和全繞式閘極裝置),具有在最低水平處的電晶體和在電晶體上的互連(導孔和佈線)以提供對電晶體的連接性。電源軌(power rail)(例如電壓源和接地面的金屬線)亦在電晶體上且可為互連的一部分。隨著積體電路持續地縮小,電源軌亦持續地縮小。這無法避免地導致橫跨電源軌之壓降的增加,以及積體電路之電力消耗的增加。因此,雖然現存之半導體製造的方法已逐漸合乎它們的預期目標,但它們仍未在各方面皆令人滿意。感興趣的領域之一是如何在積體電路的背側形成降低電阻和降低耦合電容值之電源軌和導孔。
在一示範的樣態中,本揭露提供方法。方法包含提供具有前側和背側的結構,結構包含基底、在基底上的第一介電層、在第一介電層上且連接第一源極/汲極(S/D)部件和一第二源極/汲極部件的一或多個半導體通道層,以及與前述一或多個半導體通道層嚙合的閘極結構,其中基底在結構的背側,且閘極結構在結構的前側;自結構的背側蝕刻基底以形成暴露出第一源極/汲極部件的第一溝槽和暴露出第二源極/汲極部件的第二溝槽;在第一溝槽內形成源極/汲極接觸件;蝕刻至少一部分的第一介電層,使得源極/汲極接觸件的一部分自結構的背側的第一介電層突出;以及在源極/汲極接觸件上沉積密封層,其中密封層覆蓋閘極結構與密封層之間的氣隙。
在另一示範的樣態中,本揭露提供方法。方法包含提供具有前側和背側的結構,結構包含基底、在基底上的半導體鰭、在半導體鰭上的第一源極/汲極(S/D)部件和第二源極/汲極部件、在半導體鰭上的介電蓋層、在介電蓋層上且連接第一和第二源極/汲極部件的一或多個半導體通道層,以及與前述一或多個半導體通道層嚙合的閘極結構,其中基底在結構的背側,且閘極結構在結構的前側;自結構的背側薄化結構直至暴露出半導體鰭;自結構的背側蝕刻半導體鰭以形成暴露出第一源極/汲極部件的第一溝槽和暴露出第二源極/汲極部件的第二溝槽;在第二溝槽內沉積介電層;在第一溝槽內形成源極/汲極接觸件;自結構的背側凹陷介電蓋層,藉此暴露出源極/汲極接觸件的側壁;在源極/汲極接觸件的側壁上形成介電襯層;在源極/汲極接觸件上沉積密封層,使得氣隙夾設於閘極結構與密封層之間;以及在密封層上形成金屬佈線層,其中金屬佈線層電性耦接至源極/汲極接觸件。
在又一示範的樣態中,本揭露提供半導體結構。半導體結構包含第一和第二源極/汲極部件(S/D);連接第一和該第二源極/汲極部件的一或多個通道半導體層;與前述一或多個通道半導體層嚙合的閘極結構,其中第一和第二源極/汲極部件、前述一或多個通道半導體層和該閘極結構在半導體結構的前側;在半導體結構的背側的金屬佈線層;以及在金屬佈線層與閘極結構之間的密封層,其中密封層藉由密封層與閘極結構之間的氣隙與閘極結構隔開。
以下揭露提供了許多不同的實施例或範例,用於實施提供之主題的不同部件。組件和配置的具體範例描述如下,以簡化本揭露的說明。當然,這些僅僅是範例,並非用以限定本發明的實施例。舉例而言,以下敘述中提及第一部件形成於第二部件上或上方,可能包含第一與第二部件直接接觸的實施例,亦可能包含額外的部件形成於第一與第二部件之間,使得第一與第二部件不直接接觸的實施例。此外,本揭露在各種範例中可能重複參考數字及/或字母,此重複是為了簡化和清楚,並非在討論的各種實施例及/或組態之間指定其關係。
再者,在此可使用空間相對用詞,例如「在……下方」、「在……下」、「下方的」、「在……上」、「上方的」及類似的用詞以助於描述圖中所示之其中一個元件或部件相對於另一(些)元件或部件之間的關係。這些空間相對用詞是用以涵蓋圖式所描繪的方位以外,使用中或操作中之裝置的不同方位。裝置可能被轉向其他方位(旋轉90度或其他方位),且可與其相應地解釋在此使用之空間相對描述。再者,除非另有說明,否則根據在此揭露之具體技術及本技術領域中具有通常知識者的理解,當用「約」、「大約」及相似的用詞描述一個數字或一個數字範圍時,所述用詞涵蓋在所述數字之某些變化(像是+/- 10%或其他變化)內的數字。舉例而言,用詞「約5 nm」可涵蓋自4.5 nm至5.5 nm、4.0 nm至5.0 nm等的尺寸範圍。
本案總體上與半導體結構及製造過程相關,特別是與具有背側金屬佈線層(例如電源軌)和背側氣隙的半導體裝置相關。積體電路的電源軌需要進一步的改良以提供所需的效能提升以及電力消耗的降低。本揭露的目的包含在含有電晶體(例如全繞式閘極(GAA)電晶體及/或鰭式場效電晶體)之結構的背面(或背側)上提供電源軌(或電源佈線),且在結構的前面(前側)上提供互連結構(互連結構亦可包含電源軌)。這增加了在結構中可用於與源極/汲極接觸件和導孔直接連接之金屬路徑(metal track)的數量。相較於現存無背側電源軌的結構,它還為集積度較大的裝置增加閘極密度。背側電源軌可具有比結構前側上的第一層金屬(M0)路徑更寬的尺寸,有助於降低電源軌電阻。本揭露亦提供介於閘極堆疊與背側電源軌之間的背側氣隙。在沒有背側氣隙的情況下,可使用底部自對準蓋(bottom self-aligned capping,B-SAC)層以提供閘極堆疊與背側電源軌之間的隔離。底部自對準蓋層通常需要介電常數相對較高的介電材料,以在導孔背側蝕刻期間提供蝕刻選擇性。然而,高介電常數的底部自對準蓋層會增加閘極堆疊與背側電源軌之間的耦合電容值。藉由包含氣隙能降低耦合電容值,這有助於使積體電路運作更快。再者,藉由包含氣隙,閘極堆疊與背側電源軌之間無漏電流路徑,因此增加積體電路的時間相依介電崩潰(time-dependent dielectric breakdown,TDDB)效能。
根據一些實施例,以下搭配所附圖式描述本揭露的結構和製造方法的細節,圖式顯示製造全繞式閘極裝置的製程。全繞式閘極裝置指具有垂直堆疊和水平定向(horizontally-oriented)之多通道電晶體的裝置,多通道電晶體像是奈米線(nanowire)電晶體和奈米片(nanosheet)電晶體。由於全繞式閘極裝置具有更好的閘極控制能力、更低的漏電流以及與鰭式場效電晶體裝置佈局完全的相容性,因此全繞式閘極裝置有望將互補式金屬氧化物半導體帶往藍圖的下一階段。為了簡化的目的,本揭露使用全繞式閘極裝置作為範例。本技術領域中具有通常知識者應可理解他們可使用本揭露為基礎來設計或修改其他製程及結構(例如鰭式場效電晶體),以達到相同的目的及/或達到與在此介紹的實施例相同之優點。
第1A-1D圖為根據本揭露各種實施例之半導體裝置的製造方法100的流程圖。本揭露預期有額外的製程。可在方法100的前、中、後提供額外的操作,且可移除、取代或刪除方法100之額外實施例中的一些敘述的操作。
根據一些實施例,以下結合第2A圖至第30E圖描述方法100,第2A圖至第30E圖顯示根據方法100之製程中各步驟的半導體裝置(或裝置)200的各種俯視和剖面示意圖。一些實施例中,裝置200為積體電路晶片的一部分、系統單晶片(system on chip,SoC)或前述之組合的部分,包含各種被動和主動微電子裝置,像是電阻器、電容器、電感器、二極體、P型場效電晶體(p-type field effect transistor,PFET)、N型場效電晶體(n-type field effect transistor,NFET)、鰭式場效電晶體、奈米片場效電晶體、奈米線場效電晶體、其他類型的多閘極場效電晶體、金屬―氧化物―半導體場效電晶體(metal-oxide semiconductor field effect transistor,MOSFET)、互補式金屬―氧化物―半導體(complementary metal-oxide semiconductor,CMOS)電晶體、雙極性接面電晶體(bipolar junction transistor,BJT)、橫向擴散金屬氧化物半導體(laterally diffused MOS,LDMOS)電晶體、高電壓電晶體、高頻電晶體、記憶體裝置、其他合適的組件或前述之組合。為了清楚說明以更好地理解本揭露的發明概念,將第2A至30E圖簡化。可以在裝置200內加入額外的部件,且可在裝置200的其他實施例中取代、修改或刪除下方一些敘述的部件。
在操作102中,方法100(第1A圖)提供具有基底201和建立在基底201前側上的電晶體的裝置200。第2A圖顯示裝置200的俯視圖,且第2B、2C、2D和2E圖顯示分別沿第2A圖中線B-B、線C-C、線D-D和線E-E之部分的裝置200的剖面示意圖。特別地,線B-B沿半導體鰭204的長度方向(“X”方向)切割,線C-C沿閘極堆疊240的長度方向(“Y”方向)切割,線D-D切入電晶體的源極區且平行於閘極堆疊240,而線E-E切入電晶體的汲極區且平行於閘極堆疊240。以相似的方式配置第3A至30A圖中的線B-B、線C-C、線D-D和線E-E。注意在各個實施例中,線D-D可改為切入電晶體的汲極區,且線E-E可改為切入電晶體的源極區。在本揭露中,源極和汲極可互換地使用。
參見第2A-2E圖,半導體裝置200包含在其背側的基底201和建立在基底201之前表面上的各種元件。這些元件包含在基底201上的隔離結構230、自基底201延伸且相鄰於隔離結構230的半導體鰭(或鰭)204、在鰭204上的兩個源極/汲極(source/drain,S/D)部件260、懸掛在鰭204上並連接兩個源極/汲極部件260的一或多個半導體通道層(或通道層)215、在兩個源極/汲極部件260之間且包覆圍繞每個通道層215的閘極堆疊240,以及設置在鰭204與通道層215之間和在鰭204與閘極堆疊240之間的底部自對準蓋(bottom self-aligned capping,B-SAC)層203。裝置200更包含在源極/汲極部件260與閘極堆疊240之間的內間隔物255、在最頂端之通道層215上且在閘極堆疊240之側壁上的(外)閘極間隔物247、在磊晶源極/汲極部件260與隔離結構230上且相鄰於閘極間隔物247的接觸蝕刻停止層(contact etch stop layer,CESL)269、在接觸蝕刻停止層269上的層間介電(inter-layer dielectric,ILD)層270。在閘極堆疊240上,半導體裝置200更包含自對準蓋(self-aligned capping,SAC)層352。在磊晶源極/汲極部件260上,半導體裝置200更包含矽化物部件273、源極/汲極接觸件275、介電源極/汲極蓋層356和源極/汲極接觸導孔358。在所述的實施例中,在源極部件260上設置源極/汲極蓋層356,且在汲極部件260上設置源極/汲極接觸導孔358。在替代的實施例中,在汲極部件260上設置源極/汲極蓋層356,且在源極部件260上設置源極/汲極接觸導孔358。一些實施例中,在源極和汲極部件260兩者上設置源極/汲極蓋層356。一些實施例中,在源極和汲極部件260兩者上設置源極/汲極接觸導孔358。
參見第3A和3B圖,半導體裝置200更包含具有嵌入介電層之佈線和導孔的一或多個互連層(以「277」表示)。前述的一或多個互連層連接各個電晶體的閘極、源極和汲極電極,以及裝置200中的其他電路,以形成部分或整體的積體電路。裝置200可更包含建立在半導體裝置200之前側上的鈍化層、黏著層及/或其他層。這些層和一或多個互連層一起用元件符號「277」來表示。注意在第3B圖中將半導體裝置200上下翻轉。以下進一步描述半導體裝置200的各個元件。
在一實施例中,基底201為塊材(bulk)矽基底(即包含塊材單晶矽)。基底201在各種實施例中可包含其他半導體材料,例如鍺、碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、銻化銦、SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP、GaInAsP或前述之組合。在替代的實施例中,基底201為絕緣體上覆半導體基底,像是絕緣體上覆矽(silicon-on-insulator,SOI)基底、絕緣體上覆矽鍺(silicon germanium-on-insulator,SGOI)基底或絕緣體上覆鍺(germanium-on-insulator,GOI)基底。
在實施例中,鰭204可包含矽、矽鍺、鍺或其他合適的半導體,且可經N型或P型摻質的摻雜。可藉由任何合適的方法將鰭204圖案化。舉例而言,可使用一或多個光學微影製程(包含雙重圖案化或多重圖案化製程)對鰭204進行圖案化。一般而言,雙重圖案化或多重圖案化製程結合光學微影和自對準製程,允許形成具有間距小於使用單一、直接地光學微影製程可獲得的間距的圖案。舉例而言,在一實施例中,在基底上形成犧牲層,並使用光學微影製程將犧牲層圖案化。使用自對準製程在圖案化的犧牲層旁形成間隔物。然後移除犧牲層,並使用剩餘的間隔物或心軸(mandrel)作為將鰭204圖案化的遮罩元件。舉例而言,遮罩元件可用於在基底201內或上的半導體層內蝕刻出凹陷,留下基底201上的鰭204。蝕刻製程可包含乾式蝕刻、濕式蝕刻、反應式離子蝕刻(reactive ion etching,RIE)及/或其他合適的製程。舉例而言,乾式蝕刻製程可實施含氧氣體、含氟氣體(例如CF4
、SF6
、CH2
F2
、CHF3
及/或C2
F6
)、含氯氣體(例如Cl2
、CHCl3
、CCl4
及/或BCl3
)、含溴氣體(例如HBr及/或CHBr3
)、含碘氣體、其他合適的氣體及/或電漿,及/或前述之組合。舉例而言,濕式蝕刻製程可包括在稀釋氫氟酸(diluted hydrofluoric acid,DHF);氫氧化鉀(potassium hydroxide,KOH)溶液;氨(ammonia);含有氫氟酸(hydrofluoric acid,HF)、硝酸(nitric acid,HNO3
)及/或醋酸(acetic acid,CH3
COOH)的溶液;或其他合適的濕式蝕刻溶液中進行蝕刻。形成鰭204的方法的許多其他實施例皆合適。
隔離結構230可包含氧化矽、氮化矽、氮氧化矽、其他合適的隔離材料(例如包含矽、氧、氮、碳或其他合適的隔離成分)或前述之組合。隔離結構230能包含不同的結構,像是淺溝槽隔離(shallow trench isolation,STI)部件及/或深溝槽隔離(deep trench isolation,DTI)部件。在一實施例中,隔離結構230的形成可藉由以絕緣材料填充鰭204之間的溝槽(例如使用化學氣相沉積製程或旋塗式玻璃(spin-on glass)製程),實施化學機械研磨(chemical mechanical polishing,CMP)製程以移除過量的絕緣材料及/或將絕緣材料層的頂面平坦化,以及回蝕刻絕緣材料層以形成隔離結構230。一些實施例中,隔離結構230包含多個介電層,像是設置在熱氧化襯層上的氮化矽層。
源極/汲極部件260包含磊晶成長的半導體材料,像是磊晶成長的矽、鍺或矽鍺。可藉由任何磊晶製程,包含化學氣相沉積(chemical vapor deposition,CVD)技術(例如氣相磊晶(vapor phase epitaxy,VPE)及/或超高真空(ultra-high vacuum)化學氣相沉積)、分子束磊晶、其他合適的磊晶成長製程或前述之組合以形成源極/汲極部件260。源極/汲極部件260可經N型摻質及/或P型摻質的摻雜。一些實施例中,針對N型電晶體,源極/汲極部件260包含矽並可摻雜碳、磷、砷、其他N型摻質或前述之組合(舉例而言,形成Si:C磊晶源極/汲極部件、Si:P磊晶源極/汲極部件或Si:C:P磊晶源極/汲極部件)。一些實施例中,針對P型電晶體,源極/汲極部件260包含矽鍺或鍺,並可摻雜硼、其他P型摻質或前述之組合(舉例而言,形成Si:Ge:B磊晶源極/汲極部件)。源極/汲極部件260可包含具有不同程度之摻雜密度的多個磊晶半導體層。一些實施例中,實施退火製程(例如快速熱退火(rapid thermal annealing,RTA)及/或雷射退火)以活化源極/汲極部件260中的摻質。
在實施例中,通道層215包含適合作為電晶體通道的半導體材料,像是矽、矽鍺或其他一或多個半導體材料。通道層215的形狀在各種實施例中可為棒狀、條狀、片狀或其他形狀。在一實施例中,通道層215最初為半導體層堆疊的一部分,半導體堆疊包含逐層交互堆疊的通道層215和其他犧牲半導體層。犧牲半導體層和通道層215包含不同的材料成分(例如不同的半導體材料、不同的組成原子百分比及/或不同的組成重量百分比)以產生蝕刻選擇性。在形成閘極堆疊240的閘極替換製程期間,選擇性地移除犧牲半導體層,留下懸掛在鰭204上的通道層215。
一些實施例中,內間隔物255包含介電材料,介電材料包含矽、氧、碳、氮、其他合適的材料或前述之組合(舉例而言,氧化矽、氮化矽、氮氧化矽、碳化矽或碳氮氧化矽)。一些實施例中,內間隔物255包含低介電常數的介電材料,像是在此提到的那些。可藉由沉積和蝕刻製程以形成內間隔物255。舉例而言,在蝕刻源極/汲極溝槽之後,並在自源極/汲極溝槽磊晶成長源極/汲極部件260之前,可使用蝕刻製程將相鄰通道層215之間的犧牲半導體層凹陷,以在相鄰的通道層215之間垂直地形成空隙。然後,(例如使用化學氣相沉積或原子層沉積)沉積一或多個介電材料以填充空隙。實施另一蝕刻製程以移除空隙外的介電材料,藉此形成內間隔物255。
一些實施例中,底部自對準蓋層203可包含一或多種La2
O3
、Al2
O3
、SiOCN、SiOC、SiCN、SiO2
、ZnO、ZrN、Zr2
Al3
O9
、TiO2
、TaO2
、ZrO2
、HfO2
、Si3
N4
、Y2
O3
、AlON、TaCN、ZrSi和其他合適的一或多種材料。一些實施例中,底部自對準蓋層203可包含低介電常數的介電材料,像是包含Si、O、N和C的介電材料。低介電常數的介電材料的範例包含氟矽酸鹽玻璃(FSG)、摻碳氧化矽、黑鑽石(Black Diamond®)(應用材料公司(Applied Materials of Santa Clara,California))、乾凝膠(Xerogel)、氣凝膠(Aerogel)、非晶形氟化碳、聚對二甲苯(Parylene)、雙-苯環丁烯(BCB)、多孔高分子材料SiLK(陶氏化學(Dow Chemical,Midland,Michigan)、聚亞醯胺(polyimide)或前述之組合。可使用化學氣相沉積、原子層沉積、物理氣相沉積或氧化以沉積底部自對準蓋層203。在一實施例中,一開始先在鰭204上沉積底部自對準蓋層203,並使用將鰭204圖案化的相同製程對底部自對準蓋層203進行圖案化。在另一實施例中,一開始先在鰭204上沉積犧牲半導體層(例如SiGe),並使用將鰭204圖案化的相同製程對犧牲半導體層進行圖案化。在形成閘極堆疊240的閘極替換製程期間移除犧牲層,並以底部自對準蓋層203取代犧牲層。如以下進一步的詳細說明,底部自對準蓋層203為夾設於閘極堆疊240與後續製程形成之背側金屬佈線層(和密封層)之間的氣隙保留空間。一些實施例中,底部自對準蓋層203可具有在約1 nm至約20 nm之範圍內的厚度d1。一些實施例中,若底部自對準蓋層203太薄(像是低於1 nm),則隨後形成的氣隙可能無法在閘極堆疊240與背側金屬佈線層之間提供足夠的隔離。一些實施例中,若底部自對準蓋層203太厚(像是大於20 nm),則隨後形成的背側源極/汲極接觸件和導孔將會較長並具有較高的電阻,這會降低電路速度。一些實施例中,底部自對準蓋層203在兩相鄰源極/汲極部件260之間的部分具有在約3 nm至約30 nm之範圍內的長度d4(沿著“x”方向測量)。長度d4亦是兩相鄰源極/汲極部件260之間的側向距離。如以下進一步的詳細說明,長度d4定義隨後形成之氣隙的開口。以便於隨後密封氣隙的覆蓋製程為原則來設定長度d4的範圍。若長度d4大於約30 nm,開口對於密封氣隙的覆蓋製程將會太大。若長度d4小於約3 nm,閘極堆疊240中各部件的尺寸可能會太接近臨界尺寸(critical dimension,CD)並產生較差的製程容許範圍(process window)。
在所述的實施例中,閘極堆疊240包含閘極介電層349和閘極電極層350。閘極介電層349可包含高介電常數的介電材料,像是HfO2
、HfSiO、HfSiO4
、HfSiON、HfLaO、HfTaO、HfTiO、HfZrO、HfAlOx
、ZrO、ZrO2
、ZrSiO2
、AlO、AlSiO、Al2
O3
、TiO、TiO2
、LaO、LaSiO、Ta2
O3
、Ta2
O5
、Y2
O3
、SrTiO3
、BaZrO、BaTiO3
(BTO)、(Ba,Sr)TiO3
(BST)、Si3
N4
、二氧化鉿-氧化鋁(HfO2
-Al2
O3
)合金、其他合適之高介電常數的介電材料或前述之組合。高介電常數的介電材料一般指具有高介電常數(例如大於氧化矽的介電常數(k ≈ 3.9))的介電材料。可藉由化學氧化、熱氧化、原子層沉積(ALD)、化學氣相沉積(CVD)及/或其他合適的方法以形成閘極介電層349。一些實施例中,閘極堆疊240更包含在閘極介電層349與通道層215之間的界面層。界面層可包含二氧化矽、氮氧化矽或其他合適的材料。一些實施例中,閘極電極層350包含N型或P型功函數層和金屬填充層。舉例而言,N型功函數層可包括具有足夠低的有效功函數的金屬,像是鈦、鋁、碳化鉭、碳氮化鉭、氮化鉭矽或前述之組合。舉例而言,P型功函數層可包括具有足夠高的有效功函數的金屬,像是氮化鈦、氮化鉭、釕、鉬、鎢、鉑或前述之組合。舉例而言,金屬填充層可包含鋁、鎢、鈷、銅及/或其他合適的材料。可藉由化學氣相沉積、物理氣相沉積、電鍍及/或其他合適的製程以形成閘極電極層350。由於閘極堆疊240包含高介電常數的介電層和一或多個金屬層,亦可稱為高介電常數的金屬閘極。
在一實施例中,閘極間隔物247包含介電材料,像是包含矽、氧、碳、氮、其他合適的材料或前述之組合(例如氧化矽、氮化矽、氮氧化矽(SiON)、碳化矽、碳氮化矽(SiCN)、碳氧化矽(SiOC)、碳氮氧化矽(SiOCN))的介電材料。在實施例中,閘極間隔物247可包含La2
O3
、Al2
O3
、SiOCN、SiOC、SiCN、SiO2
、SiC、ZnO、ZrN、Zr2
Al3
O9
、TiO2
、TaO2
、ZrO2
、HfO2
、Si3
N4
、Y2
O3
、AlON、TaCN、ZrSi或其他合適的一或多種材料。舉例而言,可在(隨後由高介電常數之金屬閘極240取代的)虛設閘極堆疊上沉積包含矽和氮的介電層,像是氮化矽層,且隨後將其蝕刻(例如非等向性蝕刻)以形成閘極間隔物247。一些實施例中,閘極間隔物247包含多層結構,像是包含氮化矽的第一介電層和包含氧化矽的第二介電層。一些實施例中,形成與閘極堆疊240相鄰之多於一組的間隔物,像是密封間隔物、補償間隔物、犧牲間隔物、虛設間隔物及/或主間隔物。在實施例中,閘極間隔物247可例如具有約1 nm至約40 nm的厚度。
一些實施例中,自對準蓋層352包含La2
O3
、Al2
O3
、SiOCN、SiOC、SiCN、SiO2
、SiC、ZnO、ZrN、Zr2
Al3
O9
、TiO2
、TaO2
、ZrO2
、HfO2
、Si3
N4
、Y2
O3
、AlON、TaCN、ZrSi或其他合適的一或多種材料。自對準蓋層352保護閘極堆疊240,使閘極堆疊240未受到用於蝕刻源極/汲極接觸孔洞的蝕刻和化學機械研磨製程。自對準蓋層352的形成可藉由將閘極堆疊240凹陷以及可選地將閘極間隔物247凹陷,在凹陷的閘極堆疊240上以及可選地在凹陷的閘極間隔物247上沉積一或多個介電材料,並對前述的一或多個介電材料實施化學機械研磨製程。自對準蓋層352可具有例如在約3 nm至約30 nm之範圍內的厚度。
在實施例中,接觸蝕刻停止層269可包含La2
O3
、Al2
O3
、SiOCN、SiOC、SiCN、SiO2
、SiC、ZnO、ZrN、Zr2
Al3
O9
、TiO2
、TaO2
、ZrO2
、HfO2
、Si3
N4
、Y2
O3
、AlON、TaCN、ZrSi或其他合適的一或多種材料,且可藉由化學氣相沉積、物理氣相沉積、原子層沉積或其他合適的方法以形成接觸蝕刻停止層269。層間介電層270可包括四乙氧基矽烷(tetraethylorthosilicate,TEOS)氧化物、未經摻雜的矽酸鹽玻璃、或經摻雜的氧化矽,像是硼磷矽酸鹽玻璃(borophosphosilicate glass,BPSG)、摻氟矽玻璃(fluoride-doped silica glass,FSG)、磷矽酸鹽玻璃(phosphosilicate glass,PSG)、摻硼矽玻璃(boron doped silicon glass,BSG)、低介電常數的介電材料、其他合適的介電材料或前述之組合。可藉由電漿輔助化學氣相沉積(plasma enhanced CVD,PE-CVD)、流動式化學氣相沉積(flowable CVD,F-CVD)或其他合適的方法以形成層間介電層270。
一些實施例中,矽化物部件273可包含矽化鈦(TiSi)、矽化鎳(NiSi)、矽化鎢(WSi)、鎳鉑矽化物(NiPtSi)、鎳鉑鍺矽化物(NiPtGeSi)、鎳鍺矽化物(NiGeSi)、矽化鐿(YbSi)、矽化鉑(PtSi)、矽化銥(IrSi)、矽化鉺(ErSi)、矽化鈷(CoSi)或其他合適的化合物。
在一實施例中,源極/汲極接觸件275可包含導電阻障層和在導電阻障層上的金屬填充層。導電阻障層可包含鈦(Ti)、鉭(Ta)、鎢(W)、鈷(Co)、釕(Ru)或導電的氮化物,像是氮化鈦(TiN)、氮化鋁鈦(TiAlN)、氮化鎢(WN)、氮化鉭(TaN)或前述之組合,且可藉由化學氣相沉積、物理氣相沉積、原子層沉積及/或其他合適的製程形成。金屬填充層可包含鎢(W)、鈷(Co)、鉬(Mo)、釕(Ru)、鎳(Ni)、銅(Cu)或其他金屬,且可藉由化學氣相沉積、物理氣相沉積、原子層沉積、電鍍或其他合適的製程形成。一些實施例中,省略源極/汲極接觸件275中的導電阻障層。
一些實施例中,源極/汲極蓋層356包含La2
O3
、Al2
O3
、SiOCN、SiOC、SiCN、SiO2
、SiC、ZnO、ZrN、Zr2
Al3
O9
、TiO2
、TaO2
、ZrO2
、HfO2
、Si3
N4
、Y2
O3
、AlON、TaCN、ZrSi或其他合適的一或多種材料。源極/汲極蓋層356保護源極/汲極接觸件275,使源極/汲極接觸件275未受到蝕刻和化學機械研磨製程,並將源極/汲極接觸件275與形成在其上方的互連結構隔離。源極/汲極蓋層356可具有約3 nm至約30 nm之範圍內的厚度。一些實施例中,自對準蓋層352和源極/汲極蓋層356包含不同的材料以產生蝕刻選擇性,例如在形成源極/汲極蓋層356的期間。
在一實施例中,源極/汲極接觸導孔358可包含導電阻障層和在導電阻障層上的金屬填充層。導電阻障層可包含鈦(Ti)、鉭(Ta)、鎢(W)、鈷(Co)、釕(Ru)或導電的氮化物,像是氮化鈦(TiN)、氮化鋁鈦(TiAlN)、氮化鎢(WN)、氮化鉭(TaN)或前述之組合,且可藉由化學氣相沉積、物理氣相沉積、原子層沉積及/或其他合適的製程形成。金屬填充層可包含鎢(W)、鈷(Co)、鉬(Mo)、釕(Ru)、鎳(Ni)、銅(Cu)或其他金屬,且可藉由化學氣相沉積、物理氣相沉積、原子層沉積、電鍍或其他合適的製程形成。一些實施例中,省略源極/汲極接觸導孔358中的導電阻障層。
在操作104中,如第3B圖所示,方法100(第1A圖)上下翻轉裝置200並使裝置200的前側附著至載具370。這樣可從裝置200的背側接觸到裝置以對裝置200做進一步的處理。操作104可使用任何合適的附著製程,像是直接接合、混合接合、使用黏著劑或其他接合方法。操作104可進一步包含對準、退火及/或其他製程。一些實施例中,載具370可為矽晶圓。在第2A-30E圖中,“z”方向是從裝置200的背側指向裝置200的前側,而“-z”方向則是從裝置200的前側指向裝置200的背側。
在操作106中,方法100(第1A圖)自裝置200的背側薄化裝置200直到裝置200的背側暴露出鰭204和隔離結構230。根據一實施例,結果形成的結構如第4A-4E圖所示。為了簡化,第4C、4D和4E圖省略在第4B圖中已顯示的一些部件,特別是互連層277和載具370。薄化製程可包含機械研磨製程及/或化學薄化製程。在機械研磨製程期間可先從基底201移除大量的基底材料。之後,化學薄化製程可對基底201的背側施加蝕刻化學品以進一步薄化基底201。
在操作108中,方法100(第1A圖)選擇性地蝕刻鰭204以在閘極堆疊240和源極/汲極部件260的背側上形成溝槽272。溝槽272自背側暴露出源極/汲極部件260的表面。根據一實施例,結果形成的結構如第5A-5E圖所示。在本實施例中,操作108施加經調整以對鰭204中的半導體材料(例如矽)的材料有選擇性,且不(或極少地)蝕刻閘極堆疊240、隔離結構230和底部自對準蓋層203的蝕刻製程。在本實施例中,蝕刻製程亦蝕刻源極/汲極部件260,將其凹陷至與通道層215最底端的表面相同的水平處或低於通道層215最底端的表面。在進一步的一些實施例中,如第5D和5E圖所示,凹陷的源極/汲極部件260維持高於隔離結構230與接觸蝕刻停止層269之間的界面。一些替代的實施例中,操作108可進一步將源極/汲極部件260凹陷至低於隔離結構230與接觸蝕刻停止層269之間的界面(未繪示)。操作108可施加多於一個蝕刻製程。舉例而言,可施加第一蝕刻製程選擇性地移除鰭,然後施加第二蝕刻製程選擇性地將源極/汲極部件260凹陷至預期的水平,其中第一和第二蝕刻製程使用不同的蝕刻參數,像是使用不同的蝕刻劑。前述的一或多個蝕刻製程可為乾式蝕刻、濕式蝕刻、反應式離子蝕刻或其他蝕刻方法。底部自對準蓋層203保護閘極堆疊240,使閘極堆疊240未受到前述之一或多個蝕刻製程的蝕刻。
在操作110中,方法100(第1A圖)沉積具有一或多個介電材料的介電層276以填充溝槽272。一些實施例中,介電層276可包含一或多種La2
O3
、Al2
O3
、SiOCN、SiOC、SiCN、SiO2
、ZnO、ZrN、Zr2
Al3
O9
、TiO2
、TaO2
、ZrO2
、HfO2
、Si3
N4
、Y2
O3
、AlON、TaCN、ZrSi和其他合適的一或多種材料,且可藉由電漿輔助化學氣相沉積、流動式化學氣相沉積或其他合適的方法形成。再者,在本實施例中,介電層276和底部自對準蓋層203可包含不同的材料,使得藉由化學機械研磨製程將介電層276平坦化時,底部自對準蓋層203可作為化學機械研磨的停止層。
在操作112中,方法100(第1A圖)在裝置200的背側上形成蝕刻遮罩360。蝕刻遮罩360在源極/汲極部件260的背側上用於連接至背側接觸件和背側金屬佈線層的地方提供開口362。根據一實施例,結果形成的結構如第7A-7E圖所示。在顯示的實施例中,在源極部件260的背側上提供開口362,而蝕刻遮罩360覆蓋閘極堆疊240和汲極部件260的背側。在各種實施例中,可僅在汲極部件的背側上、僅在源極部件的背側上或在源極和汲極部件兩者的背側上提供開口362。蝕刻遮罩360包含與介電層276的材料不同的材料,以在背側接觸孔洞的蝕刻期間產生蝕刻選擇性。舉例而言,蝕刻遮罩360包含阻劑材料(因此可稱為圖案化的阻劑層及/或圖案化的光阻層)。一些實施例中,蝕刻遮罩360具有多層結構,例如設置在抗反射塗(anti-reflective coating,ARC)層及/或包括氮化矽或氧化矽之硬遮罩層上的阻劑層。本揭露預期涵蓋用作蝕刻遮罩360的其他材料,只要在蝕刻介電層276的期間產生蝕刻選擇性即可。一些實施例中,操作112使用微影製程,包含在裝置200的背側上形成阻劑層(例如藉由旋轉塗布),實施曝光前烘烤(pre-exposure baking)製程,使用遮罩實施曝光製程,實施曝光後烘烤(post-exposure baking)製程,以及實施顯影製程。在曝光製程中,將阻劑層對輻射能量曝光(例如紫外(UV)光、深紫外(DUV)光或極紫外(EUV)光),其中遮罩根據其遮罩圖案及/或遮罩類型(例如二元(binary)遮罩、相偏移(phase shift)遮罩或極紫外光遮罩)阻擋、傳送及/或反射輻射至阻劑層,以將對應於遮罩圖案的影像投射至阻劑層上。由於阻劑層對輻射能量敏感,阻劑層曝光的部分會產生化學變化,且阻劑層曝光(或未曝光)的部分在顯影製程期間根據阻劑層的特性和顯影製程中使用的顯影溶液的特性進行溶解。在顯影之後,圖案化的阻劑層(例如蝕刻遮罩360)包含與遮罩相應的阻劑圖案。或者,藉由其他方法,像是無遮罩微影、電子束寫入(e-beam writing)、離子束寫入(ion-beam writing)或前述之製程實施或取代曝光製程。
在操作114中,方法100(第1A圖)經由蝕刻遮罩360蝕刻介電層276以形成源極/汲極接觸孔洞278。隨後移除蝕刻遮罩360,例如藉由阻劑剝除(stripping)製程或其他合適的製程。根據一實施例,結果形成的結構如第8A-8E圖所示。源極/汲極接觸孔洞278暴露出源極部件260。在顯示的實施例中,蝕刻製程亦蝕刻源極部件260,以將其凹陷至與隔離結構230和接觸蝕刻停止層269之間的界面相同的水平處或低於隔離結構230與接觸蝕刻停止層269之間的界面。這是為了對源極部件260進行隨後形成矽化物的準備。結果,如第8D和8E圖所示,源極部件260可低於汲極部件260。一些實施例中,操作114可施加多於一個蝕刻製程。舉例而言,可施加第一蝕刻製程選擇性地移除介電層276,然後施加第二蝕刻製程選擇性地將源極部件260凹陷至預期的水平,其中第一和第二蝕刻製程使用不同的蝕刻參數,像是使用不同的蝕刻劑。在一實施例中,第一蝕刻製程包含調整以選擇性蝕刻介電層276,且不(或極少地)蝕刻隔離結構230、底部自對準蓋層203、內間隔物255和源極部件260的乾式(電漿)蝕刻製程。在替代的實施例中,第一蝕刻製程可使用其他類型的蝕刻(像是濕式蝕刻或反應式離子蝕刻),只要能實現如上所討論的層之間的蝕刻選擇性。由於第一蝕刻製程沒有蝕刻或極少地蝕刻隔離結構230、底部自對準蓋層203和內間隔物255,接觸孔洞在y-z平面和x-z平面自對準於源極部件260,藉此改善製程的裕度。第二蝕刻製程可為乾式蝕刻、濕式蝕刻、反應式離子蝕刻或其他合適的蝕刻方法,以選擇性地將源極部件260凹陷至預期的水平。
在操作116中,方法100(第1A圖)在源極/汲極接觸孔洞278內形成矽化物部件280和源極/汲極接觸件282。結果形成的結構如第9A-9E圖所示。在一實施例中,操作116首先在溝槽272內沉積一或多個金屬,對裝置200實施退火製程在前述之一或多個金屬與源極部件260之間產生反應以形成矽化物部件280,以及移除前述之一或多個金屬之未反應的部分,留下溝槽272內的矽化物部件280。前述之一或多個金屬可包含鈦(Ti)、鉭(Ta)、鎢(W)、鎳(Ni)、鉑(Pt)、鐿(Yb)、銥(Ir)、鉺(Er)、鈷(Co)或前述之組合(例如兩個或更多個金屬的合金),且可使用化學氣相沉積、物理氣相沉積、原子層沉積或其他合適的方法以進行沉積。矽化物部件280可包含矽化鈦(TiSi)、矽化鎳(NiSi)、矽化鎢(WSi)、鎳鉑矽化物(NiPtSi)、鎳鉑鍺矽化物(NiPtGeSi)、鎳鍺矽化物(NiGeSi)、矽化鐿(YbSi)、矽化鉑(PtSi)、矽化銥(IrSi)、矽化鉺(ErSi)、矽化鈷(CoSi)、前述之組合或其他合適的化合物。在一實施例中,操作116然後在矽化物部件280上沉積源極/汲極接觸件282。源極/汲極接觸件282可包含鎢(W)、鈷(Co)、鉬(Mo)、釕(Ru)、銅(Cu)、鎳(Ni)、鈦(Ti)、鉭(Ta)、氮化鈦(TiN)、氮化鉭(TaN)或其他金屬,且可藉由化學氣相沉積、物理氣相沉積、原子層沉積、電鍍或其他合適的製程形成。
在操作118中,方法100(第1A圖)對介電層276和隔離結構230實施化學機械研磨製程,以自底部自對準蓋層203的表面移除過量的介電材料。根據一實施例,結果形成的結構如第9A-9E圖所示。由於介電層276和隔離結構230包含與底部自對準蓋層203不同的材料,底部自對準蓋層203可在藉由化學機械研磨製程將裝置200平坦化時作為化學機械研磨的停止層。在操作118之後,介電層276的一部分留在溝槽272內的汲極部件260上作為源極/汲極蓋層。介電層276的此部分亦以源極/汲極蓋層276來表示。化學機械研磨製程亦移除源極/汲極接觸件282內過量的金屬材料,使得源極/汲極接觸件282、源極/汲極蓋層276和底部自對準蓋層203的表面在相同水平。因此,底部自對準蓋層203的厚度d1亦定義為源極/汲極接觸件282自閘極堆疊240突出的距離。如上所討論的,一些實施例中,厚度d1在約1 nm至約20 nm的範圍內。
在操作130中,方法100(第1B圖)選擇性地蝕刻底部自對準蓋層203以將底部自對準蓋層203凹陷至低於源極/汲極接觸件282、源極/汲極蓋層276和隔離結構230的表面。根據一實施例,結果形成的結構如第10A-10E圖所示。在本實施例中,操作130施加經調整以對底部自對準蓋層203的介電材料具有選擇性,且不(或極少地)蝕刻源極/汲極接觸件282、源極/汲極蓋層276和隔離結構230的蝕刻製程。蝕刻製程可為電漿乾式蝕刻、化學乾式蝕刻、灰化製程、濕式蝕刻或其他合適的蝕刻方法。舉例而言,電漿乾式蝕刻可使用對介電材料的傳統乾式蝕刻劑,像是混合H2
或O2
的C4
F6
,化學乾式蝕刻製程可使用一或多個化學品,像是H2
,灰化製程可使用氧或氫的灰化,而濕式蝕刻製程可施加熱的SPM溶液(硫酸和過氧化氫的混合物),例如在高於100 °C的溫度。操作130的結果使得源極/汲極接觸件282、源極/汲極蓋層276和隔離結構230部分的側壁自底部自對準蓋層203突出距離d2。藉由調整蝕刻製程的持續時間可以控制距離d2。一些實施例中,距離d2在約2 nm至約20 nm的範圍內。如以下將進一步詳細說明的,距離d2定義後續製程中在源極/汲極接觸件282、源極/汲極蓋層276和隔離結構230突出的側壁上形成的介電襯層的垂直長度。源極/汲極接觸件282與源極/汲極蓋層276之間的側向距離大致等於在約3 nm至約30 nm之範圍的長度d4。
在操作132中,方法100(第1B圖)在裝置200的背側上沉積介電襯層304。根據一實施例,結果形成的結構如第11A-11E圖所示。在顯示的實施例中,順應性地(conformally)沉積介電襯層304以使介電襯層304沿著底部自對準蓋層203、隔離結構230、源極/汲極接觸件282和源極/汲極蓋層276的各個表面具有大抵上均勻的厚度。在各種實施例中,介電襯層304可包含La2
O3
、Al2
O3
、SiOCN、SiOC、SiCN、SiO2
、SiC、ZnO、ZrN、Zr2
Al3
O9
、TiO2
、TaO2
、ZrO2
、HfO2
、Si3
N4
、Y2
O3
、AlON、TaCN、ZrSi、前述之組合或其他合適的一或多種材料。在各種實施例中,可使用原子層沉積、化學氣相沉積或其他合適的方法以沉積介電襯層304,且介電襯層304可具有約0.5 nm至約10 nm的厚度(例如沿著“x”方向在源極/汲極接觸件282的側壁上測量)。
在操作134中,方法100(第1B圖)實施蝕刻製程以穿透和移除大部分的介電襯層304的水平部分。前述的蝕刻製程亦稱為穿透(breakthrough,BT)蝕刻製程。結果形成的結構如第12A-12E圖所示。一些實施例中,穿透蝕刻製程可包含非等向性乾式蝕刻製程或類似的蝕刻製程。一些由氧化合物形成介電襯層304的實施例中,穿透蝕刻製程為具有包含CHF3
、Ar、CF4
、N2
、O2
、CH2
F2
、SF3
、類似物或前述組合之蝕刻製程氣體的反應式離子蝕刻(reactive ion etch,RIE)製程。實施反應式離子蝕刻製程的蝕刻時間可在約2秒與約20秒之間,壓力可在約2 mTorr與約30 mTorr之間,溫度可在約10 °C與約100 °C之間,射頻(radio frequency,RF)功率可在約100 W與約1500 W之間,且電壓偏壓可在約10 V與約800 V之間。在顯示的實施例中,操作134的結果使得部分的介電襯層304保留在源極/汲極接觸件282、源極/汲極蓋層276和隔離結構230的側壁上。介電襯層304的垂直長度大致等於距離d2,在約2 nm至約10 nm的範圍內。如以下將進一步詳細說明的,介電襯層304在後續密封氣隙的覆蓋製程期間作為累積密封層之沉積介電材料的著陸(landing)墊。在一些實施例中若介電襯層304的長度小於2 nm,則介電襯層304可能無法提供足夠的著陸面積以足夠累積為了密封氣隙所需的介電材料。在一些實施例中若介電襯層304的長度大於10 nm,可能使密封層沉積的介電材料深入氣隙內,降低氣隙的體積。
在操作136中,方法100(第1B圖)在蝕刻製程中移除底部自對準蓋層203。根據一實施例,結果形成的結構如第13A-13E圖所示。在顯示的實施例中,底部自對準蓋層203的移除暴露出閘極堆疊240,並在介電襯層304與閘極堆疊240之間形成空隙333。空隙333存在於介電襯層304的正下方並在閘極堆疊240上,且暴露出源極/汲極接觸件282、源極/汲極蓋層276和隔離結構230部分的側壁。在各種實施例中,介電襯層304與閘極堆疊240之間的垂直距離d3在約0.5 nm至約10 nm的範圍內。在一實施例中,蝕刻製程可包含電漿乾式蝕刻、化學乾式蝕刻、灰化蝕刻、濕式蝕刻或其他合適的蝕刻方法。舉例而言,電漿乾式蝕刻可使用對介電材料的傳統乾式蝕刻劑,像是混合H2
或O2
的C4
F6
,化學乾式蝕刻製程可使用一或多個化學品,像是H2
,灰化製程可使用氧或氫的灰化,而濕式蝕刻製程可施加熱的SPM溶液(硫酸和過氧化氫的混合物),例如在高於100 °C的溫度。
在操作138中,方法100(第1B圖)在裝置200的背側上沉積密封層312,並覆蓋垂直地位於閘極堆疊240與密封層312之間的氣隙314。根據一實施例,結果形成的結構如第14A-14E圖所示。密封層312的沉積亦稱為覆蓋製程。如在此所使用的,用詞「氣隙」是用於描述由環繞之實質部件定義的孔洞,孔洞可包含空氣、氮氣、環境氣體、在先前或目前的製程中使用的氣態化學品或前述之組合。調整裝置200的結構和密封層312的形成以有效地封閉水平地位於源極/汲極接觸件282與源極/汲極蓋層276之間的空間,結果形成氣隙314。特別地,突出的源極/汲極接觸件282和源極/汲極蓋層276作為支撐形成於其上之密封層312的支柱。如以上所討論的,將源極/汲極接觸件282與源極/汲極蓋層276之間的距離d4設定在促進覆蓋製程的範圍內。介電襯層304進一步窄化源極/汲極接觸件282與源極/汲極蓋層276之間的空間的上部分內的開口。再者,介電襯層304提供為了累積沉積的介電材料的著陸墊。一些實施例中,介電襯層304具有比源極/汲極接觸件282的金屬表面更容易累積介電材料的親水特性。一些實施例中,由於介電襯層304和沉積的介電材料相似的材料成分(例如兩者皆具有氧化物)之間的共價鍵,沉積的介電材料較容易累積在介電襯層304上。一些實施例中,密封層312的沉積材料包含La2
O3
、Al2
O3
、SiOCN、SiOC、SiCN、SiO2
、SiC、ZnO、ZrN、Zr2
Al3
O9
、TiO2
、TaO2
、ZrO2
、HfO2
、Si3
N4
、Y2
O3
、AlON、TaCN、ZrSi、前述之組合或其他合適的一或多種材料。在進一步的實施例中,密封層312和介電襯層304可具有相同的介電材料成分。一些替代的實施例中,密封層312和介電襯層304可具有不同的介電材料成分。
可藉由化學氣相沉積、物理氣相沉積、電漿輔助化學氣相沉積、塗布製程或其他合適的製程以沉積密封層312。在一實施例中,藉由電漿輔助化學氣相沉積來沉積密封層312,沉積的介電材料較容易在窄開口的頂部上合併。調整電漿輔助化學氣相沉積的參數(例如壓力、溫度和氣體黏滯性),使得沉積介電材料的空隙填充行為在不填滿源極/汲極接觸件282與源極/汲極蓋層276之間的空間的情況下維持氣隙。在本實施例中,電漿輔助化學氣相沉積採用低於約0.75 torr的壓力和高於約75 °C的溫度設定。因此,可在介電襯層304之間的上部分沉積密封層312的介電材料,以包圍源極/汲極接觸件282與源極/汲極蓋層276之間的空間,且沒有大量沉積在前述之空間的下部分。因此,可在密封層312的介電材料下和閘極堆疊240上形成相應的氣隙314,且氣隙314具有在約0.5 nm至約10 nm之範圍內的垂直厚度。一些實施例中,若氣隙314太薄(例如小於0.5 nm),可能無法在閘極堆疊240與後續形成之背側金屬佈線層之間提供足夠的隔離。一些實施例中,若氣隙314太厚(例如大於10 nm),則背側源極/汲極接觸件和導孔需要足夠長以容納氣隙厚度,其電阻將變高,可能導致電路速度的降低。源極/汲極接觸件282、源極/汲極蓋層276和隔離結構230的側壁暴露在氣隙314中。垂直地位於介電襯層304與閘極堆疊240之間的空隙333亦變成氣隙314的一部分。在沉積密封層312的介電材料期間使用的一或多種氣體或任何其他可擴散進入氣隙314內的氣體種類可能存在於氣隙314中。密封層312自氣隙314朝源極/汲極接觸件282和源極/汲極蓋層276的頂面側向延伸。密封層312亦覆蓋介電襯層304之側壁的一部分和頂面。
在操作140中,方法100(第1B圖)對密封層312實施化學機械研磨製程以自源極/汲極接觸件282的表面移除過量的介電材料。根據一實施例,結果形成的結構如第15A-15E圖所示。由於密封層312包含與源極/汲極接觸件282不同的材料,使得源極/汲極接觸件282在藉由化學機械研磨製程對裝置200進行平坦化時可作為化學機械研磨的停止層。在操作140之後,密封層312仍覆蓋氣隙314,並暴露出源極/汲極接觸件282和源極/汲極蓋層276的頂面。在操作140之後,剩餘的密封層312介於相對的介電襯層304之間。一些實施例中,在操作140之後,剩餘的密封層312具有在約0.5 nm至約10 nm之範圍內的厚度。
在操作142中,方法100(第1B圖)在裝置200的背側上形成金屬佈線層,例如背側電源軌284。根據一實施例,結果形成的結構如第16A-16E圖所示。如第16B和16D圖所示,背側源極/汲極接觸件282電性連接至背側電源軌284。在一實施例中,可使用單鑲嵌(damascene)製程、雙鑲嵌(dual-damascene)製程、金屬圖案化製程或其他合適的製程以形成背側電源軌284。背側電源軌284可包含鎢(W)、鈷(Co)、鉬(Mo)、釕(Ru)、銅(Cu)、鎳(Ni)、鈦(Ti)、鉭(Ta)、氮化鈦(TiN)、氮化鉭(TaN)或其他金屬,且可藉由化學氣相沉積、物理氣相沉積、原子層沉積、電鍍或其他合適的製程形成。儘管未顯示於第16A-16E圖中,背側電源軌284是嵌入一或多個介電層內。具有背側電源軌284能有利地增加裝置200中可用於直接連接源極/汲極接觸件和導孔的金屬路徑數。與沒有背側電源軌284的其他結構相比亦為更大的裝置整合度增加閘極密度。背側電源軌284亦具有比裝置200前側上的第一層金屬(M0)路徑更寬的尺寸,有助於降低背側電源軌的電阻。在一實施例中,背側電源軌284可具有在約5 nm至約40 nm之範圍內的厚度d5。
在操作144中,方法100(第1B圖)實施裝置200之進一步的製程。舉例而言,可在裝置200的背側上形成一或多個互連層,在裝置200的背側上形成鈍化層,實施其他的產線後段(BEOL)製程,以及移除載具370。
現在參見第1C圖,第1C圖顯示方法100的替代實施例。在方法100的替代實施例中,在操作118(第1A圖)實施化學機械研磨製程暴露出源極/汲極接觸件282、源極/汲極蓋層276和底部自對準蓋層203的表面之後,方法100可選擇在繼續進行操作130之前先進行操作120(第1C圖)。以下結合第17A-24E圖描述方法100的替代實施例,其中說明形成第9A-9E圖所示的結構之後的製程操作。方法100之替代實施例的一些樣態與以上描述過的相同,並且將在以下進行簡要地討論。
在操作120中,方法100(第1C圖)在蝕刻製程中自汲極部件260上移除源極/汲極蓋層276。根據一實施例,結果形成的結構如第17A-17E圖所示。移除源極/汲極蓋層276之後重新出現汲極部件260上的溝槽272,暴露出汲極部件260的表面和內間隔物255的側壁。在顯示的實施例中,蝕刻製程亦蝕刻汲極部件260,將汲極部件260凹陷至與隔離結構230和接觸蝕刻停止層269之間的界面相同的水平處或低於隔離結構230與接觸蝕刻停止層269之間的界面,以擴大溝槽272。如以下將進一步詳細說明的,溝槽272將成為氣隙的一部分並增加氣隙的體積,這有助於進一步降低裝置200中不同部件之間的耦合電容值。一些實施例中,操作120可施加多於一個蝕刻製程。舉例而言,可施加第一蝕刻製程選擇性地移除源極/汲極蓋層276,然後施加第二蝕刻製程選擇性地將汲極部件260凹陷至預期的水平,其中第一和第二蝕刻製程使用不同的蝕刻參數,像是使用不同的蝕刻劑。在一實施例中,第一蝕刻製程包含經調整以選擇性蝕刻源極/汲極蓋層276,且不(或極少地)蝕刻隔離結構230、底部自對準蓋層203、內間隔物255和汲極部件260的乾式(電漿)蝕刻製程。在替代的實施例中,第一蝕刻製程可使用其他類型的蝕刻(像是濕式蝕刻或反應式離子蝕刻),只要能實現如上所討論的層之間的蝕刻選擇性。由於第一蝕刻製程沒有蝕刻或極少地蝕刻隔離結構230、底部自對準蓋層203和內間隔物255,溝槽272在y-z平面和x-z平面自對準於汲極部件260,藉此改善製程的裕度。第二蝕刻製程可為乾式蝕刻、濕式蝕刻、反應式離子蝕刻或其他合適的蝕刻方法,以選擇性地將汲極部件260凹陷至預期的水平。
在操作120之後,方法100(第1C圖)接續進行操作130,選擇性地蝕刻底部自對準蓋層203,以將底部自對準蓋層203凹陷至低於源極/汲極接觸件282和隔離結構230的表面。根據一實施例,結果形成的結構如第18A-18E圖所示。在本實施例中,操作130施加經調整以對底部自對準蓋層203的介電材料具有選擇性,且不(或極少地)蝕刻源極/汲極接觸件282、內間隔物255、汲極部件260和隔離結構230的蝕刻製程。蝕刻製程可為電漿乾式蝕刻、化學乾式蝕刻、灰化製程、濕式蝕刻或其他合適的蝕刻方法。操作130的結果使得源極/汲極接觸件282和隔離結構230部分的側壁自底部自對準蓋層203突出距離d2。藉由調整蝕刻製程的持續時間可以控制距離d2。一些實施例中,距離d2在約2 nm至約20 nm的範圍內。
在操作132中,方法100(第1C圖)在裝置200的背側上沉積介電襯層304。根據一實施例,結果形成的結構如第19A-19E圖所示。在顯示的實施例中,順應性地沉積介電襯層304以使介電襯層304沿著底部自對準蓋層203、隔離結構230、源極/汲極接觸件282和溝槽272(包含內間隔物255的側壁和汲極部件260的頂面)的各個表面具有大抵上均勻的厚度。在各種實施例中,介電襯層304可包含La2
O3
、Al2
O3
、SiOCN、SiOC、SiCN、SiO2
、SiC、ZnO、ZrN、Zr2
Al3
O9
、TiO2
、TaO2
、ZrO2
、HfO2
、Si3
N4
、Y2
O3
、AlON、TaCN、ZrSi、前述之組合或其他合適的一或多種材料。在各種實施例中,可使用原子層沉積、化學氣相沉積或其他合適的方法以沉積介電襯層304,且介電襯層304可具有約0.5 nm至約10 nm的厚度(例如沿著“x”方向在源極/汲極接觸件282的側壁上測量)。
在操作134中,方法100(第1C圖)實施穿透蝕刻製程以穿透並移除大部分的介電襯層304的水平部分。結果形成的結構如第20A-20E圖所示。一些實施例中,穿透蝕刻製程可包含非等向性乾式蝕刻製程或類似的蝕刻製程。一些由氧化合物形成介電襯層304的實施例中,穿透蝕刻製程為具有包含CHF3
、Ar、CF4
、N2
、O2
、CH2
F2
、SF3
、類似物或前述組合之蝕刻製程氣體的反應式離子蝕刻(RIE)製程。在顯示的實施例中,操作134的結果使得部分的介電襯層304保留在源極/汲極接觸件282、溝槽272和隔離結構230的側壁上。介電襯層304在溝槽272之側壁上的部分接觸汲極部件260。
在操作136中,方法100(第1C圖)在蝕刻製程中移除底部自對準蓋層203。根據一實施例,結果形成的結構如第21A-21E圖所示。在顯示的實施例中,底部自對準蓋層203的移除暴露出閘極堆疊240,並在源極/汲極接觸件282之側壁上的介電襯層304與閘極堆疊240之間形成空隙333。空隙333存在於源極/汲極接觸件282之側壁上的介電襯層304正下方並在閘極堆疊240上。在各種實施例中,在源極/汲極接觸件282之側壁上的介電襯層304與閘極堆疊240之間的垂直距離d3在約0.5 nm至約10 nm的範圍內。此外,由於移除底部自對準蓋層203的緣故,溝槽272之側壁上的介電襯層304自閘極堆疊240突出。突出的距離約略等於d3。換言之,源極/汲極接觸件282側壁上的介電襯層304的末端與溝槽272側壁上的介電襯層304的末端在相同的水平。如第21E圖所示,在y-z平面中,介電襯層304仍維持覆蓋溝槽272的側壁並與隔離結構230的頂面在相同水平。在一實施例中,蝕刻製程可包含電漿乾式蝕刻、化學乾式蝕刻、灰化蝕刻、濕式蝕刻或其他合適的蝕刻方法。
在操作138中,方法100(第1C圖)在裝置200的背側上沉積密封層312,並覆蓋垂直地位於閘極堆疊240與密封層312之間的氣隙314。根據一實施例,結果形成的結構如第22A-22E圖所示。調整裝置200的結構和密封層312的形成以有效地封閉水平地位於相鄰(或鄰近)的源極/汲極接觸件282之間的空間,結果形成氣隙314。特別地,突出的鄰近源極/汲極接觸件282(其中一個顯示於第22B圖中)作為支撐形成於其上之密封層312的支柱。將兩個鄰近的源極/汲極接觸件282之間的距離設定在促進覆蓋製程的範圍內。介電襯層304進一步窄化兩個鄰近源極/汲極接觸件282之間的空間的上部分內的開口。再者,介電襯層304提供為了累積沉積的介電材料的著陸墊。一些實施例中,介電襯層304具有比源極/汲極接觸件282的金屬表面更容易累積介電材料的親水特性。一些實施例中,由於介電襯層304和沉積的介電材料相似的材料成分(例如兩者皆具有氧化物)之間的共價鍵,沉積的介電材料較容易累積在介電襯層304上。一些實施例中,密封層312的沉積材料包含La2
O3
、Al2
O3
、SiOCN、SiOC、SiCN、SiO2
、SiC、ZnO、ZrN、Zr2
Al3
O9
、TiO2
、TaO2
、ZrO2
、HfO2
、Si3
N4
、Y2
O3
、AlON、TaCN、ZrSi、前述之組合或其他合適的一或多種材料。在進一步的實施例中,密封層312和介電襯層304可具有相同的介電材料成分。
可藉由化學氣相沉積、物理氣相沉積、電漿輔助化學氣相沉積、塗布製程或其他合適的製程以沉積密封層312。在一實施例中,藉由電漿輔助化學氣相沉積來沉積密封層312,沉積的介電材料較容易在窄開口的頂部上合併。調整電漿輔助化學氣相沉積的參數(例如壓力、溫度和氣體黏滯性),使得沉積介電材料的空隙填充行為在不填滿兩個鄰近源極/汲極接觸件282之間的空間的情況下維持氣隙。源極/汲極接觸件282、隔離結構230和介電襯層304的側壁暴露在氣隙314中。溝槽272成為氣隙314的一部分,擴大氣隙314的體積。一些實施例中,溝槽272之側壁上的介電襯層304與密封層312分隔一段距離d6,距離d6在約0.5 nm至約10 nm的範圍內。距離d6允許溝槽272與兩個鄰近的氣隙314流體連通,藉此形成橫跨於兩個鄰近源極/汲極接觸件282之間的較大的氣隙。在沉積密封層312的介電材料期間使用的一或多種氣體或任何其他可擴散進入氣隙314內的氣體種類可能存在於氣隙314中。密封層312亦覆蓋設置在源極/汲極接觸件282側壁上的介電襯層304之側壁的一部分和頂面。
在操作140中,方法100(第1C圖)對密封層312實施化學機械研磨製程以自源極/汲極接觸件282的表面移除過量的介電材料。根據一實施例,結果形成的結構如第23A-23E圖所示。由於密封層312包含與源極/汲極接觸件282不同的材料,使得源極/汲極接觸件282在藉由化學機械研磨製程對裝置200進行平坦化時可作為化學機械研磨的停止層。在操作140之後,密封層312仍覆蓋氣隙314,並暴露出源極/汲極接觸件282的背側表面。在操作140之後,剩餘的密封層312介於兩個相鄰源極/汲極接觸件282側壁上的相對的介電襯層304之間。一些實施例中,在操作140之後,剩餘的密封層312具有在約0.5 nm至約10 nm之範圍內的厚度。
在操作142中,方法100(第1C圖)在裝置200的背側上形成金屬佈線層,例如背側電源軌284。根據一實施例,結果形成的結構如第24A-24E圖所示。如第24B和24D圖所示,背側源極/汲極接觸件282電性連接至背側電源軌284。在一實施例中,可使用單鑲嵌製程、雙鑲嵌製程、金屬圖案化製程或其他合適的製程以形成背側電源軌284。背側電源軌284可包含鎢(W)、鈷(Co)、鉬(Mo)、釕(Ru)、銅(Cu)、鎳(Ni)、鈦(Ti)、鉭(Ta)、氮化鈦(TiN)、氮化鉭(TaN)或其他金屬,且可藉由化學氣相沉積、物理氣相沉積、原子層沉積、電鍍或其他合適的製程形成。在一實施例中,背側電源軌284可具有在約5 nm至約40 nm之範圍內的厚度d5。
在操作144中,方法100(第1C圖)實施裝置200之進一步的製程。舉例而言,可在裝置200的背側上形成一或多個互連層,在裝置200的背側上形成鈍化層,實施其他的產線後段製程,以及移除載具370。
現在參見第1D圖,第1D圖顯示方法100的另一替代實施例。在方法100的第二替代實施例中,在操作118(第1A圖)實施化學機械研磨製程暴露出源極/汲極接觸件282、源極/汲極蓋層276和底部自對準蓋層203的表面之後,方法100可選擇跳過操作130,在繼續往下進行操作132之前先進行操作131(第1D圖)。以下結合第25A-30E圖描述方法100的第二替代實施例,其中說明形成第9A-9E圖所示的結構之後的製程操作。方法100之第二替代實施例的一些樣態與以上描述過的相同,並且將在以下進行簡要地討論。
在操作131中,方法100(第1D圖)在蝕刻製程中移除底部自對準蓋層203。根據一實施例,結果形成的結構如第25A-25E圖所示。在顯示的實施例中,移除底部自對準蓋層203暴露出閘極堆疊240的頂面以及源極/汲極接觸件282和源極/汲極蓋層276的側壁。在一實施例中,蝕刻製程可包含電漿乾式蝕刻、化學乾式蝕刻、灰化蝕刻、濕式蝕刻或其他合適的蝕刻方法。
在操作131之後,方法100(第1D圖)接續進行在裝置200的背側上沉積介電襯層304的操作132。根據一實施例,結果形成的結構如第26A-26E圖所示。在顯示的實施例中,順應性地沉積介電襯層304以使介電襯層304沿著閘極堆疊240的頂面以及隔離結構230、源極/汲極接觸件282和源極/汲極蓋層276的頂面和側壁表面的各個表面具有大抵上均勻的厚度。在各種實施例中,介電襯層304可包含La2
O3
、Al2
O3
、SiOCN、SiOC、SiCN、SiO2
、SiC、ZnO、ZrN、Zr2
Al3
O9
、TiO2
、TaO2
、ZrO2
、HfO2
、Si3
N4
、Y2
O3
、AlON、TaCN、ZrSi、前述之組合或其他合適的一或多種材料。在各種實施例中,可使用原子層沉積、化學氣相沉積或其他合適的方法以沉積介電襯層304,且介電襯層304可具有約0.5 nm至約10 nm的厚度(例如沿著“x”方向在源極/汲極接觸件282的側壁上測量)。
在操作134中,方法100(第1D圖)實施穿透蝕刻製程以穿透並移除大部分的介電襯層304的水平部分。結果形成的結構如第27A-27E圖所示。一些實施例中,穿透蝕刻製程可包含非等向性乾式蝕刻製程或類似的蝕刻製程。一些由氧化合物形成介電襯層304的實施例中,穿透蝕刻製程為具有包含CHF3
、Ar、CF4
、N2
、O2
、CH2
F2
、SF3
、類似物或前述組合之蝕刻製程氣體的反應式離子蝕刻(RIE)製程。在顯示的實施例中,操作134的結果使得部分的介電襯層304保留在源極/汲極接觸件282、源極/汲極蓋層276和隔離結構230的側壁上,自閘極堆疊240的背側表面(特別是從內間隔物255)朝源極/汲極接觸件282、源極/汲極蓋層276和隔離結構230的頂面延伸。一些實施例中,介電襯層304的垂直長度在約2 nm至約10 nm的範圍內。
在操作138中,方法100(第1D圖)在裝置200的背側上沉積密封層312,並覆蓋垂直地位於閘極堆疊240與密封層312之間的氣隙314。根據一實施例,結果形成的結構如第28A-28E圖所示。調整裝置200的結構和密封層312的形成以有效地封閉水平地位於源極/汲極接觸件282與源極/汲極蓋層276之間的空間,結果形成氣隙314。特別地,突出的源極/汲極接觸件282和源極/汲極蓋層276作為支撐形成於其上之密封層312的支柱。如以上所討論的,將源極/汲極接觸件282與源極/汲極蓋層276之間的距離d4設定在促進覆蓋製程的範圍內。介電襯層304進一步窄化源極/汲極接觸件282與源極/汲極蓋層276之間的空間的上部分內的開口。再者,介電襯層304提供為了累積沉積的介電材料的著陸墊。一些實施例中,介電襯層304具有比源極/汲極接觸件282的金屬表面更容易累積介電材料的親水特性。一些實施例中,由於介電襯層304和沉積的介電材料相似的材料成分(例如兩者皆具有氧化物)之間的共價鍵,沉積的介電材料較容易累積在介電襯層304上。一些實施例中,密封層312的沉積材料包含La2
O3
、Al2
O3
、SiOCN、SiOC、SiCN、SiO2
、SiC、ZnO、ZrN、Zr2
Al3
O9
、TiO2
、TaO2
、ZrO2
、HfO2
、Si3
N4
、Y2
O3
、AlON、TaCN、ZrSi、前述之組合或其他合適的一或多種材料。在進一步的實施例中,密封層312和介電襯層304可具有相同的介電材料成分。一些替代的實施例中,密封層312和介電襯層304可具有不同的介電材料成分。
可藉由化學氣相沉積、物理氣相沉積、電漿輔助化學氣相沉積、塗布製程或其他合適的製程以沉積密封層312。在一實施例中,藉由電漿輔助化學氣相沉積來沉積密封層312,沉積的介電材料較容易在窄開口的頂部上合併。調整電漿輔助化學氣相沉積的參數(例如壓力、溫度和氣體黏滯性),使得沉積介電材料的空隙填充行為在不填滿源極/汲極接觸件282與源極/汲極蓋層276之間的空間的情況下維持氣隙。因此,可在介電襯層304之間的上部分沉積密封層312的介電材料,以包圍源極/汲極接觸件282與源極/汲極蓋層276之間的空間,且沒有大量沉積在前述之空間的下部分。因此,可在密封層312的介電材料下和閘極堆疊240上形成相應的氣隙314,且氣隙314由從閘極堆疊240延伸至密封層312內的介電襯層304的側壁所環繞。在沉積密封層312的介電材料期間使用的一或多種氣體或任何其他可擴散進入氣隙314內的氣體種類可能存在於氣隙314中。密封層312自氣隙314朝源極/汲極接觸件282和源極/汲極蓋層276的頂面側向延伸。密封層312亦覆蓋介電襯層304之側壁的一部分和頂面。
在操作140中,方法100(第1C圖)對密封層312實施化學機械研磨製程以自源極/汲極接觸件282的表面移除過量的介電材料。根據一實施例,結果形成的結構如第29A-29E圖所示。由於密封層312包含與源極/汲極接觸件282不同的材料,使得源極/汲極接觸件282在藉由化學機械研磨製程對裝置200進行平坦化時可作為化學機械研磨的停止層。在操作140之後,密封層312仍覆蓋氣隙314,並暴露出源極/汲極接觸件282和源極/汲極蓋層276的頂面。在操作140之後,剩餘的密封層312介於相對的介電襯層304之間。一些實施例中,在操作140之後,剩餘的密封層312具有在約0.5 nm至約10 nm之範圍內的厚度。
在操作142中,方法100(第1D圖)在裝置200的背側上形成金屬佈線層,例如背側電源軌284。根據一實施例,結果形成的結構如第30A-30E圖所示。如第30B和30D圖所示,背側源極/汲極接觸件282電性連接至背側電源軌284。在一實施例中,可使用單鑲嵌製程、雙鑲嵌製程、金屬圖案化製程或其他合適的製程以形成背側電源軌284。背側電源軌284可包含鎢(W)、鈷(Co)、鉬(Mo)、釕(Ru)、銅(Cu)、鎳(Ni)、鈦(Ti)、鉭(Ta)、氮化鈦(TiN)、氮化鉭(TaN)或其他金屬,且可藉由化學氣相沉積、物理氣相沉積、原子層沉積、電鍍或其他合適的製程形成。在一實施例中,背側電源軌284可具有在約5 nm至約40 nm之範圍內的厚度d5。
在操作144中,方法100(第1D圖)實施裝置200之進一步的製程。舉例而言,可在裝置200的背側上形成一或多個互連層,在裝置200的背側上形成鈍化層,實施其他的產線後段製程,以及移除載具370。
雖然無意要限制本發明的實施例,但本揭露的實施例提供一或多個以下的優勢。舉例而言,本揭露的實施例在閘極堆疊與背側佈線層之間形成夾設的氣隙。這能有利地降低裝置的耦合電容值,有助於使電路運作更快。此外,本揭露的實施例使閘極堆疊與背側佈線層之間漏電流路徑的風險最小化。再者,本揭露的實施例形成背側佈線層,例如背側電源軌,以增加積體電路中可用的金屬路徑數,並為更大的裝置整合度增加閘極密度。本揭露的實施例可以容易地整合至現有的半導體生產製程中。
在一示範的樣態中,本揭露提供方法。方法包含提供具有前側和背側的結構,結構包含基底、在基底上的第一介電層、在第一介電層上且連接第一源極/汲極(S/D)部件和一第二源極/汲極部件的一或多個半導體通道層,以及與前述一或多個半導體通道層嚙合的閘極結構,其中基底在結構的背側,且閘極結構在結構的前側;自結構的背側蝕刻基底以形成暴露出第一源極/汲極部件的第一溝槽和暴露出第二源極/汲極部件的第二溝槽;在第一溝槽內形成源極/汲極接觸件;蝕刻至少一部分的第一介電層,使得源極/汲極接觸件的一部分自結構的背側的第一介電層突出;以及在源極/汲極接觸件上沉積密封層,其中密封層覆蓋閘極結構與密封層之間的氣隙。一些實施例中,方法更包含在源極/汲極接觸件的前述部分的側壁上形成介電襯層,其中密封層接觸件介電襯層。一些實施例中,蝕刻至少一部分的第一介電層包含在形成介電襯層之前,完全移除第一介電層。一些實施例中,形成介電襯層包含在結構的背側上順應性地沉積介電襯層;以及非等向性地蝕刻介電襯層,藉此自源極/汲極接觸件的頂面移除介電襯層。一些實施例中,方法更包含在第一溝槽內形成源極/汲極接觸件之前,沉積第二介電層以填充第二溝槽。一些實施例中,方法更包含在第一溝槽內形成源極/汲極接觸件之後,蝕刻第二介電層以暴露出第二源極/汲極部件。一些實施例中,方法更包含薄化密封層,藉此暴露出源極/汲極接觸件;以及在結構的背側形成金屬佈線層,其中金屬佈線層接觸源極/汲極接觸件。一些實施例中,沉積密封層包含電漿輔助化學氣相沉積(PE-CVD)製程。一些實施例中,氣隙自閘極結構的表面垂直延伸至密封層的表面的距離在約0.5 nm至約10 nm的範圍內。
在另一示範的樣態中,本揭露提供方法。方法包含提供具有前側和背側的結構,結構包含基底、在基底上的半導體鰭、在半導體鰭上的第一源極/汲極(S/D)部件和第二源極/汲極部件、在半導體鰭上的介電蓋層、在介電蓋層上且連接第一和第二源極/汲極部件的一或多個半導體通道層,以及與前述一或多個半導體通道層嚙合的閘極結構,其中基底在結構的背側,且閘極結構在結構的前側;自結構的背側薄化結構直至暴露出半導體鰭;自結構的背側蝕刻半導體鰭以形成暴露出第一源極/汲極部件的第一溝槽和暴露出第二源極/汲極部件的第二溝槽;在第二溝槽內沉積介電層;在第一溝槽內形成源極/汲極接觸件;自結構的背側凹陷介電蓋層,藉此暴露出源極/汲極接觸件的側壁;在源極/汲極接觸件的側壁上形成介電襯層;在源極/汲極接觸件上沉積密封層,使得氣隙夾設於閘極結構與密封層之間;以及在密封層上形成金屬佈線層,其中金屬佈線層電性耦接至源極/汲極接觸件。一些實施例中,在形成介電襯層之前,凹陷介電蓋層暴露出閘極結構的表面。一些實施例中,方法更包含在形成介電襯層之後,移除介電蓋層,藉此在介電襯層與閘極結構之間形成空隙。一些實施例中,凹陷介電蓋層亦暴露出介電層的側壁,且其中形成介電襯層包含在介電層的側壁上形成介電襯層。一些實施例中,方法更包含在形成介電襯層之前,蝕刻介電層以暴露出第二源極/汲極部件的表面和介電蓋層的側壁,其中形成介電襯層包含在介電蓋層的側壁上形成介電襯層。一些實施例中,氣隙自第二源極/汲極部件的表面垂直延伸至密封層的表面。一些實施例中,方法更包含在形成金屬佈線層之前,薄化密封層以暴露出源極/汲極接觸件。
在又一示範的樣態中,本揭露提供半導體結構。半導體結構包含第一和第二源極/汲極部件(S/D);連接第一和該第二源極/汲極部件的一或多個通道半導體層;與前述一或多個通道半導體層嚙合的閘極結構,其中第一和第二源極/汲極部件、前述一或多個通道半導體層和該閘極結構在半導體結構的前側;在半導體結構的背側的金屬佈線層;以及在金屬佈線層與閘極結構之間的密封層,其中密封層藉由密封層與閘極結構之間的氣隙與閘極結構隔開。一些實施例中,半導體結構更包含電性耦接至第一源極/汲極部件的源極/汲極接觸件;以及在源極/汲極接觸件的側壁上的介電襯層,其中介電襯層接觸件該密封層。一些實施例中,介電襯層藉由氣隙與閘極結構隔開。一些實施例中,半導體結構更包含自第二源極/汲極部件的表面朝密封層延伸的介電襯層,其中介電襯層藉由氣隙與密封層隔開。
前述內文概述了許多實施例或範例的部件,以使本技術領域中具有通常知識者可以更佳地了解本揭露的各種樣態。本技術領域中具有通常知識者應可理解他們可使用本揭露為基礎來設計或修改其他製程及結構,以達到相同的目的及/或達到與在此介紹的實施例相同之優點。本技術領域中具有通常知識者亦應了解這些相等的結構並未背離本揭露的精神與範圍。在不背離本揭露的精神與範圍之前提下,可對本揭露進行各種改變、置換或修改。
100:方法
102:操作
104:操作
106:操作
108:操作
110:操作
112:操作
114:操作
116:操作
118:操作
120:操作
130:操作
131:操作
132:操作
134:操作
136:操作
138:操作
140:操作
142:操作
144:操作
200:裝置
201:基底
203:底部自對準蓋層
204:鰭
215:通道層
230:隔離結構
240:閘極堆疊
247:閘極間隔物
255:內間隔物
260:部件
269:接觸蝕刻停止層
270:層間介電層
272:溝槽
273:矽化物部件
275:源極/汲極接觸件
276:介電層
277: 互連層
278:源極/汲極接觸孔洞
280:矽化物部件
282:源極/汲極接觸件
284:背側電源軌
304:介電襯層
312:密封層
314:氣隙
333:空隙
349:閘極介電層
350:閘極電極層
352:自對準蓋層
356:源極/汲極蓋層
358:源極/汲極接觸導孔
360:蝕刻遮罩
362:開口
370:載具
d1:厚度
d2:距離
d3:距離
d4:長度
d5:厚度
d6:距離
藉由以下的詳述配合所附圖式可更加理解本揭露的內容。要強調的是,根據工業上的標準做法,各個部件(feature)並未按照比例繪製,且僅用於顯示目的。事實上,為了能清楚地討論,可能任意地放大或縮小各個部件的尺寸。
第1A、1B、1C和1D圖是根據本揭露的各種樣態,顯示具有背側金屬佈線層和背側氣隙之半導體裝置形成方法各實施例的流程圖。
第2A、3A、4A、5A、6A、7A、8A、9A、10A、11A、12A、13A、14A、15A、16A、17A、18A、19A、20A、21A、22A、23A、24A、25A、26A、27A、28A、29A和30A圖是根據一些實施例,顯示半導體裝置的一部分的俯視圖。
第2B、3B、4B、5B、6B、7B、8B、9B、10B、11B、12B、13B、14B、15B、16B、17B、18B、19B、20B、21B、22B、23B、24B、25B、26B、27B、28B、29B和30B圖是根據一些實施例,顯示分別沿著第2A、3A、4A、5A、6A、7A、8A、9A、10A、11A、12A、13A、14A、15A、16A、17A、18A、19A、20A、21A、22A、23A、24A、25A、26A、27A、28A、29A和30A圖中線B-B之半導體裝置的一部分的剖面示意圖。
第2C、4C、5C、6C、7C、8C、9C、10C、11C、12C、13C、14C、15C、16C、17C、18C、19C、20C、21C、22C、23C、24C、25C、26C、27C、28C、29C和30C圖是根據一些實施例,顯示分別沿著第2A、4A、5A、6A、7A、8A、9A、10A、11A、12A、13A、14A、15A、16A、17A、18A、19A、20A、21A、22A、23A、24A、25A、26A、27A、28A、29A和30A圖中線C-C之半導體裝置的一部分的剖面示意圖。
第2D、4D、5D、6D、7D、8D、9D、10D、11D、12D、13D、14D、15D、16D、17D、18D、19D、20D、21D、22D、23D、24D、25D、26D、27D、28D、29D和30D圖是根據一些實施例,顯示分別沿著第2A、4A、5A、6A、7A、8A、9A、10A、11A、12A、13A、14A、15A、16A、17A、18A、19A、20A、21A、22A、23A、24A、25A、26A、27A、28A、29A和30A圖中線D-D之半導體裝置的一部分的剖面示意圖。
第2E、4E、5E、6E、7E、8E、9E、10E、11E、12E、13E、14E、15E、16E、17E、18E、19E、20E、21E、22E、23E、24E、25E、26E、27E、28E、29E和30E圖是根據一些實施例,顯示分別沿著第2A、4A、5A、6A、7A、8A、9A、10A、11A、12A、13A、14A、15A、16A、17A、18A、19A、20A、21A、22A、23A、24A、25A、26A、27A、28A、29A和30A圖中線E-E之半導體裝置的一部分的剖面示意圖。
無
215:通道層
230:隔離結構
240:閘極堆疊
247:閘極間隔物
284:背側電源軌
304:介電襯層
312:密封層
314:氣隙
349:閘極介電層
350:閘極電極層
352:自對準蓋層
Claims (20)
- 一種半導體結構的製造方法,包括: 提供具有一前側和一背側的一結構,該結構包含一基底、在該基底上的一第一介電層、在該第一介電層上且連接一第一源極/汲極(S/D)部件和一第二源極/汲極部件的一或多個半導體通道層,以及與該一或多個半導體通道層嚙合的一閘極結構,其中該基底在該結構的該背側,且該閘極結構在該結構的該前側; 自該結構的該背側蝕刻該基底以形成暴露出該第一源極/汲極部件的一第一溝槽和暴露出該第二源極/汲極部件的一第二溝槽; 在該第一溝槽內形成一源極/汲極接觸件; 蝕刻至少一部分的該第一介電層,使得該源極/汲極接觸件的一部分自該結構的該背側的該第一介電層突出;以及 在該源極/汲極接觸件上沉積一密封層,其中該密封層覆蓋該閘極結構與該密封層之間的一氣隙。
- 如請求項1之半導體結構的製造方法,更包括: 在該源極/汲極接觸件的該部分的側壁上形成一介電襯層,其中該密封層接觸該介電襯層。
- 如請求項2之半導體結構的製造方法,其中蝕刻至少該部分的該第一介電層包含在形成該介電襯層之前,完全移除該第一介電層。
- 如請求項2之半導體結構的製造方法,其中形成該介電襯層包含: 在該結構的該背側上順應性地沉積該介電襯層;以及 非等向性地蝕刻該介電襯層,藉此自該源極/汲極接觸件的一頂面移除該介電襯層。
- 如請求項1之半導體結構的製造方法,更包括: 在該第一溝槽內形成該源極/汲極接觸件之前,沉積一第二介電層以填充該第二溝槽。
- 如請求項5之半導體結構的製造方法,更包括: 在該第一溝槽內形成該源極/汲極接觸件之後,蝕刻該第二介電層以暴露出該第二源極/汲極部件。
- 如請求項1之半導體結構的製造方法,更包括: 薄化該密封層,藉此暴露出該源極/汲極接觸件;以及 在該結構的該背側形成一金屬佈線層,其中該金屬佈線層接觸該源極/汲極接觸件。
- 如請求項1之半導體結構的製造方法,其中沉積該密封層包含一電漿輔助化學氣相沉積(PE-CVD)製程。
- 如請求項1之半導體結構的製造方法,其中該氣隙自該閘極結構的一表面垂直延伸至該密封層的一表面的一距離在約0.5 nm至約10 nm的範圍內。
- 一種半導體結構的製造方法,包括: 提供具有一前側和一背側的一結構,該結構包含一基底、在該基底上的一半導體鰭、在該半導體鰭上的一第一源極/汲極(S/D)部件和一第二源極/汲極部件、在該半導體鰭上的一介電蓋層、在該介電蓋層上且連接該第一源極/汲極部件和該第二源極/汲極部件的一或多個半導體通道層,以及與該一或多個半導體通道層嚙合的一閘極結構,其中該基底在該結構的該背側,且該閘極結構在該結構的該前側; 自該結構的該背側薄化該結構直至暴露出該半導體鰭; 自該結構的該背側蝕刻該半導體鰭以形成暴露出該第一源極/汲極部件的一第一溝槽和暴露出該第二源極/汲極部件的一第二溝槽; 在該第二溝槽內沉積一介電層; 在該第一溝槽內形成一源極/汲極接觸件; 自該結構的該背側凹陷該介電蓋層,藉此暴露出該源極/汲極接觸件的複數個側壁; 在該源極/汲極接觸件的該些側壁上形成一介電襯層; 在該源極/汲極接觸件上沉積一密封層,使得一氣隙夾設於該閘極結構與該密封層之間;以及 在該密封層上形成一金屬佈線層,其中該金屬佈線層電性耦接至該源極/汲極接觸件。
- 如請求項10之半導體結構的製造方法,其中在形成該介電襯層之前,凹陷該介電蓋層暴露出該閘極結構的一表面。
- 如請求項10之半導體結構的製造方法,更包括: 在形成該介電襯層之後,移除該介電蓋層,藉此在該介電襯層與該閘極結構之間形成一空隙。
- 如請求項10之半導體結構的製造方法,其中凹陷該介電蓋層亦暴露出該介電層的複數個側壁,且其中形成該介電襯層包含在該介電層的該些側壁上形成該介電襯層。
- 如請求項10之半導體結構的製造方法,更包括: 在形成該介電襯層之前,蝕刻該介電層以暴露出該第二源極/汲極部件的一表面和該介電蓋層的複數個側壁, 其中形成該介電襯層包含在該介電蓋層的該些側壁上形成該介電襯層。
- 如請求項14之半導體結構的製造方法,其中該氣隙自該第二源極/汲極部件的該表面垂直延伸至該密封層的一表面。
- 如請求項10之半導體結構的製造方法,更包括: 在形成該金屬佈線層之前,薄化該密封層以暴露出該源極/汲極接觸件。
- 一種半導體結構,包括: 一第一源極/汲極(S/D)部件和一第二源極/汲極部件; 一或多個通道半導體層,連接該第一源極/汲極部件和該第二源極/汲極部件; 一閘極結構,與該一或多個通道半導體層嚙合,其中該第一源極/汲極部件和該第二源極/汲極部件、該一或多個通道半導體層和該閘極結構在該半導體結構的一前側; 一金屬佈線層,在該半導體結構的一背側;以及 一密封層,在該金屬佈線層與該閘極結構之間,其中該密封層藉由該密封層與該閘極結構之間的一氣隙與該閘極結構隔開。
- 如請求項17之半導體結構,更包括: 一源極/汲極接觸件,電性耦接至該第一源極/汲極部件;以及 一介電襯層,在該源極/汲極接觸件的側壁上,其中該介電襯層接觸該密封層。
- 如請求項18之半導體結構,其中該介電襯層藉由該氣隙與該閘極結構隔開。
- 如請求項17之半導體結構,更包括: 一介電襯層,自該第二源極/汲極部件的一表面朝該密封層延伸,其中該介電襯層藉由該氣隙與該密封層隔開。
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US202063031281P | 2020-05-28 | 2020-05-28 | |
US63/031,281 | 2020-05-28 | ||
US17/090,028 US11410876B2 (en) | 2020-05-28 | 2020-11-05 | Semiconductor device with air gaps and method of fabrication thereof |
US17/090,028 | 2020-11-05 |
Publications (2)
Publication Number | Publication Date |
---|---|
TW202201553A true TW202201553A (zh) | 2022-01-01 |
TWI804871B TWI804871B (zh) | 2023-06-11 |
Family
ID=77569577
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW110118063A TWI804871B (zh) | 2020-05-28 | 2021-05-19 | 半導體結構及其製造方法 |
Country Status (5)
Country | Link |
---|---|
US (2) | US11830769B2 (zh) |
KR (1) | KR102459061B1 (zh) |
CN (1) | CN113380888B (zh) |
DE (1) | DE102020131611A1 (zh) |
TW (1) | TWI804871B (zh) |
Families Citing this family (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN111063683B (zh) * | 2019-12-06 | 2022-08-30 | 中国科学院微电子研究所 | 具有u形沟道的半导体装置及包括其的电子设备 |
CN115881723A (zh) * | 2021-09-26 | 2023-03-31 | 长鑫存储技术有限公司 | 半导体结构及其制备方法 |
US20240290834A1 (en) * | 2023-02-27 | 2024-08-29 | Samsung Electronics Co., Ltd. | Semiconductor device including backside isolation structure and placeholder isolation structure |
US20240332175A1 (en) * | 2023-03-31 | 2024-10-03 | Intel Corporation | Backside transistor contact surrounded by oxide |
Family Cites Families (25)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US8816444B2 (en) | 2011-04-29 | 2014-08-26 | Taiwan Semiconductor Manufacturing Company, Ltd. | System and methods for converting planar design to FinFET design |
US9236267B2 (en) | 2012-02-09 | 2016-01-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Cut-mask patterning process for fin-like field effect transistor (FinFET) device |
US8785285B2 (en) | 2012-03-08 | 2014-07-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor devices and methods of manufacture thereof |
US8860148B2 (en) | 2012-04-11 | 2014-10-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Structure and method for FinFET integrated with capacitor |
US9105490B2 (en) | 2012-09-27 | 2015-08-11 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact structure of semiconductor device |
US8823065B2 (en) | 2012-11-08 | 2014-09-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact structure of semiconductor device |
US8772109B2 (en) | 2012-10-24 | 2014-07-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Apparatus and method for forming semiconductor contacts |
US9236300B2 (en) | 2012-11-30 | 2016-01-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact plugs in SRAM cells and the method of forming the same |
US9136106B2 (en) | 2013-12-19 | 2015-09-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for integrated circuit patterning |
US9520482B1 (en) | 2015-11-13 | 2016-12-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of cutting metal gate |
EP3479411A4 (en) * | 2016-07-01 | 2020-03-04 | INTEL Corporation | REAR CONTACT RESISTANCE REDUCTION FOR BOTH SIDE METALLIC SEMICONDUCTOR DEVICES |
US9780210B1 (en) * | 2016-08-11 | 2017-10-03 | Qualcomm Incorporated | Backside semiconductor growth |
US9812580B1 (en) * | 2016-09-06 | 2017-11-07 | Qualcomm Incorporated | Deep trench active device with backside body contact |
US10892337B2 (en) * | 2016-09-30 | 2021-01-12 | Intel Corporation | Backside source/drain replacement for semiconductor devices with metallization on both sides |
US10522642B2 (en) * | 2016-12-14 | 2019-12-31 | Taiwan Semiconductor Manufacturing Co. Ltd. | Semiconductor device with air-spacer |
US10083963B2 (en) * | 2016-12-21 | 2018-09-25 | Qualcomm Incorporated | Logic circuit block layouts with dual-side processing |
US10361278B2 (en) * | 2017-08-30 | 2019-07-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of manufacturing a semiconductor device and a semiconductor device |
US10700207B2 (en) * | 2017-11-30 | 2020-06-30 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor device integrating backside power grid and related integrated circuit and fabrication method |
US20190319119A1 (en) * | 2018-04-11 | 2019-10-17 | International Business Machines Corporation | Formation of inserted-oxide fin field-effect transistors |
US10615256B2 (en) * | 2018-06-27 | 2020-04-07 | International Business Machines Corporation | Nanosheet transistor gate structure having reduced parasitic capacitance |
US10861750B2 (en) * | 2018-07-02 | 2020-12-08 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of manufacturing a semiconductor device and a semiconductor device |
US11374126B2 (en) * | 2018-09-27 | 2022-06-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | FinFET structure with fin top hard mask and method of forming the same |
US10741639B2 (en) * | 2018-09-28 | 2020-08-11 | International Business Machines Corporation | Formation of dielectric layer as etch-stop for source and drain epitaxy disconnection |
US11031434B2 (en) * | 2018-10-31 | 2021-06-08 | Taiwan Semiconductor Manufacturing Co., Ltd. | Self aligned grids in BSI image sensor |
US11239325B2 (en) | 2020-04-28 | 2022-02-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device having backside via and method of fabricating thereof |
-
2020
- 2020-11-30 DE DE102020131611.9A patent/DE102020131611A1/de active Pending
-
2021
- 2021-01-04 KR KR1020210000499A patent/KR102459061B1/ko active IP Right Grant
- 2021-01-08 CN CN202110026126.6A patent/CN113380888B/zh active Active
- 2021-05-19 TW TW110118063A patent/TWI804871B/zh active
-
2022
- 2022-07-20 US US17/869,337 patent/US11830769B2/en active Active
-
2023
- 2023-08-08 US US18/446,183 patent/US20230386905A1/en active Pending
Also Published As
Publication number | Publication date |
---|---|
DE102020131611A1 (de) | 2021-12-02 |
KR102459061B1 (ko) | 2022-10-25 |
TWI804871B (zh) | 2023-06-11 |
CN113380888B (zh) | 2024-04-02 |
US11830769B2 (en) | 2023-11-28 |
US20220359265A1 (en) | 2022-11-10 |
KR20210148843A (ko) | 2021-12-08 |
CN113380888A (zh) | 2021-09-10 |
US20230386905A1 (en) | 2023-11-30 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR102449524B1 (ko) | 후면 전력 레일 및 후면 자체 정렬 비아를 구비한 반도체 소자 | |
KR102538823B1 (ko) | 채널과 후면 파워 레일 사이에 자기 정렬된 캡핑을 갖는 게이트 올 어라운드 디바이스 | |
US11631638B2 (en) | Semiconductor structure having an anchor-shaped backside via | |
TWI804871B (zh) | 半導體結構及其製造方法 | |
TWI770920B (zh) | 半導體結構及其形成方法 | |
TW202201638A (zh) | 半導體結構 | |
TWI777556B (zh) | 半導體裝置及其製造方法 | |
US12021119B2 (en) | Selective liner on backside via and method thereof | |
US20230387266A1 (en) | Structure for reducing source/drain contact resistance at wafer backside | |
US11901456B2 (en) | FinFET devices with a backside power rail and a backside self-aligned via disposed between dielectric fins | |
US11410876B2 (en) | Semiconductor device with air gaps and method of fabrication thereof | |
TWI770912B (zh) | 半導體結構及其形成方法 | |
TWI780729B (zh) | 半導體結構及其製造方法 | |
TWI813998B (zh) | 半導體結構及其形成方法 | |
US11430789B2 (en) | Semiconductor devices with backside contacts and isolation |