TW202143090A - 積體電路佈局產生系統、積體電路結構以及產生積體電路佈局圖的方法 - Google Patents
積體電路佈局產生系統、積體電路結構以及產生積體電路佈局圖的方法 Download PDFInfo
- Publication number
- TW202143090A TW202143090A TW110114001A TW110114001A TW202143090A TW 202143090 A TW202143090 A TW 202143090A TW 110114001 A TW110114001 A TW 110114001A TW 110114001 A TW110114001 A TW 110114001A TW 202143090 A TW202143090 A TW 202143090A
- Authority
- TW
- Taiwan
- Prior art keywords
- width
- board
- active
- active board
- row
- Prior art date
Links
- 238000000034 method Methods 0.000 title claims abstract description 83
- 238000010586 diagram Methods 0.000 title claims abstract description 62
- 229910052751 metal Inorganic materials 0.000 claims description 165
- 239000002184 metal Substances 0.000 claims description 165
- 238000004519 manufacturing process Methods 0.000 claims description 93
- 239000002055 nanoplate Substances 0.000 claims description 46
- 238000009826 distribution Methods 0.000 claims description 26
- 238000003860 storage Methods 0.000 claims description 26
- 238000004590 computer program Methods 0.000 claims description 16
- 238000013461 design Methods 0.000 description 45
- 239000004065 semiconductor Substances 0.000 description 35
- 239000010410 layer Substances 0.000 description 32
- 230000008569 process Effects 0.000 description 29
- 239000000758 substrate Substances 0.000 description 24
- 238000002360 preparation method Methods 0.000 description 16
- 239000000463 material Substances 0.000 description 15
- 239000011295 pitch Substances 0.000 description 14
- 238000005530 etching Methods 0.000 description 9
- 238000012545 processing Methods 0.000 description 9
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 6
- 238000004891 communication Methods 0.000 description 6
- 239000010949 copper Substances 0.000 description 6
- 238000005137 deposition process Methods 0.000 description 6
- 230000003287 optical effect Effects 0.000 description 6
- 238000005229 chemical vapour deposition Methods 0.000 description 5
- 239000003989 dielectric material Substances 0.000 description 5
- 229910052710 silicon Inorganic materials 0.000 description 5
- 239000010703 silicon Substances 0.000 description 5
- 102100034485 Ras-related protein Rab-2A Human genes 0.000 description 4
- 230000008901 benefit Effects 0.000 description 4
- 239000004020 conductor Substances 0.000 description 4
- 238000005516 engineering process Methods 0.000 description 4
- 238000002955 isolation Methods 0.000 description 4
- 230000000670 limiting effect Effects 0.000 description 4
- 238000001459 lithography Methods 0.000 description 4
- 230000036961 partial effect Effects 0.000 description 4
- 229920002120 photoresistant polymer Polymers 0.000 description 4
- 108010067765 rab2 GTP Binding protein Proteins 0.000 description 4
- 239000010936 titanium Substances 0.000 description 4
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 3
- 102100028191 Ras-related protein Rab-1A Human genes 0.000 description 3
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 3
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 3
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 3
- 229910052782 aluminium Inorganic materials 0.000 description 3
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 3
- 238000004140 cleaning Methods 0.000 description 3
- 229910052802 copper Inorganic materials 0.000 description 3
- 230000006870 function Effects 0.000 description 3
- 238000003384 imaging method Methods 0.000 description 3
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 3
- 230000010363 phase shift Effects 0.000 description 3
- 238000001020 plasma etching Methods 0.000 description 3
- 108010054067 rab1 GTP-Binding Proteins Proteins 0.000 description 3
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 3
- 229910052721 tungsten Inorganic materials 0.000 description 3
- 239000010937 tungsten Substances 0.000 description 3
- BQCADISMDOOEFD-UHFFFAOYSA-N Silver Chemical compound [Ag] BQCADISMDOOEFD-UHFFFAOYSA-N 0.000 description 2
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 2
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 2
- 230000004075 alteration Effects 0.000 description 2
- 229910052785 arsenic Inorganic materials 0.000 description 2
- 238000000231 atomic layer deposition Methods 0.000 description 2
- 229910052796 boron Inorganic materials 0.000 description 2
- 230000008859 change Effects 0.000 description 2
- 238000012937 correction Methods 0.000 description 2
- 238000000151 deposition Methods 0.000 description 2
- 230000000694 effects Effects 0.000 description 2
- 238000010894 electron beam technology Methods 0.000 description 2
- 229910052733 gallium Inorganic materials 0.000 description 2
- 229910044991 metal oxide Inorganic materials 0.000 description 2
- 150000004706 metal oxides Chemical class 0.000 description 2
- 150000002739 metals Chemical class 0.000 description 2
- 229910052759 nickel Inorganic materials 0.000 description 2
- 229910052698 phosphorus Inorganic materials 0.000 description 2
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 2
- 229910052709 silver Inorganic materials 0.000 description 2
- 239000004332 silver Substances 0.000 description 2
- 229910052719 titanium Inorganic materials 0.000 description 2
- 229910018072 Al 2 O 3 Inorganic materials 0.000 description 1
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 1
- VYZAMTAEIAYCRO-UHFFFAOYSA-N Chromium Chemical compound [Cr] VYZAMTAEIAYCRO-UHFFFAOYSA-N 0.000 description 1
- GYHNNYVSQQEPJS-UHFFFAOYSA-N Gallium Chemical compound [Ga] GYHNNYVSQQEPJS-UHFFFAOYSA-N 0.000 description 1
- 240000007594 Oryza sativa Species 0.000 description 1
- 235000007164 Oryza sativa Nutrition 0.000 description 1
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 1
- 108091081062 Repeated sequence (DNA) Proteins 0.000 description 1
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 1
- 229910052581 Si3N4 Inorganic materials 0.000 description 1
- 229910004298 SiO 2 Inorganic materials 0.000 description 1
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 description 1
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 1
- RQNWIZPPADIBDY-UHFFFAOYSA-N arsenic atom Chemical compound [As] RQNWIZPPADIBDY-UHFFFAOYSA-N 0.000 description 1
- 230000002238 attenuated effect Effects 0.000 description 1
- 230000015572 biosynthetic process Effects 0.000 description 1
- 238000006243 chemical reaction Methods 0.000 description 1
- 229910052804 chromium Inorganic materials 0.000 description 1
- 239000011651 chromium Substances 0.000 description 1
- 229910017052 cobalt Inorganic materials 0.000 description 1
- 239000010941 cobalt Substances 0.000 description 1
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 230000008021 deposition Effects 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- 230000005611 electricity Effects 0.000 description 1
- 230000005669 field effect Effects 0.000 description 1
- 239000005350 fused silica glass Substances 0.000 description 1
- CJNBYAVZURUTKZ-UHFFFAOYSA-N hafnium(iv) oxide Chemical compound O=[Hf]=O CJNBYAVZURUTKZ-UHFFFAOYSA-N 0.000 description 1
- 238000005286 illumination Methods 0.000 description 1
- 238000002513 implantation Methods 0.000 description 1
- 239000011810 insulating material Substances 0.000 description 1
- 239000011229 interlayer Substances 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 150000002500 ions Chemical class 0.000 description 1
- 230000007246 mechanism Effects 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- -1 oxide Substances 0.000 description 1
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 1
- BPUBBGLMJRNUCC-UHFFFAOYSA-N oxygen(2-);tantalum(5+) Chemical compound [O-2].[O-2].[O-2].[O-2].[O-2].[Ta+5].[Ta+5] BPUBBGLMJRNUCC-UHFFFAOYSA-N 0.000 description 1
- 238000004806 packaging method and process Methods 0.000 description 1
- 238000000059 patterning Methods 0.000 description 1
- 239000011574 phosphorus Substances 0.000 description 1
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 1
- 229920005591 polysilicon Polymers 0.000 description 1
- 230000005855 radiation Effects 0.000 description 1
- 235000009566 rice Nutrition 0.000 description 1
- 229910052707 ruthenium Inorganic materials 0.000 description 1
- 238000000926 separation method Methods 0.000 description 1
- 229910010271 silicon carbide Inorganic materials 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- 235000012239 silicon dioxide Nutrition 0.000 description 1
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 1
- 238000004088 simulation Methods 0.000 description 1
- 239000002356 single layer Substances 0.000 description 1
- 230000003068 static effect Effects 0.000 description 1
- 238000006467 substitution reaction Methods 0.000 description 1
- PBCFLUZVCVVTBY-UHFFFAOYSA-N tantalum pentoxide Inorganic materials O=[Ta](=O)O[Ta](=O)=O PBCFLUZVCVVTBY-UHFFFAOYSA-N 0.000 description 1
- 238000012546 transfer Methods 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/0203—Particular design considerations for integrated circuits
- H01L27/0207—Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F30/00—Computer-aided design [CAD]
- G06F30/30—Circuit design
- G06F30/39—Circuit design at the physical level
- G06F30/392—Floor-planning or layout, e.g. partitioning or placement
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F30/00—Computer-aided design [CAD]
- G06F30/30—Circuit design
- G06F30/39—Circuit design at the physical level
- G06F30/398—Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
- H01L27/08—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
- H01L27/085—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
- H01L27/088—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
- H01L27/08—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
- H01L27/085—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
- H01L27/088—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
- H01L27/0886—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
- H01L27/08—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
- H01L27/085—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
- H01L27/088—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
- H01L27/092—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
- H01L27/08—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
- H01L27/085—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
- H01L27/088—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
- H01L27/092—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
- H01L27/0924—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
Landscapes
- Engineering & Computer Science (AREA)
- Computer Hardware Design (AREA)
- Physics & Mathematics (AREA)
- Theoretical Computer Science (AREA)
- General Physics & Mathematics (AREA)
- Power Engineering (AREA)
- General Engineering & Computer Science (AREA)
- Evolutionary Computation (AREA)
- Geometry (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Architecture (AREA)
- Design And Manufacture Of Integrated Circuits (AREA)
- Semiconductor Integrated Circuits (AREA)
Abstract
一種產生積體電路佈局圖之方法,包括將第一列單元與第二列單元沿邊界鄰接之步驟,第一列包括第一主動板及第二主動板,第二列包括第三主動板及第四主動板,主動板沿列方向延伸並具有寬度值。主動板與第一背側通孔至第四背側通孔區域重疊,第一主動板寬度值大於第三主動板寬度值,第一背側通孔區域寬度值大於第三背側通孔區域寬度值,以及從第一主動板至邊界之距離值小於類金屬定義區域的最小間隔規則。將第一列與第二列鄰接或將主動板與背側通孔區域重疊中的至少一個步驟是藉由處理器來執行的。
Description
無
小型化積體電路(integrated circuits; IC)之近期趨勢已經產生愈來愈小的元件,相較較早期地技術,消耗更少功率並以更高速度提供更多功能。透過與日益嚴格的規格相關的設計及製造革新,已經實現這種小型化。各種電子設計自動化(electronic design automation; EDA)工具用於產生、修正、及檢驗半導體元件之設計,同時確保滿足IC結構設計及製造規格。
無
以下揭示內容提供許多不同實施例或實例,以便實現所提供標的的不同特徵。下文描述部件、材料、值、步驟、操作、材料、佈置、或類似項之特定實例,以簡化本揭示案。當然,此等實例僅為實例且不意欲為限制性。考慮其他部件、值、操作、材料、佈置、或類似項。舉例而言,在隨後描述中第一特徵在第二特徵上方或在第二特徵上的形成可包括第一及第二特徵形成為直接接觸的實施例,以及亦可包括額外特徵可形成在第一及第二特徵之間,使得第一及第二特徵可不直接接觸的實施例。另外,本揭示案在各實例中可重複元件符號及/或字母。此重複為出於簡單清楚的目的,並且本身不指示所論述各實施例及/或配置之間的關係。
另外,空間相對術語,諸如「之下」、「下方」、「下部」、「上方」、「上部」及類似者,在此為便於描述可用於描述諸圖中所圖示一個元件或特徵與另一(些)元件或(多個)特徵的關係。除圖形中描繪的取向外,空間相對術語意欲包含元件在使用或操作中的不同取向。設備可為不同取向(旋轉90度或在其他的取向)及可因此同樣地解釋在此使用的空間相對描述詞。
在各種實施例中,積體電路佈局、及基於此積體電路佈局製造之結構,包括沿第一方向延伸並與各自第一背側通孔至第四背側通孔重疊的第一主動板至第四主動板,此第一背側通孔至第四背側通孔配置以將電連接提供至背側配電結構。主動板及背側通孔中之每一者具有垂直於第一方向的寬度,第一主動板或第二主動板中之至少一者的寬度大於第三主動板或第四主動板中之至少一者的寬度,以及對應第一或第二背側通孔的寬度大於對應第三或第四背側通孔的寬度。
藉由包括主動板及對應背側通孔,來避免到由相鄰列單元共用之前側配電結構的電連接。由此可獲得原本專用於前側電連接之單元區域,使得主動板能夠比包括到前側配電結構之電連接之方法中的奈米板更寬。因此,相比於其中主動板具有容納前側電連接之寬度的方法,改善了具有變化的時序關鍵之電路的佈局靈活性。
第1A圖至第5圖根據一些實施例為積體電路佈局圖100A及基於積體電路佈局圖100A而製造之對應積體電路結構100B(IC結構100B)的圖式。除了積體電路佈局圖100A及IC結構100B外,第1A圖至第5圖中之每一圖描繪X、Y及Z方向之子集。
第1A圖描繪一實施例對應於沿X方向(在一些實施例中亦稱為列方向)延伸之積體電路佈局單元之四列RA至列RD(下文描述但未逐個標識)的平面圖(X-Y平面);第1B圖描繪積體電路佈局圖100A之代表部分的平面圖;第2A圖至第2C圖描繪列RA及列RB之部分、基板100S、及背側配電結構BSPD的平面圖及橫截面圖(Y-Z平面);第3A圖及第3B圖描繪列RA及列RB之佈置的平面圖,以及第4A圖至第5圖描繪根據各種實施例之列RA至列RC的平面圖。在各種實施例中,列RA至列RD中之給定一者與列RA至列RD中之一或多個附加者鄰接。
積體電路佈局圖100A為藉由執行下文關於第6圖論述之方法600中的一些或全部步驟而產生的積體電路佈局圖的非限制性實例,及IC結構100B為藉由執行下文關於第7圖論述之方法700中之一些或全部步驟且基於積體電路佈局圖100A製造的IC結構的非限制性實例。
為了說明之目的,簡化第1A圖至第5圖的圖式。第1A圖至第5圖描繪積體電路佈局圖100A及IC結構100B之視圖,其中IC結構100B包括及排除各種特徵以促進下文論述。在各種實施例中,積體電路佈局圖100A及/或IC結構100B除了在第1A圖至第5圖中描繪之元件外,包括對應於金屬互連、觸點、通孔、閘極結構或其他電晶體元件、井、隔離結構等等的一或多個元件。
第1A圖至第5圖描繪包括主動板AS1至主動板AS8(主動板AS1至AS8)中之一些或全部的積體電路佈局圖100A及包括沿X方向延伸之奈米板NS1至奈米板NS8(奈米板NS1至NS8)中之對應一些或全部的IC結構100B,以及第1A圖及第3A圖至第5圖描繪包括閘極區域GR1至GR9之佈局圖100A及包括沿Y方向延伸之對應閘極結構GS1至閘極結構GS9(閘極結構GS1至GS9)的IC結構100B。在各種實施例中,積體電路佈局圖100A及/或IC結構100B除了第1A圖至第5圖中描繪之取向外,具有一取向,例如關於X、Y、或Z方向中之一或多者旋轉或翻轉。
主動板(例如,主動板AS1至AS8)為積體電路佈局圖中之一區域,此積體電路佈局圖被包括在製造製程中作為在半導體基板(例如,基板100S)上定義奈米板結構(例如,奈米板NS1至NS8中之一者)的部分。奈米板結構為具有N型或P型摻雜之一或多種半導體材料的一或多層的連續體積。在各種實施例中,奈米板結構包括矽(Si)、矽鍺(SiGe)、碳化矽(SiC)、硼(B)、磷(P)、砷(As)、鎵(Ga),或另一適當材料中之一或多者。在各種實施例中,個別奈米板層包括給定半導體材料之單個單層或多個單層。在一些實施例中,奈米板結構之厚度範圍為一個奈米(nm)至100 nm。
在各種實施例中,奈米板結構包括一或多個部分,此些部分被包括在平面電晶體、鰭式場效電晶體(fin field-effect transistor; FinFET)、或閘極全繞(gate all around; GAA)電晶體中之一或多者中;及/或包括一或多個源極/汲極結構(未示出)。在一些實施例中,將奈米板結構與半導體基板中之其他元件藉由一或多個隔離結構(未示出)來電隔離,此些隔離結構例如為一或多個淺溝槽隔離(shallow trench isolation; STI)結構。
閘極區域(例如,閘極區域GR1至GR9中的一者)為積體電路佈局圖中之一區域,此積體電路佈局圖被包括在製造製程中作為定義覆蓋半導體基板之閘極結構(例如,閘極結構GS1至閘極結構GS9中的一者)的部分。閘極結構為包括由一或多種介電層(未示出)大體圍繞之一或多種導電材料的體積,此些介電層包括配置以將一或多種導電材料與上覆、下層、及/或相鄰結構(例如,奈米板NS1至NS9)電隔離的一或多種介電質材料。
導電材料包括多晶矽、銅(Cu)、鋁(Al)、鎢(W)、鈷(Co)、釕(Ru)中之一或多者、或一或多者其他金屬、及/或一或多種其他適當材料。介電質材料包括二氧化矽(SiO2
)、氮化矽(Si3
N4
)、及/或高介電常數介電質材料,例如具有高於3.8或7.0之K值的介電質材料(諸如氧化鋁(Al2
O3
)、氧化鉿(HfO2
)、五氧化二鉭(Ta2
O5
)、或氧化鈦(TiO2
))、或另一適當材料中之一或多者。
如第1A圖中所描繪,列RA包括主動板AS1及AS2及對應的奈米板NS1及NS2,列RB包括主動板AS3及AS4及對應的奈米板NS3及NS4,列RC包括主動板AS5及AS6及對應的奈米板NS5及NS6,以及列RD包括主動板AS7及AS8及對應的奈米板NS7及NS8。在第1A圖、第3A圖及第3B圖中描繪之實施例中,主動板AS1、AS4、AS5、及AS8對應於具有P型摻雜並位於個別N井N1、N井N2、及N井N3中的奈米板NS1、NS4、NS5、及NS8,以及主動板AS2、AS3、AS6、及AS7對應於具有N型摻雜之奈米板NS2、NS3、NS6、及NS7。在各種實施例中,主動板AS1至AS8對應於具有摻雜類型及/或N井或P井位置之其他組合的奈米板NS1至NS8,例如,主動板AS1、AS4、AS5、及AS8對應於具有N型摻雜之奈米板NS1、NS4、NS5、及NS8,以及主動板AS2、AS3、AS6、及AS7對應於具有P型摻雜之奈米板NS2、NS3、NS6、及NS7。
給定對的具有第一相同摻雜類型(例如,N型)的相鄰主動板/奈米板,例如,主動板/奈米板AS2/NS2及主動板/奈米板AS3/NS3,被分隔開距離S1;給定對的具有相反摻雜類型的相鄰主動板/奈米板,例如,主動板/奈米板AS3/NS3及主動板/奈米板AS4/NS4,被分隔開距離S2;以及給定對的具有第二相同摻雜類型(例如,P型)的相鄰主動板/奈米板,例如,主動板/奈米板AS4/NS4及主動板/奈米板AS5/NS5,被分隔開距離S3。距離S1、S2、及S3中之每一者的值等於或大於相鄰主動板/奈米板對之間的對應最小間隔,例如,配置以基於積體電路佈局圖100A製造IC結構100B之製程的最小間隔規則。
主動板/奈米板AS1/NS1至主動板/奈米板AS8/NS8的部分配置以包括及/或鄰接源極/汲極(source/drain; S/D)區域/結構,例如下文論述之類金屬定義(metal-like defined; MD)區域/類金屬定義區段MDR/MDS。在一些情況下,積體電路佈局圖100A中閘極區域GR1至GR9與主動板AS1至AS8相交的位置對應於IC結構100B中之電晶體,IC結構100B包括對應閘極結構GS1至GS9覆蓋對應奈米板NS1至NS9之部分的一些或全部、下文之由對應閘極結構GS1至GS9部分圍繞且與之相鄰的對應奈米板NS1至NS9、及與對應閘極結構GS1至GS9相鄰的S/D結構。在各種實施例中,電晶體為P型金屬氧化物半導體(p-type metal oxide semiconductor; PMOS)電晶體或N型金屬氧化物半導體(n-type metal oxide semiconductor; NMOS)電晶體,這取決於奈米板NS1至NS8之摻雜類型。在其他情況下,在不對應於電晶體之位置處,閘極區域GR1至GR9與主動板AS1至AS8相交,以及對應閘極結構GS1至GS9或其部分在一些實施例中被稱為虛設閘極結構。
在一些實施例中,單元為積體電路佈局100A中包括一或多個電晶體及電連接之預定部分,並且由此包括閘極區域GR1至GR9及主動板AS1至AS8中之一或多者的多個部分,此些電連接配置以執行一或多個電路功能。在各種實施例中,列RA至列RD中之給定一或多者的個別單元具有對應於閘極區域/閘極結構GR1/GS1至GR9/GS9中之非相鄰者的邊界,使得給定單元包括閘極區域/閘極結構GR1/GS1至GR9/GS9及相鄰S/D區域/結構(其經配置為一或多個對應電晶體)中的至少一者的部分。
在一些實施例中,例如,在下文所述第4A圖至第5圖中描繪之實施例,給定單元具有對應於閘極區域/閘極結構GR1/GS1至GR9/GS9(他們由單個閘極區域/閘極結構來間隔開)中之兩者的邊界。在各種實施例中,給定單元具有對應於閘極區域/閘極結構GR1/GS1至GR9/GS9中之兩者的邊界,此些閘極區域/閘極結構由若干閘極區域/閘極結構分隔開,該些閘極區域/閘極結構之數量大於一個,例如兩個至五個。
在第1A圖中描繪之實施例中,每個單元包括一對主動板/奈米板AS1/NS1至主動板/奈米板AS9/NS9,其具有N型摻雜及P型摻雜中之每者。在各種實施例中,單元包括單個或多於兩個的主動板/奈米板AS1/NS1至主動板/奈米板AS8/NS8。在各種實施例中,單元包括閘極區域/閘極結構GR1/GS1至GR9/GS9及/或主動板/奈米板AS1/NS1至主動板/奈米板AS8/NS8中之多個部分,其經配置作為除了電晶體之外的一或多個實體元件,例如二極體、電阻元件或電容元件。
單元由此經配置為一或多個標準單元、定製單元、技術更改指令(engineering change order; ECO)單元、邏輯閘單元、記憶體單元、定製單元、實體元件單元、或另一種單元或能夠在積體電路佈局圖(例如,積體電路佈局圖100A)中定義之單元的組合。在各種實施例中,邏輯閘單元包括以下一或多者:AND、OR、NAND、NOR、XOR、INV、AND-OR-Invert(AOI)、OR-AN-Invert( OAI)、MUX、正反器、BUFF、閂鎖、延遲、或時鐘元件。在各種實施例中,記憶體單元包括以下一或多者:靜態隨機存取記憶體(static random access memory; SRAM)、動態RAM(dynamic RAM; DRAM)、電阻RAM(resistive RAM; RRAM)、磁阻RAM(magnetoresistive RAM; MRAM)、或唯讀記憶體(read only memory; ROM)單元、或能夠具有表示邏輯值之多個狀態的另一元件。
第1B圖描繪積體電路佈局圖100A之代表部分,包括列RA至列RD中之給定對之間的邊界(其被描繪為邊界Rx/Ry),對應於主動板AS1至AS8、類金屬定義(MD)區域MDR、及背側通孔區域VRy中之一者的主動板ASy。邊界Rx/Ry與MD區域MDR分隔開距離D1、與主動板ASy分隔開距離D2、及與背側通孔區域VRy分隔開距離D3。邊界Rx/Ry相對於第1B圖中描繪之另一特徵的取向為提供說明目的之實例。在一些實施例中,邊界Rx/Ry具有除了第1B圖中描繪之取向的取向,例如,在其他特徵下。
MD區域,例如,MD區域MDR,為積體電路佈局圖中之導電區域,此積體電路佈局圖被包括在製造製程中作為定義半導體基板(例如,下文論述之基板100S)中及/或上的MD區段的部分,此MD區段例如下文論述之MD區段MDS,亦稱為導電區段或MD導電線或跡線。在一些實施例中,MD區段包括至少一個金屬層(例如,接觸層)覆蓋及接觸基板並具有足夠小的厚度以使能在MD區段與上覆金屬層(例如,第一金屬層)之間形成絕緣層的部分。在各種實施例中,MD區段包括以下一或多者:銅(Cu)、銀(Ag)、鎢(W)、鈦(Ti)、鎳(Ni)、錫(Sn)、鋁(Al)或適於在IC結構元件之間提供低電阻電連接的另一金屬或材料。在各種實施例中,MD區段包括具有足以致使區段具有低電阻位準之摻雜位準(基於植入製程)的磊晶層。在各種實施例中,經摻雜MD區段包括以下一或多者:Si、SiGe、SiC、B、P、As、Ga、如上述之金屬、或適於提供低電阻位準之另一材料。在各種實施例中,MD區段至少部分地定義對應於被包括在一或多個電晶體中之一或多個S/D結構中之一部分或全部的MD區段。
通孔區域為積體電路佈局圖中之一區域,此積體電路佈局圖被包括在製造製程中作為定義通孔結構之部分,此通孔結構配置以在製造製程之兩個或更多個位準及/或層中的導電區段之間提供低電阻電連接。背側通孔區域(例如,下文所述之背側通孔區域VRy或背側通孔區域VR1至背側通孔區域VR4(背側通孔區域VR1至VR4))為積體電路佈局圖中之通孔區域,此通孔區域被包括在製造製程中作為定義延伸穿過半導體基板(例如,基板100S)至基板之背側表面(例如,第2B圖中所繪之背側表面100BS)的通孔結構(例如,下文所述之通孔結構VS1至通孔結構VS4)的部分,並且背側通孔區域由此配置以將基板中及/或基板上之特徵電連接至位於基板之背側表面處的一或多個結構(例如,下文所述之背側配電結構(backside power distribution structure ;BSPD))。在各種實施例中,對應於背側通孔區域之通孔結構(例如,通孔結構VS1至VS4)被稱為背側通孔結構或貫穿矽通孔(through至silicon via; TSV)結構。
因為積體電路佈局圖100A包括背側通孔區域(例如,背側通孔區域VRy)及背側配電結構,背側通孔區域配置以在主動板(例如,主動板ASy)之間提供電連接,相鄰於邊界Rx/Ry之區域不用於沿邊界Rx/Ry到前側配電結構的電連接。因而距離D1的值能夠小於製程中相鄰MD區域的最小間隔規則,此製程用於基於積體電路佈局圖100A製造IC結構100B。在各種實施例中,距離D1的值範圍為零至相鄰MD區域之最小間隔規則。
在一些實施例中,距離D2的值小於相鄰MD區域之最小間隔規則。在各種實施例中,距離D2的值大於、等於或小於距離D1的值。
在一些實施例中,距離D3的值小於相鄰MD區域之最小間隔規則。在各種實施例中,距離D3的值大於、等於或小於距離D1的值。在各種實施例中,距離D3的值大於、等於或小於距離D2的值。
相鄰於列Ry之列Rx(第1B圖中未示出)包括與邊界Rx/Ry分隔開距離D2之第二實例的主動板ASx,使得主動板ASx與ASy分隔開距離D2與距離D2之第二實例(其等於距離S1或上述距離S3)的總和;距離D2與距離D2之第二實例的總和的值由此大於或等於相鄰主動板之最小間隔規則。
如第1B圖中圖示,積體電路佈局圖100A對應於實施例,在此些實施例中經由背側通孔結構電連接至背側配電結構的奈米板能夠具有基於鄰近單元邊界放置之特徵的配置,例如基於具有小於相鄰MD區段之最小間隔規則的值的距離D1至距離D3中的一或多者的配置。下文關於第2A圖至第5圖論述這些實施例之非限制性實例。
第2A圖描繪包括主動板AS1至AS4及奈米板NS1至NS4之列RA及列RB的多個部分,第2B圖描繪第2A圖中所繪之部分沿線A-A'截取的橫截面,以及第2C圖描繪第2A圖中所繪之部分沿線B-B'截取之橫截面。為簡明之目的,第2A圖未描繪閘極區域/閘極結構GR1/GS1至閘極區域/閘極結構GR9/GS9,例如位於線A-A'與 B-B'之間的實例。
除了主動板AS1至AS4及奈米板NS1至NS4,第2A圖至第2C圖還描繪金屬區域MR1至金屬區域MR11及沿各自金屬跡線TR1至金屬跡線TR11放置並沿X方向延伸之對應金屬區段MS1至金屬區段MS11,第一通孔區域V1R及對應第一通孔結構V1S之兩個實例(為說明標記成一個),MD區域MDR及對應MD區段MDS之十個實例(為說明標記成一個),背側通孔區域VR1至背側通孔區域VR4及對應的背側通孔結構VS1至背側通孔結構VS4(為說明標記成子集),及包括部分BSPDA及部分BSPDB之背側配電結構BSPD。
金屬區域(例如,金屬區域MR1至MR11中之一者)為積體電路佈局圖中之一導電區域,此積體電路佈局圖被包括在製造製程中作為定義金屬層之製造製程的區段(例如,金屬區段MS1至MS11中之一者,亦被稱為導電區段或導電線)的部分。金屬區段(例如,第一金屬區段)為對應金屬層(例如,第一金屬層)之一部分,其包括以下一或多者:銅(Cu)、銀(Ag)、鎢(W)、鈦(Ti)、鎳(Ni)、錫(Sn)、鋁(Al)、或適於在IC結構元件之間提供低電阻電連接的另一金屬或材料,即電阻位準低於對應於對電路效能之基於電阻的作用的一或多個容許位準。在一些實施例中,金屬區域MR1至MR11至少部分地定義金屬區段MS1至MS11為製造製程之第一金屬層的區段。
背側配電結構BSPD,在一些實施例中亦被稱為配電網路,包括由複數絕緣層支撐並電分離並根據功率輸出要求佈置的複數導電區段,例如對應於IC結構100B之一或多個IC元件中的導電區段。在各種實施例中,背側配電結構BSPD包括以下之一者或組合:貫穿矽通孔(through-silicon via ;TSV)、貫穿介電質通孔(through-dielectric via ;TDV)、功率軌道(例如,超級功率軌道或埋入式功率軌道)、以網格或網狀結構佈置之導電區段、或適於向一或多個IC元件分配功率的另一佈置。
將背側配電結構BSPD之部分BSPDA與背側配電結構BSPD之部分BSPDB電隔離。在各種實施例中,部分BSPDA或部分BSPDB中之一者配置以傳送電源電壓或基準電壓之第一者,以及部分BSPDA或部分BSPDB之另一者配置以傳送電源電壓或基準電壓之第二者。在各種實施例中,部分BSPDA或部分BSPDB中之一者或兩者包括功率軌道。
如第2A圖中描繪,主動板AS1至AS4覆蓋積體電路佈局圖100A中之背側通孔區域VR1至VR4的個別多個實例,從而對應於覆蓋第2B圖中描繪之IC結構100B中的通孔結構VS1至VS4的個別多個實例的奈米板NS1至NS4。在第2B圖中描繪之實施例中,每個奈米板NS1至NS4直接接觸個別通孔結構VS1至VS4,通孔結構VS2及VS3從而將奈米板NS2及NS3電連接至部分BSPDA,以及通孔結構VS1及VS4從而配置以將奈米板NS1及NS4電連接至部分BSPDB。在一些實施例中,以其他方式配置IC結構100B,例如,藉由在奈米板NS1至NS4及各自通孔結構VS1至VS4中之一些或全部之間包括一或多個導電層,以將每個奈米板NS1至NS4電連接至對應部分BSPDA或部分BSPDB。
如第2A圖中描繪,主動板/奈米板AS1/NS1至主動板/奈米板AS4/NS4沿Y方向具有各自寬度WA1至WA4,以及背側通孔區域/通孔結構VR1/VS1至VR4/VS4沿Y方向具有各自寬度WV1至寬度WV4。寬度WA3或WA4中之一者或兩者的值大於寬度WA1或WA2中之一者或兩者的值,以及寬度WV3或寬度WV4中之一者或兩者的值大於寬度WV1或寬度WV2中之一者或兩者的值。在一些實施例中,寬度WA3或寬度WA4中之一者或兩者(其值大於寬度WA1或寬度WA2中之一者或兩者的值)對應於寬度WV3或寬度WV4中之一者或兩者(其值大於寬度WV1或寬度WV2中之一者或兩者的值)。在一些實施例中,寬度WA3及寬度WA4中之每一者的值大於寬度WA1及寬度WA2中之每一者的值,以及寬度WV3及寬度WV4中之每一者的值大於寬度WV1及寬度WV2中之每一者的值。在一些實施例中,寬度WA3及WA4(其值大於寬度WA1及寬度WA2之值)對應於包括一或多個IC元件之時序關鍵電晶體的列RB。
在第2A圖及第2B圖中描繪之實施例中,寬度WV1至WV4對應於通孔結構VS1至VS4接觸奈米板NS1至NS4之位置。在一些實施例中,寬度WV1至WV4對應於除了通孔結構VS1至VS4接觸奈米板NS1至NS4之位置外的位置,例如通孔結構VS1至VS4接觸位於通孔結構VS1至VS4與奈米板NS1至NS4之間的一或多個導電層的位置。
在第2A圖及第2B圖中描繪之實施例中,寬度WA1至WA4的值大於個別寬度WV1至WV4之值。在一些實施例中,寬度WV1至WV4中之一或多者的值大於一或多個個別寬度WA1至WA4的值。
在一些實施例中,寬度WV1至WV4的值與個別寬度WA1至WA4的值成正比。在一些實施例中,給定寬度WV1至WV4的值相比於個別寬度WA1至WA4的值在百分之80至百分之110的範圍中。在一些實施例中,給定寬度WV1至WV4的值相比於個別寬度WA1至WA4的值在百分之90至百分之95的範圍中。
在第2A圖及第2B圖中描繪之實施例中,寬度WA1及WA2具有相同的值,寬度WV1及寬度WV2具有相同的值,寬度WA3及WA4具有相同的值,以及寬度WV1及寬度WV2具有相同的值。在各種實施例中,寬度WA1的值不同於寬度WA2的值,寬度WV1的值不同於寬度WV2的值,寬度WA3的值不同於寬度WA4的值,及/或寬度WV3的值不同於寬度WV4的值。
在第2A圖中描繪之實施例中,寬度WA1至WA4中之每一者相對於沿X方向之位置具有固定值,使得主動板/奈米板AS1/NS1至AS4/NS4中之每一者具有矩形形狀。在一些實施例中,寬度WA1至WA4中之一或多者相對於沿X方向之位置具有多個值,使得主動板/奈米板AS1/NS1至AS4/NS4中之對應一或多者具有除了矩形(例如,諸如下文關於第4A圖至第5圖論述之彼等的一系列矩形)外的形狀。
在第2A圖中描繪之實施例中,寬度WV1至寬度WV4中之每一者的每個實例相對於沿X方向之位置具有相同的值。在一些實施例中,寬度WV1至WV4中之一或多者相對於沿X方向之位置具有多個值。在一些實施例中,寬度WV1至寬度WV4中之一或多者相對於沿X方向之位置具有多個值,此些值對應於寬度WA1至WA4相對於沿X方向之位置的多個值。
在第2A圖中描繪之實施例中,積體電路佈局圖100A之金屬跡線TR1至TR11對應於第一金屬層並具有跡線間距TR(在一些實施例中亦稱為第一金屬跡線間距)。列RA及RB中之每一者具有等於五倍跡線間距TR的單元高度CH,列RA具有與金屬跡線TR1對準之邊界RAB1及與金屬跡線TR6對準之邊界RAB2,以及列RB具有與金屬跡線TR6對準之邊界RBB1及與金屬跡線TR11對準之邊界RBB2。列RA與列RB之間的邊界RAB2/邊界RBB1由此與金屬跡線TR6對準。
金屬區域MR1至MR11與個別金屬跡線TR1至金屬跡線TR11對準,金屬區域MR6從而覆蓋列RA及RB中之每一者。在第2A圖中描繪之實施例中,在積體電路佈局圖100A中,金屬區域MR6及MR11覆蓋個別主動板AS3及主動板AS4,使得在對應IC結構100B中,金屬區段MS6及MS11沿Z方向覆蓋個別奈米板NS3及NS4,如第2A圖至第2C圖中描繪。在一些實施例中,金屬區域MR6中之一者或兩者鄰接主動板AS3,或者金屬區域MR11鄰接主動板AS4,使得金屬區段MS6或MS11中之一者或兩者的邊緣與奈米板NS3或NS4中之個別一者或兩者的邊緣對準。在一些實施例中,金屬區域MR6中之一者或兩者並不覆蓋或鄰接主動板AS3,或者金屬區域MR11並不覆蓋或鄰接主動板AS4,使得金屬區段MS6或MS11中之一者或兩者並不覆蓋奈米板NS3或NS4中之個別一者或兩者或與之對準。
在第2A圖至第2C圖中描繪之實施例中,三個金屬區域MR6至金屬區域MR8中之每一者覆蓋主動板AS3,使得三個對應金屬區段MS6至金屬區域MS8中之每一者覆蓋奈米板NS3,以及三個金屬區域MR9至MR11中之每一者覆蓋主動板AS4,使得三個對應金屬區段MS9至MS11中之每一者覆蓋奈米板NS4。在一些實施例中,少於三個的金屬區域覆蓋主動板AS3或AS4中之一者或兩者,使得少於三個的對應金屬區段覆蓋奈米板NS3或NS4的對應一者或兩者。
在第2A圖至第2C圖中描繪之實施例中,寬度WA3、WA4、WV3、及WV4中之每一者的值大於1.5倍的跡線間距TP,使得寬度WA3及WA4的值的總和大於3倍的跡線間距TP,以及寬度WV3及WV4之值的總和大於3倍的跡線間距TP。在一些實施例中,寬度WA3、WA4、WV3、及WV4之值中的一或多者小於或等於1.5倍的跡線間距TP。在一些實施例中,寬度WA3及WA4之值的總和小於或等於3倍的跡線間距TP及/或寬度WV3及WV4之值的總和小於或等於3倍的跡線間距TP。
在第2A圖至第2C圖中描繪之實施例中,MD區域MDR之多個實例沿Y方向延伸並覆蓋主動板AS1至AS4中之每一者,使得MD區段MDS之對應實例覆蓋奈米板NS1至奈米板NS4中之每一者。第2B圖中描繪之MD區域MDR的每個實例覆蓋主動板AS1至AS4之單一者及背側通孔區域VR1至VR4之對應單一者,使得MD區段MDS之每個對應實例覆蓋奈米板NS1至NS4之單一者及通孔結構VS1至VS4之對應單一者。第2C圖中描繪之MD區域MDR的第一實例覆蓋主動板AS1及主動板AS2,使得MD區段MDS之對應實例覆蓋奈米板NS1及NS2,以及第2C圖中描繪之MD區域MDR的第二實例覆蓋主動板AS3及主動板AS4,使得MD區段MDS之對應實例覆蓋奈米板NS3及NS4。
在第2A圖至第2C圖中描繪之實施例中,MD區域MDR之實例具有與邊界RAB1、邊界RAB2/邊界RBB1、或RBB2中之給定一者分隔開一距離(例如,上文關於第1B圖中論述之距離D1)的邊緣(未標記),使得MD區域/MD區段MDR/MDS之每個實例的邊緣沿X方向對準。在一些實施例中,積體電路佈局圖100A包括MD區域MDR的一或多個實例,其以其他方式配置成使得MD區域MDR/區域MDS之每個實例的邊緣並不沿X方向對準。
如第2B圖中描繪,基於覆蓋主動板AS1之背側通孔區域VR1,通孔結構VS1從奈米板NS1延伸至背側表面100BS處之部分BSPDB,並由此配置以將奈米板NS1電連接至部分BSPDB,使得部分BSPDB上攜帶之電源電壓或基準電壓中之第一者被接收在奈米板NS1處。基於覆蓋主動板AS2之背側通孔區域VR2,通孔結構VS2從奈米板NS2延伸至背側表面100BS處之部分BSPDA,並由此配置以將奈米板NS2電連接至部分BSPDA,使得部分BSPDA上攜帶之電源電壓或基準電壓中之第二者被接收在奈米板NS2處。基於覆蓋主動板AS3之背側通孔區域VR3,通孔結構VS3從奈米板NS3延伸至背側表面100BS處之部分BSPDA,並由此配置以將奈米板NS3電連接至部分BSPDA,使得部分BSPDA上攜帶之電源電壓或基準電壓中之第二者被接收在奈米板NS3處。基於覆蓋主動板AS4之背側通孔區域VR4,通孔結構VS4從奈米板NS4延伸至背側表面100BS處之部分BSPDB,並由此配置以將奈米板NS4電連接至部分BSPDB,使得部分BSPDB上攜帶之電源電壓或基準電壓中之第一者被接收在奈米板NS4處。
在一些實施例中,MD區段MDS覆蓋通孔結構VS1至VS4的實例被包括在一或多個電晶體之S/D結構中。因為奈米板NS1至NS4中之每一者配置以接收電源電壓或基準電壓中之一者,所以IC結構100B不包括其中第一通孔結構V1S用以將MD區段MDS之對應實例電連接至金屬區段MS1至MS11中之一或多者的實例。
此外,對應電晶體之開關速度隨著寬度WA1至WA4之值的增加而增加,而通孔結構VS1至VS4之電阻及功耗兩者隨著寬度WV1至WV4之值的增加而降低。積體電路佈局圖100A包括具有變化寬度WA1至變化寬度WA4(變化寬度WA1至WA4)之主動板AS1至主動板AS4及具有變化寬度WV1至變化寬度WV4(變化寬度WV1至WV4)之背側通孔區域VR1至VR4,因此積體電路佈局圖100A能夠致使IC結構100B包括具有變化寬度WA1至WA4之奈米板NS1至NS4及具有變化寬度WV1至WV4之通孔結構VS1至VS4。與其中奈米板及通孔結構寬度兩者並不類似地變化的方法相比,靈活配置電晶體大小及功率輸出以解決變化的時序及功率需求的能力因此得以改善。
如第2C圖中描繪,覆蓋奈米板NS1及NS2中之每一者的MD區段MDS的實例配置以將奈米板NS1及NS2彼此電連接,以及第一通孔結構V1S之實例配置以將奈米板NS1及NS2中之每一者電連接至金屬區段MS4。覆蓋奈米板NS3及NS4中之每一者的MD區段MDS的實例配置以將奈米板NS3及NS4彼此電連接,以及第一通孔結構V1S之實例將奈米板NS3及NS4中之每一者電連接至金屬區段MS10。在一些實施例中,MD區段MDS之對應實例被包括在一或多個電晶體之S/D結構中。
在第2A圖至第2C圖中描繪之實施例中,背側通孔區域/背側通孔結構VR1/VS1至背側通孔區域/背側通孔結構VR4/VS4沿Y方向(例如,沿線A-A')對準,以及MD區域/ MD區段MDR/MDS配置以將奈米板NS1及奈米板NS2彼此電連接及將奈米板NS3及奈米板NS4彼此電連接的實例沿Y方向(例如,沿線B-B')對準。在一些實施例中,背側通孔區域/背側通孔結構VR1/VS1及背側通孔區域/背側通孔結構VR2/VS2沿Y方向對準,其中MD區域/ MD區段MDR/MDS的實例配置以將奈米板NS3及NS4彼此電連接,以及背側通孔區域/背側通孔結構VR3/VS3及VR4/VS4沿Y方向對準,其中MD區域/ MD區段MDR/MDS的實例配置以將奈米板NS1及奈米板NS2彼此電連接。
在第3A圖及第3B圖中描繪之實施例中,積體電路佈局圖100A及IC結構100B包括上文關於第1A圖至第2C圖論述之列RA及列RB及閘極區域/閘極結構GR1/GS1至GR9/GS9(未標記)。為了說明之目的,在第3A圖及第3B圖中並未描繪上文所述之積體電路佈局圖100A及IC結構100B的附加特徵,例如,距離S1至S3。
在第3A圖及第3B圖中描繪之實施例的每一者中,寬度WA1至WA4(未標記)及寬度WV1至寬度WV4(未示出),在上文關於第1A圖至第2C圖論述中,具有相對於沿X方向之位置恆定的值,寬度WA1及WA2具有相同的值,寬度WA3及WA4具有相同的值,寬度WV1及WV2具有相同的值,以及寬度WV3及WV4具有相同的值。
在第3A圖中描繪之實施例中,列RA之兩個實例均位於列RB之相鄰實例之間,使得列RA的實例數目與列RB的實例數目之比率為2:1。在第3B圖中描繪之實施例中,列RA之三個實例均位於列RB之相鄰實例之間,使得列RA的實例數目與列RB的實例數目之比率為3:1。在各種實施例中,積體電路佈局圖100A及IC結構100B包括類似配置之列RA及RB,使得列RA的實例數目與列RB的實例數目之比率為1:1或大於3:1。
在第4A圖至第4F圖中描繪之實施例中,積體電路佈局圖100A及IC結構100B包括列RA至列RC,其包括主動板/奈米板AS1/NS1至主動板/奈米板AS6/NS6、閘極區域/閘極結構GR1/GS1至GR9/GS9、及上文關於第1A圖至第2C圖論述之距離S1至S3。第4A圖至第4F圖中之每一者亦包括下文論述之不連續性J。為了說明之目的,在第4A圖至第4F圖中,上文所述之積體電路佈局圖100A及IC結構100B的附加特徵並未描繪,例如,背側通孔區域/背側通孔結構VR1/VS1至VR4/VS4及寬度WV1至寬度WV4,或者被標記,例如,寬度WA1至WA4。
在第4A圖至第4F圖中描繪之實施例中的每一者中,主動板/奈米板AS1/NS1至AS6/NS6中之兩個或更多個具有板寬度(未標記),例如,寬度WA1至WA4,此些板寬度包括相對於沿X方向之位置的複數板寬度值。每個板寬度值從閘極區域/閘極結構GR1/GS1至GR9/GS9中之第一者到閘極區域/閘極結構GR1/GS1至GR9/GS9中之第二者為一致的。在第4A圖至第4F圖中描繪之實施例中,總共一個閘極區域/閘極結構GR1/GS1至GR9/GS9位於第一與第二閘極區域/閘極結構GR1/GS1至GR9/GS9之間,使得給定板寬度值對應於位於第一與第二閘極區域/閘極結構GR1/GS1至GR9/GS9之間的單個電晶體。在各實施例中,總共兩個或更多個閘極區域/閘極結構GR1/GS1至GR9/GS9位於第一與第二閘極區域/閘極結構GR1/GS1至GR9/GS9之間,使得給定板寬度值對應於位於第一與第二閘極區域/閘極結構GR1/GS1至GR9/GS9之間的兩個或更多個電晶體。
在一些實施例中,複數板寬度值對應於複數背側通孔區域/結構(未示出)(例如,背側通孔區域/結構VR1/VS1至背側通孔區域/結構VR4/VS4)之複數通孔寬度值,例如,寬度WV1至寬度WV4中之一者的值,使得對應於給定板寬度值之每個通孔區域/結構具有相同的通孔寬度值。在一些實施例中,複數通孔寬度值之每個相同通孔寬度值與複數板寬度值之對應板寬度值成正比,如上文關於寬度WV1至寬度WV4及WA1至WA4論述。
在對應於兩個不同板寬度值之間的轉換的閘極區域/閘極結構GR1/GS1至GR9/GS9處,對應主動板/奈米板AS1/NS1至AS6/NS6包括在沿X方向延伸之一或兩個邊界(未標記)沿Y方向的一或兩個不連續性J(在第4A圖至第4F圖中之每一者中標記的代表實例)。在一些實施例中,不連續性J亦稱為搖動J。在一些實施例中,不連續性J之每個實例具有一值,此值等於或小於對應最大主動板/奈米板不連續性值,例如,用於基於積體電路佈局圖100A製造IC結構100B之製程的最大板輪廓不連續性規則。
在第4A圖至第4F圖中描繪之每個實施例中,積體電路佈局圖100A/IC結構100B包括具有兩或更多複數板寬度的主動板/奈米板AS1/NS1至AS6/NS6,且亦包括分隔開距離S1至S3(如上文關於第1A圖及第1B圖論述)之主動板/奈米板AS1/NS1至AS6/NS6的整體。
在第4A圖中描繪之實施例中,列RB包括相對於沿X方向之位置具有複數板寬度值的主動板/奈米板AS3/NS3及主動板/奈米板AS4/NS4中的每一者。在沿X方向之每個位置處,主動板/奈米板AS3/NS3之板寬度值與主動板/奈米板AS4/NS4之板寬度值相同。
在第4B圖中描繪之實施例中,列RA包括主動板/奈米板AS1/NS1及主動板/奈米板AS2/NS2中之每一者,及列RC包括相對於沿X方向之位置具有複數板寬度值的主動板/奈米板AS5/NS5及主動板/奈米板AS6/NS6。在沿X方向之每個位置處,主動板/奈米板AS1/NS1之板寬度值與主動板/奈米板AS2/NS2之板寬度值相同,及主動板/奈米板AS5/NS5之板寬度值與主動板/奈米板AS6/NS6之板寬度值相同。
在第4C圖中描繪之實施例中,列RB包括相對於沿X方向之位置具有複數板寬度值的主動板/奈米板AS3/NS3及AS4/NS4中的每一者。在沿X方向之位置中的一個、一些或全部位置處,例如,位置X1處,主動板/奈米板AS3/NS3之板寬度WA3的值不同於主動板/奈米板AS4/NS4之板寬度WA4的值。
在第4D圖中描繪之實施例中,列RA包括主動板/奈米板AS1/NS1及主動板/奈米板AS2/NS2中之每一者,及列RC包括相對於沿X方向之位置具有複數板寬度值的主動板/奈米板AS5/NS5及主動板/奈米板AS6/NS6。在沿X方向之位置中的一個、一些或全部位置處,例如,位置X1處,主動板/奈米板AS1/NS1之板寬度WA1的值不同於主動板/奈米板AS2/NS2之板寬度WA2的值,及/或主動板/奈米板AS5/NS5之板寬度WA5的值不同於主動板/奈米板AS6/NS6之板寬度WA6的值,例如,位置X2處。
在第4E圖中描繪之實施例中,列RA至RC包括相對於沿X方向之位置具有複數板寬度值的主動板/奈米板AS1/NS1 至AS6/NS6中之每一者。在沿X方向之每個位置處,主動板/奈米板AS1/NS1之板寬度值與主動板/奈米板AS2/NS2之板寬度值相同,主動板/奈米板AS3/NS3之板寬度值與主動板/奈米板AS4/NS4之板寬度值相同,及主動板/奈米板AS5/NS5之板寬度值與主動板/奈米板AS6/NS6之板寬度值相同。
在第4F圖中描繪之實施例中,列RA至RC包括相對於沿X方向之位置具有複數板寬度值的主動板/奈米板AS1/NS1至AS6/NS6中之每一者。在沿X方向之位置中的一個、一些或全部位置處,主動板/奈米板AS1/NS1之板寬度WA1的值不同於主動板/奈米板AS2/NS2之板寬度WA2的值,例如,在位置X1處,主動板/奈米板AS3/NS3之板寬度WA3的值不同於主動板/奈米板AS4/NS4之板寬度WA4的值,例如,在位置X1處,及/或主動板/奈米板AS5/NS5之板寬度WA5的值不同於主動板/奈米板AS6/NS6之板寬度WA6的值,例如,在位置X2處。
在第5圖描繪之實施例中,積體電路佈局圖100A及IC結構100B包括列RA至RC,其包括上文關於第1圖至第2C圖論述的主動板/奈米板AS1/NS1至AS6/NS6,及閘極區域/閘極結構GR1/GS1至GR9/GS9。在對應於第5圖中描繪之實施例的各種實施例中,列RA至RC包括根據第4A圖至第4F圖中描述之實施例中之一者配置的主動板/奈米板AS1/NS1至AS6/NS6。在第5圖描繪之實施例中,積體電路佈局圖100A及IC結構100B亦包括多列單元MC1及多列單元MC2。為了說明之目的,第5圖未描繪上述積體電路佈局圖100A及IC結構100B的附加特徵。
多列單元MC1及多列單元MC2中之每一者沿Y方向延伸超出單個列。多列單元MC1延伸越過列RA之部分、列RB之整體、及列RC從閘極區域GR3至閘極區域GR5的部分,以及多列單元MC2從閘極區域GR7至閘極區域GR9延伸越過列RB之整體及列RC之整體。
在多列單元MC1中,列RA之主動板AS2與列RB之相鄰主動板AS3合併,使得奈米板NS2及奈米板NS3從閘極結構GS3至閘極結構GS5為連續的,以及列RB之主動板AS4與列RC之相鄰主動板AS5合併,使得奈米板NS4及奈米板NS5從閘極結構GS3至閘極結構GS5為連續的。在多列單元MC2中,列RB之主動板AS4與列RC之相鄰主動板AS5合併,使得奈米板NS4及奈米板NS5從閘極結構GS7至閘極結構GS9為連續的。
第5圖中描繪之多列單元MC1及多列單元MC2為提供說明之多列單元的非限制性實例。在各種實施例中,積體電路佈局圖100A包括具有除了第5圖中描繪之彼等配置外之一或多個配置的一或多個多列單元,例如,其中相鄰主動板並不合併,因此使得對應的奈米板為連續的多列單元。
在第3A圖至第5圖中描繪及上述之實施例的每一者中,積體電路佈局圖100A及IC結構100B包括具有所述變化寬度之主動板/奈米板及背側通孔區域/結構,使得積體電路佈局圖100A及IC結構100B能夠實現上文關於第1A圖至第2C圖論述之益處。
第6圖根據一些實施例為產生積體電路佈局圖之方法600的流程圖。在一些實施例中,產生積體電路佈局圖之步驟包括產生積體電路佈局圖,例如,對應於基於所產生積體電路佈局圖製造之IC結構100B的積體電路佈局圖100A,每者在上文關於第1A圖至第5圖論述。基於IC結構100B之IC元件的非限制性實例包括邏輯元件、正反器、多工器、處理元件、訊號處理電路等等。
在一些實施例中,方法600之一些或全部是由電腦之處理器執行。在一些實施例中,方法600的一些或全部是由積體電路佈局圖產生系統800之處理器802執行,下文關於第8圖論述。
方法600之操作中的一些或全部能夠作為設計程序之部分執行,此設計程序在設計室(例如,下文關於第9圖論述之設計室920)中執行。
在一些實施例中,方法600之操作以第6圖描繪之順序執行。在一些實施例中,方法600之操作同時執行及/或以除第6圖描繪之順序外的順序執行。在一些實施例中,在執行方法600之一或多個操作之前、之間及/或之後,執行一或多個操作。
在操作610處,在一些實施例中,接收第一及第二列單元,第一列單元包括第一及第二主動板,第二列單元包括第三及第四主動板。主動板中之每一者沿列方向延伸並在垂直於列方向之寬度方向(亦稱為單元高度方向)中具有寬度,並且每個寬度具有寬度值。在各種實施例中,給定寬度之寬度值中的一或多個為給定寬度之複數寬度值中的一個寬度值。
第一列中之主動板的寬度值中之至少一者大於第二列之主動板的寬度值中之至少一者。在一些實施例中,接收具有至少一個寬度值大於第二列之至少一個寬度值的第一列的步驟包括對應於時序關鍵電路應用的第一列單元。
在各種實施例中,接收第一及第二列單元之步驟包括接收上文關於第1A圖至第5圖論述之積體電路佈局圖100A的列RA至列RD中之兩或更多者。
在操作620處,第一列單元與第二列單元鄰接。在一些實施例中,將第一列單元與第二列單元鄰接之步驟包括將上文關於第1A圖至第5圖論述之積體電路佈局圖100A的列RA與列RB鄰接。
在一些實施例中,將第一列單元與第二列單元鄰接之步驟包括沿第一金屬跡線對準第一列單元及第二列單元中之每一者,即,沿第一金屬跡線對準第一列單元與第二列單元之間的邊界。在一些實施例中,將第一列單元與第二列單元鄰接之步驟包括沿上文關於第2A圖論述之金屬跡線TR6對準列RA及列RB。
在一些實施例中,沿第一金屬跡線對準第一列單元及第二列單元中之每一者的步驟包括沿第一金屬跡線對準第一金屬區域。在一些實施例中,沿第一金屬跡線對準第一金屬區域之步驟包括將第二主動板與第一金屬區域重疊。在一些實施例中,第一金屬跡線為具有第一金屬跡線間距之複數第一金屬跡線中之一個第一金屬跡線,第一列單元及第二列單元中之每一者沿寬度方向的單元高度等於五倍的第一金屬跡線間距,以及第一板寬度與第二板寬度的值的總和大於三倍的第一金屬跡線間距。在一些實施例中,沿第一金屬跡線對準第一金屬區域之步驟包括沿上文關於第2A圖至第2C圖論述之金屬跡線TR6對準金屬區域MR6。
在一些實施例中,多列單元被包括在第一列單元及第二列單元中之每一者中,以及將第一列單元與第二列單元鄰接之步驟包括將第二主動板與第三主動板合併。在一些實施例中,將第二主動板與第三主動板合併之步驟包括將主動板AS1至AS6中之第一者與如上文關於第5圖論述的主動板AS1至AS6中之第二者合併。
在操作630處,第一主動板至第四主動板中之每一者與對應第一背側通孔至第四背側通孔區域重疊,第一主動板寬度值大於第三主動板寬度值,以及第一背側通孔區域寬度值大於第三背側通孔區域寬度值。在各種實施例中,將第一主動板至第四主動板中之每一者與對應第一背側通孔至第四背側通孔區域重疊的步驟包括將主動板AA1至AS4與根據上文關於第1A圖至第5圖論述之各種實施例的積體電路佈局圖100A的對應背側通孔區域VR1至VR4重疊。
在一些實施例中,將第一主動板至第四主動板中之每一者與對應第一背側通孔至第四背側通孔區域重疊之步驟包括將第一列單元及第二列單元與沿單元高度方向延伸之複數閘極區域相交,複數板寬度值中之每個板寬度值從複數閘極區域之第一閘極區域到複數閘極區域之第二閘極區域為一致的。在一些實施例中,將第一列單元及第二列單元與複數閘極區域相交之步驟包括將列RA及RB與上文關於第1A圖至第5圖論述之閘極區域GR1至閘極區域GR9相交。
在一些實施例中,背側通孔區域被包括在第一列單元及第二列單元中之每一者中,及在操作620中將第一列單元與第二列單元鄰接之步驟包括:將包括第一經重疊背側通孔區域之第一列單元與包括第二經重疊背側通孔區域之第二列單元鄰接。
在操作640中,在一些實施例中,第三列單元與第二列單元鄰接,第三列單元包括第五及第六主動板。在一些實施例中,將第三列單元與第二列單元鄰接之步驟包括將上文關於第1A圖至第2C圖及第4A圖至第5圖論述之積體電路佈局圖100A的列RC與列RB鄰接。在一些實施例中,將第三列單元與第二列單元鄰接之步驟包括將列RA之第二實例或更多實例與積體電路佈局圖100A的列RB或列RA之第一實例或更多實例鄰接,如上文關於第3A圖及第3B圖論述。
在操作650處,在一些實施例中,積體電路佈局圖經產生並儲存在儲存裝置中。產生積體電路佈局圖之步驟是由處理器,例如,下文關於第8圖論述之積體電路佈局圖產生系統800的處理器802,來執行。
在各種實施例中,在儲存裝置中儲存積體電路佈局圖之步驟包括在非揮發性電腦可讀取記憶體或單元庫(例如,資料庫)中儲存積體電路佈局圖之步驟,及/或包括在網路上儲存積體電路佈局圖之步驟。在各種實施例中,在儲存裝置中儲存積體電路佈局圖之步驟包括在單元庫807及/或積體電路佈局圖產生系統800之網路814上儲存積體電路佈局圖之步驟,如下文關於第8圖論述。
在各種實施例中,產生及儲存積體電路佈局圖之步驟包括產生及儲存上文關於第1A圖至第5圖論述之積體電路佈局圖100A。
在操作660處,在一些實施例中,一或多個半導體遮罩中至少一者,或者半導體IC層中至少一個部件是基於積體電路佈局圖製造的。下文關於IC製造系統900及第9圖論述製造一或多個半導體遮罩或半導體IC層中至少一個部件。
在各種實施例中,基於上文關於第1A圖至第5圖論述之積體電路佈局圖100A,製造一或多個半導體遮罩或半導體IC層中之至少一個部件。
在操作670處,在一些實施例中,基於積體電路佈局圖執行一或多個製造操作。在一些實施例中,執行一或多個製造操作之步驟包括基於積體電路佈局圖執行一或多個微影曝光。在下文關於第9圖論述基於積體電路佈局圖執行一或多個製造操作(例如,一或多個微影曝光)。
在各種實施例中,基於上文關於第1A圖至第5圖論述之積體電路佈局圖100A,執行一或多個製造操作。
藉由執行方法600之操作中的一些或全部,產生積體電路佈局圖,例如,積體電路佈局圖100A,其中主動板及背側通孔區域具有變化的寬度,使得積體電路佈局圖能夠實現上文關於第1A圖至第5圖論述之益處。
第7圖根據一些實施例為製造IC結構之方法700的流程圖。
方法700可操作以形成IC結構,例如上文關於第1A圖至第5圖論述之IC結構100B。在一些實施例中,方法700可由作為IC製造流程之部分的IC製造系統使用,此IC製造系統例如為下文關於第900圖論述之IC製造系統900。
第7圖中描繪方法700之操作的序列僅作說明之用,方法700之操作能夠同時執行及/或以不同於第7圖描述之序列的序列執行。在一些實施例中,在第7圖中描繪之操作之前、之間及/或之後執行除在第7圖中描繪之彼等之外的操作。
在一些實施例中,使用各種製造工具執行方法700中之一或多個操作,製造工具例如為以下一或多者:晶圓步進器、光阻劑塗覆機、製程腔室,例如,化學氣相沉積(Chemical Vapor Deposition;CVD)腔室或低壓化學氣相沉積(Low-pressure Chemical Vapor Deposition;LPCVD)熔爐、化學機械平坦化(Chemical-Mechanical Planarization;CMP系統)、電漿蝕刻系統、晶圓清洗系統、或其他能夠執行如下述一或多個適當製造製程之製造設備。
在操作710中,形成第一奈米板至第四奈米板。在各種實施例中,形成第一奈米板至第四奈米板之步驟包括根據上文關於第1A圖至第5圖論述之各種實施例形成IC結構100B之奈米板NS1至NS4。
形成奈米板之步驟包括使用一或多個適當製程,例如,光微影蝕刻、蝕刻、及/或沉積製程。在一些實施例中,光微影蝕刻製程包括形成及顯影光阻層以保護基板之預定區域,同時蝕刻製程(例如,反應離子蝕刻)用於在基板中形成凹槽。在一些實施例中,沉積製程包括執行其中沉積一或多個單層之原子層沉積(atomic layer deposition; ALD)。
在一些實施例中,形成第一奈米板至第四奈米板之步驟包括形成除了第一奈米板至第四奈米板之外的一或多個奈米板,例如,上文關於第1A圖至第5圖論述之奈米板NS5至NS8。
在一些實施例中,形成第一奈米板至第四奈米板之步驟包括在第一奈米板至第四奈米板上形成一或多個附加結構,例如,一或多個MD結構、S/D結構、通孔結構、閘極結構、金屬互連結構等等。在一些實施例中,形成第一奈米板至第四奈米板之步驟包括形成以下一或多者:MD區段MDS、通孔結構V1S、或上文關於第1A圖至第5圖論述之閘極結構GS1至GS9。
在操作720處,形成第一背側通孔至第四背側通孔結構,第一背側通孔至第四背側通孔結構電連接至對應第一奈米板至第四奈米板。在一些實施例中,形成第一背側通孔至第四背側通孔結構之步驟包括根據上文關於第1A圖至第5圖論述之各種實施例形成IC結構100B之通孔結構VS1至VS4。
形成背側通孔結構之步驟包括使用一或多個適當製程,例如,光微影蝕刻、蝕刻、及/或沉積製程。在一些實施例中,蝕刻製程用於在基板中形成開口,及沉積製程配置以填充開口。在一些實施例中,使用沉積製程之步驟包括執行其中沉積一或多種導電材料之化學氣相沉積(chemical vapor deposition; CVD)。
在一些實施例中,形成第一背側通孔至第四背側通孔結構之步驟包括形成除了第一背側通孔至第四背側通孔結構之外的一或多個背側通孔結構,例如,上文關於第1A圖至第5圖論述之通孔結構VS5或VS6中的一或多者。
在一些實施例中,形成第一背側通孔至第四背側通孔結構之步驟包括形成一或多個附加特徵,例如,在第一奈米板至第四奈米板與第一背側通孔至第四背側通孔結構之間的一或多個導電層。
在一些實施例中,形成第一背側通孔至第四背側通孔結構之步驟包括對包括IC結構(例如,上文關於第1A圖至第5圖論述之基板100S)之半導體晶圓執行薄化操作。
在操作730處,建構電連接至第一背側通孔至第四背側通孔之背側配電結構。在一些實施例中,建構背側配電結構之步驟包括根據上文關於第1A圖至第5圖論述之各種實施例建構IC結構100B的背側配電結構BSPD。
建構背側配電結構之步驟包括形成由一或多個絕緣層支撐並電分離之複數導電區段。在一些實施例中,形成一或多個絕緣層之步驟包括沉積一或多種絕緣材料,例如,上文關於第1A圖至第2C圖論述之介電質材料。在一些實施例中,形成導電區段之步驟包括執行一或多個沉積製程以沉積如上文關於第1A圖至第2C圖論述之一或多種導電材料。
在一些實施例中,配置複數導電區段之步驟包括執行適於產生根據配電要求佈置之導電結構的一或多種製造製程,例如一或多個沉積、圖案化、蝕刻、平坦化、及/或清洗製程。
在一些實施例中,建構背側配電結構之步驟包括將IC結構包含在IC封裝中,例如,3D或扇出封裝。
方法700之操作可配置以形成IC結構,例如,IC結構100B,其包括具有變化的寬度的奈米板及通孔結構,使得IC結構圖能夠實現上文關於第1A圖至第5圖論述之益處。
第8圖根據一些實施例為積體電路佈局圖產生系統800的方塊圖。例如使用根據一些實施例的積體電路佈局圖產生系統800,可實施根據一或多個實施例設計積體電路佈局圖的本文所述方法。
在一些實施例中,積體電路佈局圖產生系統800為通用計算裝置,包括硬體處理器802及非暫時性電腦可讀取儲存媒體804。儲存媒體804使用電腦程式代碼806編碼,即儲存電腦程式代碼806,電腦程式代碼806即為可執行指令組。硬體處理器802執行指令806表示(至少部分地)電子設計自動化(Electronic design automation ;EDA)工具,其實施方法的部分或全部,此方法例如產生上文關於第1A圖至第6圖描述之積體電路佈局圖的方法600(以下,提及的製程及/或方法)。
處理器802經由匯流排808電耦接至電腦可讀取儲存媒體804。處理器802亦經由匯流排808電耦接至I/O介面810。網路介面812亦經由匯流排808電連接至處理器802。網路介面812連接至網路814,以便處理器802及電腦可讀取儲存媒體804能夠經由網路814連接至外部元件。處理器802配置以執行在電腦可讀取儲存媒體804中編碼的電腦程式代碼806,以致使積體電路佈局圖產生系統800用於執行所述製程及/或方法的部分或全部。在一或多個實施例中,處理器802為中央處理單元(central processing unit; CPU)、多處理器、分散式處理系統、特殊應用體積電路(application specific integrated circuit; ASIC)、及/或適當處理單元。
在一或多個實施例中,電腦可讀取儲存媒體804為電子、磁性的、光學的、電磁的、紅外線及/或半導體系統(或設備或裝置)。例如,電腦可讀取儲存媒體804包括半導體或固態記憶體、磁帶、可移動電腦磁碟、隨機存取記憶體(random access memory; RAM)、唯讀記憶體(read-only memory; ROM)、剛性磁碟及/或光碟。在使用光碟的一或多個實施例中,電腦可讀取儲存媒體804包括唯讀光碟記憶體(compact disk read only memory; CDROM)、讀/寫壓縮光碟(CD-R/W)、及/或數字視訊光碟(digital video disc; DVD)。
在一或多個實施例中,儲存媒體804儲存電腦程式代碼806,此電腦程式代碼806配置以致使積體電路佈局圖產生系統800(其中此種執行表示(至少部分地)EDA工具)用於執行所述製程及/或方法的部分或全部。在一或多個實施例中,儲存媒體804亦儲存促進執行所述製程及/或方法的部分或全部的資訊。在一或多個實施例中,儲存媒體804儲存包括如本文揭示之此些單元的單元之單元庫807,例如上文關於第1A圖至第6圖論述之單元的列RA至列RD。
積體電路佈局圖產生系統800包括I/O介面810。I/O介面810耦接至外部電路系統。在一或多個實施例中,I/O介面810包括鍵盤、小鍵盤、滑鼠、軌跡球、軌跡板、觸控屏、及/或游標方向鍵以用於與處理器802交換資訊及命令。
積體電路佈局圖產生系統800亦包括耦接至處理器802的網路介面812。網路介面812允許系統800與網路814通信,一或多個其他電腦系統連接至此網路介面。網路介面812包括無線網路介面,諸如BLUETOOTH、WIFI、WIMAX、 GPRS或WCDMA;或有線網路介面,諸如ETHERNET、USB或IEEE-1364。在一或多個實施例中,在兩個或更多個積體電路佈局圖產生系統800中實施所述製程及/或方法的部分或全部。
積體電路佈局圖產生系統800配置以經由I/O介面810接收資訊。經由I/O介面810接收的資訊包括指令、資料、設計規則、標準單元庫、及/或用於藉由處理器802處理的其他參數的一或多者。資訊經由匯流排808傳遞至處理器802。積體電路佈局圖產生系統800配置以經由I/O介面810接收有關 UI之資訊。資訊儲存在作為使用者介面(user interface; UI)842的電腦可讀取媒體804中。
在一些實施例中,所述製程及/或方法的部分或全部實施為藉由處理器執行的獨立軟體應用。在一些實施例中,所述製程及/或方法的部分或全部實施為一軟體應用,此軟體應用為附加軟體應用的部分。在一些實施例中,所述製程及/或方法的部分或全部實施為一軟體應用的外掛程式。在一些實施例中,所述製程及/或方法的至少一個實施為一軟體應用,此軟體應用為EDA工具的部分。在一些實施例中,所述製程及/或方法的部分或全部實施為由積體電路佈局圖產生系統800使用的軟體應用。在一些實施例中,包括標準單元的佈局圖使用諸如VIRTUOSO®的工具或另一適當佈局產生工具生成,VIRTUOSO®可從CADENCE DESIGN SYSTEMS公司購得。
在一些實施例中,將製程可理解為在非暫時性電腦可讀取媒體中儲存的程式的函數。非暫時性電腦可讀取記錄媒體的實例包括但不限制於,外部的/可移動的及/或內部的/嵌入的儲存器或記憶體單元,例如,諸如DVD的光碟、諸如硬碟的磁碟、諸如ROM、RAM、記憶體卡等的半導體記憶體的一或多者。
第9圖根據一些實施例為IC製造系統900及與其關聯的IC製造流程的方塊圖。在一些實施例中,基於積體電路佈局圖,使用IC製造系統900製造一或多個半導體遮罩(A)或半導體積體電路層中之至少一個部件(B)的至少一者。
在第9圖中,IC製造系統 900包括實體,諸如設計室920、遮罩室930及IC製造商/製造者(fabricator; fab) 950,其與製造IC元件960相關的設計、發展及製造循環及/或服務彼此相互作用。IC製造系統900中的實體由通信網路連接。在一些實施例中,通信網路為單一網路。在一些實施例中,通信網路為各種不同網路,諸如內部網路及網際網路。通信網路包括有線及/或無線通信通道。每個實體與一或多個其他實體相互作用並且提供服務至一或多個其他實體及/或從一或多個其他實體接收服務。在一些實施例中,設計室920、遮罩室930及IC fab 950的兩個或更多個由單個更大公司所擁有。在一些實施例中,設計室920、遮罩室930及IC fab 950的兩個或更多個共存於共用設施中且使用公共資源。
設計室(或設計組)920產生IC設計佈局圖922。IC設計佈局圖922包括各種幾何圖案,例如,上文關於第1A圖至第6圖論述之積體電路佈局圖100A。幾何圖案對應於組成待製造的IC元件960的各種部件的金屬、氧化物或半導體層的圖案。各種層組合以形成各種IC特徵。舉例而言,IC設計佈局圖922的部分包括各種IC特徵,諸如主動區域、閘電極、源極及汲極、層間互連的金屬線或通孔、及用於接合墊的開口,此些IC特徵形成於半導體基板(諸如矽晶圓)中及各種材料層(設置於此半導體基板上)中。設計室920實施適合的設計程序以形成IC設計佈局圖922。設計程序包括邏輯設計、實體設計及/或放置及佈線的一或更多者。IC設計佈局圖922存在於具有幾何圖案資訊的一或多個資料檔案中。例如,IC設計佈局圖922可以GDSII檔案格式或DFII檔案格式表示。
遮罩室930包括資料準備932及遮罩製造944。遮罩室930使用IC設計佈局圖922製造一或多個遮罩945,遮罩945待用於根據IC設計佈局圖922製造IC元件960的各種層。遮罩室930執行遮罩資料準備932,其中IC設計佈局圖922轉換成代表性資料檔案(representative data file;「RDF」)。遮罩資料製備932將RDF提供至遮罩製造944。遮罩製造944包括一遮罩寫入器。遮罩寫入器將RDF轉換為基板上的影像、比如遮罩(主光罩)945或半導體晶圓953。IC設計佈局圖922由遮罩資料準備932操縱以符合遮罩寫入器的特定特性及/或IC fab 950的要求。在第9圖中,將遮罩資料準備932及遮罩製造944圖示為分離元件。在一些實施例中,遮罩資料準備932及遮罩製造944可統一稱為遮罩資料準備。
在一些實施例中,遮罩資料準備932包括光學鄰近校正(optical proximity correction; OPC),其使用微影增強技術以補償像差,諸如可能由繞射、干涉、其他製程效應等引起的像差。OPC調整IC設計佈局圖922。在一些實施例中,遮罩資料準備932包括另外解析度增強技術(resolution enhancement techniques; RET),諸如離軸照明、亞解析度輔助特徵、相變遮罩、其他適合技術等或其組合。在一些實施例中,亦使用反相微影技術(inverse lithography technology; ILT),其將OPC處理為逆像問題。
在一些實施例中,遮罩資料準備932包括遮罩規則檢查器(mask rule checker; MRC),其利用一組遮罩產生規則檢查已經在OPC中經受製程的IC設計佈局圖922,此等規則包括某些幾何及/或連接性限制以確保充足餘量,以考慮到半導體製造製程中之變化性等等。在一些實施例中,MRC修改IC設計佈局圖922以補償遮罩製造944期間的限制,其可抵消由OPC執行的修改的部分以滿足遮罩產生規則。
在一些實施例中,遮罩資料準備932包括微影製程檢查(lithography process checking; LPC),其模擬將由IC fab 950實施的處理以製造IC元件960。LPC基於IC設計佈局圖922模擬此製程以產生模擬製造元件,諸如IC元件960。LPC模擬中的處理參數可包括與IC製造循環的各種製程關聯的參數、與用於製造IC的工具關聯的參數、及/或製造製程的其他態樣。LPC考慮了各種因數,諸如空間成像對比、焦深(depth of focus; 「DOF」)、遮罩錯誤增強因數(mask error enhancement factor; 「MEEF」)、其他適當因數等或其組合。在一些實施例中,在由LPC已經產生模擬製造之元件後,若模擬元件形狀不足夠接近以滿足設計規則,則重複 OPC及/或MRC以進一步改進IC設計佈局圖922。
應理解,為了簡明的目的,遮罩資料準備932的以上描述已經簡化。在一些實施例中,資料準備932包括諸如邏輯操作(logic operation; LOP)的附加特徵以根據製造規則更改IC設計佈局圖922。另外,在資料準備932期間應用於IC設計佈局圖922的製程可以各種不同順序執行。
在遮罩資料準備932之後及遮罩製造944期間,基於修改的IC設計佈局圖922製造遮罩945或遮罩組945。在一些實施例中,遮罩製造944包括基於IC設計佈局圖922執行一或多次微影曝光。在一些實施例中,使用電子束(electron-beam; e-beam)或多個電子束的機構以基於修改的IC設計佈局圖922在遮罩(光罩或主光罩)945上形成圖案。遮罩945可以各種技術形成。在一些實施例中,使用二元技術形成遮罩945。在一些實施例中,遮罩圖案包括不透明區及透明區。用於曝光已經塗覆在晶圓上的影像敏感材料層(例如,光阻劑)的輻射束,諸如紫外線(UV)束或EUV束,由不透明區阻斷及透射穿過透明區。在一個實例中,遮罩945的二元遮罩版本包括透明基板(例如,熔凝石英)、及塗覆在二元遮罩的不透明區中的不透明材料(例如,鉻)。在另一實例中,使用相轉移技術形成遮罩945。在遮罩945的相轉移遮罩(phase shift mask; PSM)版本中,形成於相轉移遮罩上的圖案中的各種特徵,配置以具有適當的相位差以提高解析度及成像品質。在各種實例中,相轉移遮罩可為衰減PSM或交替PSM。由遮罩製造944生成的遮罩用於各種製程中。例如,此種遮罩用於離子植入製程中以在半導體晶圓 953中形成各種摻雜區域,用於蝕刻製程中以在半導體晶圓 953中形成各種蝕刻區域,及/或用於其他適當製程中。
IC fab 950為IC製造公司,包括用於製造各種不同IC產品的一或多個製造設施。在一些實施例中,IC Fab 950為半導體製造廠。例如,可能存在用於複數IC產品的前端製造(前段((front-end-of-line; FEOL)製造)的製造設施,而第二製造設施可為IC產品的互連及包裝提供後端製造(後段(back-end-of-line; BEOL)製造)時,且第三製造設施可為製造公司提供其他服務。
IC Fab 950包括配置以在半導體晶圓953上執行各種製造操作使得根據遮罩(例如,遮罩945)製造IC元件960的晶圓製造工具952。在各種實施例中,晶圓製造工具952包括以下各項之一或更多者:晶圓步進器、離子植入器、光阻劑塗覆機、製程腔室,例如CVD腔室或LPCVD熔爐、CMP系統、電漿蝕刻系統、晶圓清洗系統,或其他能夠執行如本文論述之一或更多個製造製程的製造設備。
IC fab 950使用由遮罩室930製造的遮罩945製造IC元件960。因而,IC fab 950至少間接地使用IC設計佈局圖922製造IC元件960。在一些實施例中,半導體晶圓953藉由IC fab 950使用遮罩945來製造以形成IC元件960。在一些實施例中,IC製造包括至少間接地基於IC設計佈局圖922而執行一或多個微影曝光。半導體晶圓953包括矽基板或具有形成於其上的材料層的其他適合基板。半導體晶圓 953進一步包括各種摻雜區、介電質特徵、多級互連等(在後續製造步驟中形成)的一或多者。
關於積體電路(IC)製造系統(例如,第9圖的IC製造系統900)的細節及與其關聯的IC製造流程可在以下文件中找到:例如,2016年2月9日授權的美國專利第9,256,709號;2015年10月1日公開的美國授權前公開案第20150278429號;2014年2月6日公開的美國授權前公開案第20140040838號;及2007年8月21日授權的美國專利第7,260,442號,以上各者的內容以引用方式整個併入本文中。
在一些實施例中,一種產生積體電路佈局圖之方法,包括:沿著邊界將第一列單元與第二列單元鄰接,第一列單元包括沿列方向延伸之第一主動板及第二主動板,第二列單元包括沿列方向延伸之第三主動板及第四主動板,以及將第一主動板至第四主動板中之每一者與對應的第一背側通孔區域至第四背側通孔區域重疊。第一主動板至第四主動板及第一背側通孔區域至第四背側通孔區域中之每一者在垂直於列方向之寬度方向上具有一寬度,寬度具有寬度值,第一主動板寬度值大於第三主動板寬度值,第一背側通孔區域寬度值大於第三背側通孔區域寬度值,從第一主動板至邊界的距離值小於對應於積體電路佈局圖之製造製程的類金屬定義區域的最小間隔規則;以及將第一列單元與第二列單元鄰接或將第一主動板至第四主動板與第一背側通孔區域至此些第四背側通孔區域重疊中之至少一個步驟是由處理器執行的。在一些實施例中,將第一列單元與第二列單元鄰接之步驟包括沿第一金屬跡線對準邊界與第一金屬區域,以及第一金屬區域鄰接或疊覆第一主動板。在一些實施例中,第一主動板至第四主動板中之每一寬度值相對於沿列方向的位置為一致的。在一些實施例中,第一主動板寬度值的至少一個不同於第二主動板寬度值,或第三主動板寬度值不同於第四主動板寬度值。在一些實施例中,方法包括:將第三列單元與第二列單元鄰接,第三列單元包括第五主動板及第六主動板,其中第五主動板寬度值與第三主動板寬度值相同,以及第六主動板寬度值與第四主動板寬度值相同。在一些實施例中,第一主動板寬度值中之至少一個為第一主動板寬度之多個寬度值中的一個寬度值,或第三主動板寬度值為第三主動板寬度之多個寬度值中的一個寬度值。在一些實施例中,第一主動板寬度值為第一主動板寬度之多個寬度值中的一個寬度值,以及第二主動板寬度值為第二主動板寬度之多個寬度值中的一個寬度值。在一些實施例中,在沿列方向之每個位置處,第一主動板之多個寬度值中每一個寬度與第二主動板之多個寬度值中的每個寬度值相同。在一些實施例中,多列單元被包括在第一列單元及第二列單元中之每一者中,以及將第一列單元與第二列單元鄰接之步驟包括將第一主動板與第三主動板合併。
在一些實施例中,積體電路佈局產生系統包括處理器及包括用於一或多個程式之電腦程式代碼的非暫時性電腦可讀取儲存媒體。非暫時性電腦可讀取儲存媒體及電腦程式代碼配置以與處理器一起,致使系統執行:沿第一金屬跡線將第一列單元與第二列單元之間的邊界對準,其中第一列單元包括平行於第一金屬跡線延伸並具有沿垂直於第一金屬跡線之單元高度方向的個別的第一板寬度及第二板寬度的第一及第二主動板,第二列單元包括平行於第一金屬跡線延伸並具有沿單元高度方向具有個別的第三板寬度及第四板寬度的第三主動板及第四主動板,從第二主動板至第一金屬跡線之距離值小於對應於積體電路佈局之製造製程的類金屬定義區域的最小間隔規則,以及第一板寬度或第二板寬度中之至少一者的值大於第三板寬度或第四板寬度中之一者的值,將第一主動板至第四主動板與對應第一背側通孔區域至第四背側通孔區域重疊,第一背側通孔區域至第四背側通孔區域之沿單元高度方向的寬度與個別對應的第一板寬度至第四板寬度成正比,以及基於第一列單元及第二列單元產生積體電路佈局檔案。在一些實施例中,非暫時性電腦可讀取儲存媒體及電腦程式代碼配置以與處理器一起,進一步致使系統沿第一金屬跡線對準第一金屬區域,從而將第二主動板與第一金屬區域重疊。在一些實施例中,非暫時性電腦可讀取儲存媒體及電腦程式代碼配置以與處理器一起,進一步致使系統藉由沿單元高度方向對準此些第一背側通孔區域至此些第四背側通孔區域而將此些第一主動板至此些第四主動板與對應此些第一背側通孔區域至此些第四背側通孔區域重疊。在一些實施例中,此些第一寬度至此些第四板寬度中之一者包括多個板寬度值。在一些實施例中,非暫時性電腦可讀取儲存媒體及電腦程式代碼配置以與處理器一起,進一步致使系統進行以下步驟:將第一列單元及第二列單元與沿單元高度方向延伸之複數閘極區域相交,以及多個板寬度值中之每個板寬度值從複數閘極區域中之第一閘極區域到複數閘極區域中之第二閘極區域為一致的。在一些實施例中,第一金屬跡線為具有複數第一金屬跡線間距之複數第一金屬跡線中之一個第一金屬跡線,第一列單元及第二列單元中之每一者沿單元高度方向的單元高度等於五倍的第一金屬跡線間距,以及第一板寬度與第二板寬度的值的總和大於三倍的第一金屬跡線間距。
在一些實施例中,積體電路結構包括沿第一方向延伸並沿垂直於第一方向之第二方向具有個別各自的第一寬度至第四寬度的第一奈米板結構至第四奈米板結構;以及電連接至第一奈米板結構至第四奈米板結構中之對應者的第一通孔結構至第四通孔結構。第二寬度值大於第三寬度值,第二通孔結構沿第二方向之寬度值大於第三通孔結構沿第二方向之寬度值,第二奈米板及第三奈米板位於第一奈米板與第四奈米板之間,第二通孔結構及第三通孔結構配置以將第二奈米板及第三奈米板電連接至配置以傳送電源電壓或基準電壓中之一者的背側配電結構的第一部分,以及第一通孔結構及第四通孔結構配置以將第一奈米板及第四奈米板電連接至背側配電結構中配置以傳送電源電壓或基準電壓中之另一者的第二部分。在一些實施例中,積體電路結構包括與第二奈米板重疊之複數類金屬定義區段,複數類金屬定義區段中之每個類金屬定義區段沿第二方向延伸至第二奈米板與第三奈米板之間的位置處的邊緣,並且複數類金屬定義區段之邊緣沿第一方向對準。在一些實施例中,第二奈米板與第三奈米板相連。在一些實施例中,第一寬度值中之一或多者為第一奈米板之寬度的多個第一寬度值中的一個第一寬度值,第二寬度值為第二奈米板之寬度的多個第二寬度值中的一個第二寬度值,第三寬度值為第三奈米板之寬度的多個第三寬度值中的一個第三寬度值,或第四寬度值為第四奈米板之寬度的多個第四寬度值中的一個第四寬度值。在一些實施例中,第一通孔結構至第四通孔結構沿第二方向對準。
熟習此領域的普通技術人員可輕易看出,本揭示實施例的一或多個履行上文闡述的優勢的一或多個。在閱讀上述說明書後,熟習此領域的普通技術人員將能夠實行各種變化、等同物的替換及如本文廣泛揭示的各種其他實施例。因此,應當認為,在此要求的保護僅由所附申請專利範圍及其等同物中所包含的定義限制。
100A/100B:積體電路佈局圖/積體電路結構
600:方法
610:操作
620:操作
630:操作
640:操作
650:操作
660:操作
670:操作
700:方法
710:操作
720:操作
730:操作
800:積體電路佈局圖產生系統
802:處理器
804:儲存媒體
806:電腦程式代碼
807:單元庫
808:匯流排
810:I/O介面
812:網路介面
814:網路
842:使用者介面
900:IC製造系統
920:設計室
922:IC設計佈局圖
930:遮罩室
932:資料準備
944:遮罩製造
945:遮罩
950:IC製造者(IC fab)
952:晶圓製造工具
953:半導體晶圓
960:積體電路元件
100A:積體電路佈局圖
100A/100B:積體電路佈局圖/積體電路結構
100BS:背側表面
100S:基板
A-A':線
AS1:主動板
AS1/NS1:主動板/奈米板
AS2:主動板
AS2/NS2:主動板/奈米板
AS3:主動板
AS3/NS3:主動板/奈米板
AS4:主動板
AS4/NS4:主動板/奈米板
AS5/NS5:主動板/奈米板
AS6/NS6:主動板/奈米板
AS7/NS7:主動板/奈米板
AS8/NS8:主動板/奈米板
ASy:主動板
B-B':線
BSPD:背側配電結構
BSPDA:部分
BSPDB:部分
CH:單元高度
D1:距離
D2:距離
D3:距離
GR1:閘極區域
GR2:閘極區域
GR3:閘極區域
GR4:閘極區域
GR5:閘極區域
GR6:閘極區域
GR7:閘極區域
GR8:閘極區域
GR9:閘極區域
GS1:閘極結構
GS2:閘極結構
GS3:閘極結構
GS4:閘極結構
GS5:閘極結構
GS6:閘極結構
GS7:閘極結構
GS8:閘極結構
GS9:閘極結構
J:不連續性
MC1:多列單元
MC2:多列單元
MDR:類金屬定義區域
MDS:類金屬定義區段
MR1:金屬區域
MR1/MS1:金屬區域/金屬區段
MR2:金屬區域
MR2/MS2:金屬區域/金屬區段
MR3:金屬區域
MR3/MS3:金屬區域/金屬區段
MR4:金屬區域
MR4/MS4:金屬區域/金屬區段
MR5:金屬區域
MR5/MS5:金屬區域/金屬區段
MR6:金屬區域
MR6/MS6:金屬區域/金屬區段
MR7:金屬區域
MR7/MS7:金屬區域/金屬區段
MR8:金屬區域
MR8/MS8:金屬區域/金屬區段
MR9:金屬區域
MR9/MS9:金屬區域/金屬區段
MR10:金屬區域
MR10/MS10:金屬區域/金屬區段
MR11:金屬區域
MR11/MS11:金屬區域/金屬區段
MS1:金屬區段
MS2:金屬區段
MS3:金屬區段
MS4:金屬區段
MS5:金屬區段
MS6:金屬區段
MS7:金屬區段
MS8:金屬區段
MS9:金屬區段
MS10:金屬區段
MS11:金屬區段
N1:N井
N2:N井
N3:N井
NS1:奈米板
NS2:奈米板
NS3:奈米板
NS4:奈米板
RA:列
RAB1:邊界
RAB2:邊界
RB:列
RBB1:邊界
RBB2:邊界
RC:列
RD:列
Rx/Ry:邊界
S1:距離
S2:距離
S3:距離
TR1:金屬跡線
TR2:金屬跡線
TR3:金屬跡線
TR4:金屬跡線
TR5:金屬跡線
TR6:金屬跡線
TR7:金屬跡線
TR8:金屬跡線
TR9:金屬跡線
TR10:金屬跡線
TR11:金屬跡線
V1R/V1S:第一通孔區域/第一通孔結構
V1S:第一通孔結構
VR1:背側通孔區域
VR1/VS1:背側通孔區域/背側通孔結構
VR2:背側通孔區域
VR2/VS2:背側通孔區域/背側通孔結構
VR3/VS3:背側通孔區域/背側通孔結構
VR4/VS4:背側通孔區域/背側通孔結構
VRy:背側通孔區域
VS1:通孔結構
VS2:通孔結構
WA1:寬度
WA2:寬度
WA3:寬度
WA4:寬度
WA5:寬度
WA6:寬度
WV1:寬度
WV2:寬度
WV3:寬度
WV4:寬度
X:座標軸
Y:座標軸
Z:座標軸
當結合附圖閱讀時,根據以下詳細描述可更好地理解本揭示案的態樣。應注意,根據工業標準實踐,各種特徵未按比例繪製。事實上,為論述清楚,各特徵的尺寸可任意地增加或縮小。
第1A圖根據一些實施例為積體電路佈局圖及IC結構之圖式。
第1B圖根據一些實施例為積體電路佈局圖的圖式。
第2A圖至第2C圖根據一些實施例為積體電路佈局圖及積體電路結構之圖式。
第3A圖及第3B圖根據一些實施例為積體電路佈局圖及積體電路結構之圖式。
第4A圖至第4F圖根據一些實施例為積體電路佈局圖及積體電路結構之圖式。
第5圖根據一些實施例為積體電路佈局圖及積體電路結構之圖式。
第6圖根據一些實施例為產生積體電路佈局圖之方法的流程圖。
第7圖根據一些實施例為製造積體電路結構之方法的流程圖。
第8圖根據一些實施例為積體電路佈局圖產生系統的方塊圖。
第9圖根據一些實施例為積體電路製造系統及與其關聯的積體電路製造流程的方塊圖。
100A/100B:積體電路佈局圖/積體電路結構
AS1/NS1:主動板/奈米板
AS2/NS2:主動板/奈米板
AS3/NS3:主動板/奈米板
AS4/NS4:主動板/奈米板
AS5/NS5:主動板/奈米板
AS6/NS6:主動板/奈米板
AS7/NS7:主動板/奈米板
AS8/NS8:主動板/奈米板
N1:N井
N2:N井
N3:N井
RA:列
RB:列
RC:列
RD:列
S1:距離
S2:距離
S3:距離
GR1:閘極區域
GR2:閘極區域
GR3:閘極區域
GR4:閘極區域
GR5:閘極區域
GR6:閘極區域
GR7:閘極區域
GR8:閘極區域
GR9:閘極區域
GS1:閘極結構
GS2:閘極結構
GS3:閘極結構
GS4:閘極結構
GS5:閘極結構
GS6:閘極結構
GS7:閘極結構
GS8:閘極結構
GS9:閘極結構
X:座標軸
Y:座標軸
Z:座標軸
Claims (20)
- 一種產生積體電路佈局圖的方法,該方法包括: 將一第一列單元與一第二列單元沿一邊界鄰接,該第一列單元包括沿一列方向延伸之一第一主動板及一第二主動板,該第二列單元包括沿該列方向延伸之一第三主動板及一第四主動板;以及 將該第一主動板至該第四主動板中之每一者與對應的一第一背側通孔區域至一第四背側通孔區域重疊, 其中 該第一主動板至該第四主動板及該第一背側通孔區域至該第四背側通孔區域中之每一者在垂直於該列方向之一寬度方向上具有一寬度,該寬度具有一寬度值, 該第一主動板之該寬度值大於該第三主動板之該寬度值, 該第一背側通孔區域之該寬度值大於該第三背側通孔區域之該寬度值, 從該第一主動板至該邊界之一距離具有一值,該值小於對應於該積體電路佈局圖之一製造製程之類金屬定義區域的一最小間隔規則;以及 將該第一列單元與該第二列單元鄰接或將該第一主動板至該第四主動板與該第一背側通孔區域至該第四背側通孔區域重疊中的至少一個步驟是藉由一處理器來執行的。
- 如請求項1所述之方法,其中 將該第一列單元與該第二列單元鄰接之步驟包括將該邊界與一第一金屬區域沿一第一金屬跡線對準,以及 該第一金屬區域鄰接或重疊該第一主動板。
- 如請求項1所述之方法,其中該第一主動板至該第四主動板之該些寬度值中的每一者相對於沿該列方向之位置為一致的。
- 如請求項3所述之方法,其中以下至少一者成立: 該第一主動板之該寬度值不同於該第二主動板之該寬度值,或者 該第三主動板之該寬度值不同於該第四主動板之該寬度值。
- 如請求項3所述之方法,進一步包括將一第三列單元與該第二列單元鄰接之步驟,該第三列單元包括一第五主動板及一第六主動板,其中 該第五主動板之一寬度值與該第三主動板之該寬度值相同,及 該第六主動板之一寬度值與該第四主動板之該寬度值相同。
- 如請求項1所述之方法,其中以下之至少一者成立: 該第一主動板之該寬度值為該第一主動板之該寬度之多個寬度值中的一個寬度值,或者 該第三主動板之該寬度值為該第三主動板之該寬度之多個寬度值中的一個寬度值。
- 如請求項1所述之方法,其中 該第一主動板之該寬度值為該第一主動板之該寬度之多個寬度值中的一個寬度值,以及 該第二主動板之一寬度值為該第二主動板之該寬度之多個寬度值中的一個寬度值。
- 如請求項7所述之方法,其中在沿該列方向之每個位置處,該第一主動板之該些多個寬度值中的每個寬度值與該第二主動板之該些多個寬度值中的每個寬度值相同。
- 如請求項1所述之方法,其中 一多列單元被包括在該第一列單元及該第二列單元中之每一者中,以及 將該第一列單元與該第二列單元鄰接之步驟包括將該第一主動板與該第三主動板合併。
- 一種積體電路佈局產生系統,包括: 一處理器;以及 一非暫時性電腦可讀取儲存媒體,其包括用於一或多個程式的一電腦程式代碼,該非暫時性電腦可讀取儲存媒體及該電腦程式代碼配置以與該處理器一起,致使該系統執行: 將一第一列單元與一第二列單元之間的一邊界沿一第一金屬跡線對準,其中 該第一列單元包括一第一主動板及一第二主動板,該第一主動板及該第二主動板平行於該第一金屬跡線延伸,並沿垂直於該第一金屬跡線之一單元高度方向具有個別的一第一板寬度及一第二板寬度, 該第二列單元包括一第三主動板及一第四主動板,該第三主動板及該第四主動板平行於該第一金屬跡線延伸,並沿該單元高度方向具有各自的一第三板寬度及一第四板寬度, 從該第二主動板至該第一金屬跡線之一距離具有一值,該值小於對應於該積體電路佈局之一製造製程之類金屬定義區域的一最小間隔規則;以及 該第一板寬度或該第二板寬度中之至少一者具有一值,該值大於該第三板寬度或該第四板寬度中之一者的一值; 將該第一主動板至該第四主動板與對應的該第一背側通孔區域至該第四背側通孔區域重疊,該第一背側通孔區域至該第四背側通孔區域沿該單元高度方向具有複數寬度,該些寬度與對應的該第一板寬度至該第四板寬度成正比;以及 基於該第一列單元及該第二列單元產生一積體電路佈局檔案。
- 如請求項10所述之積體電路佈局產生系統,其中該非暫時性電腦可讀取儲存媒體及該電腦程式代碼配置以與該處理器一起,進一步致使該系統: 沿該第一金屬跡線對準一第一金屬區域,從而將該第二主動板與該第一金屬區域重疊。
- 如請求項10所述之積體電路佈局產生系統,其中該非暫時性電腦可讀取儲存媒體及該電腦程式代碼配置以與該處理器一起,進一步致使該系統: 藉由沿該單元高度方向對準該第一背側通孔區域至該第四背側通孔區域,來重疊該第一主動板至該第四主動板與對應的該第一背側通孔區域至該第四背側通孔區域。
- 如請求項10所述之積體電路佈局產生系統,其中該第一板寬度至該第四板寬度中之一者包括多個板寬度值。
- 如請求項13所述之積體電路佈局產生系統,其中: 該非暫時性電腦可讀取儲存媒體及該電腦程式代碼配置以與該處理器一起,進一步致使該系統進行以下步驟:將該第一列單元及該第二列單元與沿該單元高度方向延伸之複數閘極區域相交;以及 該些多個板寬度值中之每個板寬度值從該些閘極區域中之一第一閘極區域至該些閘極區域中之一第二閘極區域為一致的。
- 如請求項10所述之積體電路佈局產生系統,其中: 該第一金屬跡線為複數第一金屬跡線中具有一第一金屬跡線間距之一個第一金屬跡線, 該第一列單元及該第二列單元中之每一者在該單元高度方向上的一單元高度等於五倍之該第一金屬跡線間距;以及 該第一板寬度及該第二板寬度之值的一總和大於三倍之該第一金屬跡線間距。
- 一種積體電路結構,包括: 一第一奈米板結構至一第四奈米板結構,在一第一方向延伸並沿垂直於該第一方向之一第二方向具有各自的一第一寬度至一第四寬度;以及 一第一通孔結構至一第四通孔結構,電連接至該第一奈米板結構至該第四奈米板結構中之對應者, 其中 該第二寬度的值大於該第三寬度之值, 該第二通孔結構沿該第二方向之一寬度的值大於該第三通孔結構沿該第二方向之一寬度的值, 將該第二奈米板及該第三奈米板置於該第一奈米板與該第四奈米板之間, 該第二通孔結構及該第三通孔結構配置以將該第二奈米板及該第三奈米板電連接至一背側配電結構之一第一部分,該背側配置結構之該第一部分配置以傳送一電源電壓或一基準電壓中之一者;以及 該第一通孔結構及該第四通孔結構配置以將該第一奈米板及該第四奈米板電連接至該背側配電結構之一第二部分,該背側配電結構之該第二部分配置以傳送該電源電壓或該基準電壓中之另一者。
- 如請求項16所述之積體電路結構,進一步包括與該第二奈米板重疊之複數類金屬定義區段,其中 該些類金屬定義區段中之每個類金屬定義區段沿該第二方向延伸至一邊緣,該邊緣在該些第二奈米板與該些第三奈米板之間的一位置;以及 該些類金屬定義區段中之該些邊緣沿該第一方向對準。
- 如請求項16所述之積體電路結構,其中該第二奈米板與該第三奈米板相連。
- 如請求項16所述之積體電路結構,其中以下之一或多者成立: 該第一寬度值為該第一奈米板之該寬度之多個第一寬度值中的一個第一寬度值, 該第二寬度值為該第二奈米板之該寬度之多個第二寬度值中的一個第二寬度值, 該第三寬度值為該第三奈米板之該寬度之多個第三寬度值中的一個第三寬度值,或 該第四寬度值為該第四奈米板之該寬度之多個第四寬度值中的一個第四寬度值。
- 如請求項16所述之積體電路結構,其中該第一通孔結構至該第四通孔結構沿該第二方向對準。
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US202063023466P | 2020-05-12 | 2020-05-12 | |
US63/023,466 | 2020-05-12 | ||
US17/147,923 US11893333B2 (en) | 2020-05-12 | 2021-01-13 | Hybrid sheet layout, method, system, and structure |
US17/147,923 | 2021-01-13 |
Publications (2)
Publication Number | Publication Date |
---|---|
TW202143090A true TW202143090A (zh) | 2021-11-16 |
TWI782491B TWI782491B (zh) | 2022-11-01 |
Family
ID=77468281
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW110114001A TWI782491B (zh) | 2020-05-12 | 2021-04-19 | 積體電路佈局產生系統、積體電路結構以及產生積體電路佈局圖的方法 |
Country Status (4)
Country | Link |
---|---|
US (1) | US20230401372A1 (zh) |
CN (1) | CN113343631B (zh) |
DE (1) | DE102021100870B4 (zh) |
TW (1) | TWI782491B (zh) |
Families Citing this family (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10997348B2 (en) * | 2018-09-28 | 2021-05-04 | Taiwan Semiconductor Manufacturing Company Ltd. | Metal cut region location method and system |
Family Cites Families (21)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6355950B1 (en) | 1998-09-23 | 2002-03-12 | Intel Corporation | Substrate interconnect for power distribution on integrated circuits |
US7260442B2 (en) | 2004-03-03 | 2007-08-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method and system for mask fabrication process control |
JP5552775B2 (ja) | 2009-08-28 | 2014-07-16 | ソニー株式会社 | 半導体集積回路 |
JP2013030602A (ja) | 2011-07-28 | 2013-02-07 | Panasonic Corp | 半導体集積回路装置 |
US8850366B2 (en) | 2012-08-01 | 2014-09-30 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for making a mask by forming a phase bar in an integrated circuit design layout |
US9026977B2 (en) * | 2013-08-16 | 2015-05-05 | Globalfoundries Inc. | Power rail layout for dense standard cell library |
US9256709B2 (en) | 2014-02-13 | 2016-02-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for integrated circuit mask patterning |
US9465906B2 (en) | 2014-04-01 | 2016-10-11 | Taiwan Semiconductor Manufacturing Company, Ltd. | System and method for integrated circuit manufacturing |
US9830415B2 (en) * | 2014-08-22 | 2017-11-28 | Samsung Electronics Co., Ltd. | Standard cell library, method of using the same, and method of designing semiconductor integrated circuit |
US9811627B2 (en) * | 2015-12-08 | 2017-11-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of component partitions on system on chip and device thereof |
US9755030B2 (en) | 2015-12-17 | 2017-09-05 | International Business Machines Corporation | Method for reduced source and drain contact to gate stack capacitance |
US20170358565A1 (en) | 2016-06-09 | 2017-12-14 | Globalfoundries Inc. | Standard cell layout and method of arranging a plurality of standard cells |
KR102009905B1 (ko) * | 2017-02-21 | 2019-08-12 | 삼성전자주식회사 | 팬-아웃 반도체 패키지 |
US10784198B2 (en) * | 2017-03-20 | 2020-09-22 | Samsung Electronics Co., Ltd. | Power rail for standard cell block |
KR102358481B1 (ko) * | 2017-06-08 | 2022-02-04 | 삼성전자주식회사 | 반도체 소자 및 그의 제조 방법 |
US10796059B2 (en) * | 2018-03-22 | 2020-10-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Integrated circuit layout generation method and system |
US10971586B2 (en) * | 2018-06-28 | 2021-04-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Double height cell regions, semiconductor device having the same, and method of generating a layout diagram corresponding to the same |
US10977418B2 (en) * | 2018-09-28 | 2021-04-13 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor device with cell region, method of generating layout diagram and system for same |
US10970451B2 (en) | 2018-09-28 | 2021-04-06 | Taiwan Semiconductor Manufacturing Company Ltd. | Integrated circuit layout method, device, and system |
US10769342B2 (en) * | 2018-10-31 | 2020-09-08 | Taiwan Semiconductor Manufacturing Company Ltd. | Pin access hybrid cell height design |
US11080453B2 (en) * | 2018-10-31 | 2021-08-03 | Taiwan Semiconductor Manufacturing Company Ltd. | Integrated circuit fin layout method, system, and structure |
-
2021
- 2021-01-18 DE DE102021100870.0A patent/DE102021100870B4/de active Active
- 2021-04-19 TW TW110114001A patent/TWI782491B/zh active
- 2021-05-12 CN CN202110518760.1A patent/CN113343631B/zh active Active
-
2023
- 2023-08-10 US US18/448,136 patent/US20230401372A1/en active Pending
Also Published As
Publication number | Publication date |
---|---|
TWI782491B (zh) | 2022-11-01 |
DE102021100870B4 (de) | 2024-04-25 |
CN113343631B (zh) | 2024-03-08 |
US20230401372A1 (en) | 2023-12-14 |
CN113343631A (zh) | 2021-09-03 |
DE102021100870A1 (de) | 2021-11-18 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US11935833B2 (en) | Method of forming power grid structures | |
KR102459562B1 (ko) | 하이브리드 시트 레이아웃, 방법, 시스템, 및 구조물 | |
TW202009599A (zh) | 製造半導體元件的方法 | |
CN115528023A (zh) | 集成电路装置及其制造方法 | |
US20230401372A1 (en) | Integrated circuit having hybrid sheet structure | |
US20240090190A1 (en) | Semiconductor device including unilaterally extending gates and method of forming same | |
US20240088129A1 (en) | Integrated circuit device | |
US20230361105A1 (en) | Integrated circuit device and method | |
US20230114558A1 (en) | Integrated circuit, system and method of forming the same | |
TW202334955A (zh) | 積體電路裝置及製造積體電路裝置的方法 | |
US20230067734A1 (en) | Integrated circuit device, method and system | |
US20210343744A1 (en) | Integrated circuit, system and method of forming the same | |
TWI814351B (zh) | 基於混合單元的元件、佈局和方法 | |
US11769723B2 (en) | Three dimensional integrated circuit with monolithic inter-tier vias (MIV) | |
US11569168B2 (en) | Integrated circuit, system and method of forming the same | |
US20230402461A1 (en) | Integrated circuit, system and method of forming the same | |
US20230420369A1 (en) | Integrated circuit device and manufacturing method | |
US20230402374A1 (en) | Signal conducting line arrangements in integrated circuits | |
TW202349657A (zh) | 積體電路結構及其製造方法 | |
TW202310230A (zh) | 半導體裝置 | |
CN116913859A (zh) | 组合功能ic单元器件、布局和方法 |