TW202124521A - 表面處理劑 - Google Patents

表面處理劑 Download PDF

Info

Publication number
TW202124521A
TW202124521A TW109130066A TW109130066A TW202124521A TW 202124521 A TW202124521 A TW 202124521A TW 109130066 A TW109130066 A TW 109130066A TW 109130066 A TW109130066 A TW 109130066A TW 202124521 A TW202124521 A TW 202124521A
Authority
TW
Taiwan
Prior art keywords
independently
group
integer
occurrence
formula
Prior art date
Application number
TW109130066A
Other languages
English (en)
Other versions
TWI785376B (zh
Inventor
前平健
山下恒雄
阪本英司
三橋尚志
Original Assignee
日商大金工業股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商大金工業股份有限公司 filed Critical 日商大金工業股份有限公司
Publication of TW202124521A publication Critical patent/TW202124521A/zh
Application granted granted Critical
Publication of TWI785376B publication Critical patent/TWI785376B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D171/00Coating compositions based on polyethers obtained by reactions forming an ether link in the main chain; Coating compositions based on derivatives of such polymers
    • C09D171/02Polyalkylene oxides
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G65/00Macromolecular compounds obtained by reactions forming an ether link in the main chain of the macromolecule
    • C08G65/02Macromolecular compounds obtained by reactions forming an ether link in the main chain of the macromolecule from cyclic ethers by opening of the heterocyclic ring
    • C08G65/32Polymers modified by chemical after-treatment
    • C08G65/329Polymers modified by chemical after-treatment with organic compounds
    • C08G65/336Polymers modified by chemical after-treatment with organic compounds containing silicon
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/22Polysiloxanes containing silicon bound to organic groups containing atoms other than carbon, hydrogen and oxygen
    • C08G77/24Polysiloxanes containing silicon bound to organic groups containing atoms other than carbon, hydrogen and oxygen halogen-containing groups
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/42Block-or graft-polymers containing polysiloxane sequences
    • C08G77/46Block-or graft-polymers containing polysiloxane sequences containing polyether sequences
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • C09D183/06Polysiloxanes containing silicon bound to oxygen-containing groups
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/10Block or graft copolymers containing polysiloxane sequences
    • C09D183/12Block or graft copolymers containing polysiloxane sequences containing polyether sequences
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D201/00Coating compositions based on unspecified macromolecular compounds
    • C09D201/02Coating compositions based on unspecified macromolecular compounds characterised by the presence of specified groups, e.g. terminal or pendant functional groups
    • C09D201/04Coating compositions based on unspecified macromolecular compounds characterised by the presence of specified groups, e.g. terminal or pendant functional groups containing halogen atoms
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D201/00Coating compositions based on unspecified macromolecular compounds
    • C09D201/02Coating compositions based on unspecified macromolecular compounds characterised by the presence of specified groups, e.g. terminal or pendant functional groups
    • C09D201/10Coating compositions based on unspecified macromolecular compounds characterised by the presence of specified groups, e.g. terminal or pendant functional groups containing hydrolysable silane groups
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D5/00Coating compositions, e.g. paints, varnishes or lacquers, characterised by their physical nature or the effects produced; Filling pastes
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D5/00Coating compositions, e.g. paints, varnishes or lacquers, characterised by their physical nature or the effects produced; Filling pastes
    • C09D5/16Antifouling paints; Underwater paints
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D5/00Coating compositions, e.g. paints, varnishes or lacquers, characterised by their physical nature or the effects produced; Filling pastes
    • C09D5/16Antifouling paints; Underwater paints
    • C09D5/1656Antifouling paints; Underwater paints characterised by the film-forming substance
    • C09D5/1662Synthetic film-forming substance
    • C09D5/1675Polyorganosiloxane-containing compositions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D5/00Coating compositions, e.g. paints, varnishes or lacquers, characterised by their physical nature or the effects produced; Filling pastes
    • C09D5/16Antifouling paints; Underwater paints
    • C09D5/1687Use of special additives
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D7/00Features of coating compositions, not provided for in group C09D5/00; Processes for incorporating ingredients in coating compositions
    • C09D7/40Additives
    • C09D7/60Additives non-macromolecular
    • C09D7/63Additives non-macromolecular organic
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D7/00Features of coating compositions, not provided for in group C09D5/00; Processes for incorporating ingredients in coating compositions
    • C09D7/40Additives
    • C09D7/65Additives macromolecular
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/18Materials not provided for elsewhere for application to surfaces to minimize adherence of ice, mist or water thereto; Thawing or antifreeze materials for application to surfaces

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Wood Science & Technology (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Health & Medical Sciences (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Combustion & Propulsion (AREA)
  • Polyethers (AREA)
  • Paints Or Removers (AREA)
  • Materials Applied To Surfaces To Minimize Adherence Of Mist Or Water (AREA)
  • Silicon Polymers (AREA)
  • Preventing Corrosion Or Incrustation Of Metals (AREA)

Abstract

本發明之課題在於提供一種有益於具有更良好的摩擦耐久性之表面處理層的形成之表面處理劑。

本發明之解決手段為一種表面處理劑,其含有:以式(1a)或(1b)表示之含氟聚醚基的矽烷化合物、以式(2)表示之含胺基的矽烷化合物、以及以式(3)表示之含環氧基的矽烷化合物。式中,各記號係與說明書中的記載為相同涵義。

RF1 α-XA-RSi β (1a)

RSi γ-XA-RF2-XA-RSi γ (1b)

SiRN s1R81 s2R82 s3 (2)

SiRE t1R91 t2R92 t3 (3)

Description

表面處理劑
本揭示係關於表面處理劑。
某類的含氟矽烷化合物為人所知者係於使用在基板的表面處理時,可提供優異的撥水性、撥油性、防污性等。從含有含氟矽烷化合物之表面處理劑所得到之層(以下亦稱為「表面處理層」),係作為所謂機能性薄膜而被施以於例如玻璃、塑膠、纖維、建築資材等各種各樣的基材。
此含氟化合物為人所知者係有於分子主鏈具有全氟聚醚基,並且於分子末端或末端部具有鍵結於Si原子之水解性基之含全氟聚醚基的矽烷化合物(專利文獻1、2)。
[先前技術文獻]
[專利文獻]
[專利文獻1]日本特開2014-218639號公報
[專利文獻2]日本特開2017-082194號公報
對於上述表面處理劑,係要求能夠有助於具有更良好的摩擦耐久性之表面處理層的形成。
本揭示係提供下列[1]至[18]。
[1]
一種表面處理劑,其係含有:
以下述式(1a)或(1b)表示之含氟聚醚基的矽烷化合物,
RF1 α-XA-RSi β (1a)
RSi γ-XA-RF2-XA-RSi γ (1b)
式中:
RF1為Rf1-RF-Oq-;
RF2為-Rf2 p-RF-Oq-;
Rf1於每次出現時各自獨立地為可經1個以上的氟原子取代之C1-16烷基;
Rf2為可經1個以上的氟原子取代之C1-6伸烷基;
RF為2價氟聚醚基;
p為0或1;
q於每次出現時各自獨立地為0或1;
XA各自獨立地為單鍵或2至10價的有機基;
RSi於每次出現時各自獨立地為具有鍵結於羥基或水解性基之Si原子之1價基;
α為1至9的整數;
β為1至9的整數;
γ各自獨立地為1至9的整數;以及
以下述式(2)表示之含胺基的矽烷化合物,
SiRN s1R81 s2R82 s3 (2)
式中:
RN各自獨立地為含有胺基之1價有機基;
R81各自獨立地為羥基或水解性基;
R82各自獨立地為氫原子或1價有機基,惟不含水解性基及胺基;
s1各自獨立地為1至3的整數;
s2各自獨立地為1至3的整數;
s3各自獨立地為0至2的整數;以及
以下述式(3)表示之含環氧基的矽烷化合物,
SiRE t1R91 t2R92 t3 (3)
式中:
RE各自獨立地為含有環氧基之1價有機基;
R91各自獨立地為羥基或水解性基;
R92各自獨立地為氫原子或1價有機基,惟不含水解性基及環氧基;
t1各自獨立地為1至3的整數;
t2各自獨立地為1至3的整數;
t3各自獨立地為0至2的整數。
[2]
一種表面處理劑,其係混合下列矽烷化合物而得到:
以下述式(1a)或(1b)表示之含氟聚醚基的矽烷化合物,
RF1 α-XA-RSi β (1a)
RSi γ-XA-RF2-XA-RSi γ (1b)
式中:
RF1為Rf1-RF-Oq-;
RF2為-Rf2 p-RF-Oq-;
Rf1於每次出現時各自獨立地為可經1個以上的氟原子取代之C1-16烷基;
Rf2為可經1個以上的氟原子取代之C1-6伸烷基;
RF為2價氟聚醚基;
p為0或1;
q於每次出現時各自獨立地為0或1;
XA各自獨立地為單鍵或2至10價的有機基;
RSi於每次出現時各自獨立地為具有鍵結於羥基或水解性基之Si原子之1價基;
α為1至9的整數;
β為1至9的整數;
γ各自獨立地為1至9的整數;以及
以下述式(2)表示之含胺基的矽烷化合物,
SiRN s1R81 s2R82 s3 (2)
式中:
RN各自獨立地為含有胺基之1價有機基;
R81各自獨立地為羥基或水解性基;
R82各自獨立地為氫原子或1價有機基,惟不含水解性基及胺基;
s1各自獨立地為1至3的整數;
s2各自獨立地為1至3的整數;
s3各自獨立地為0至2的整數;以及
以下述式(3)表示之含環氧基的矽烷化合物,
SiRE t1R91 t2R92 t3 (3)
式中:
RE各自獨立地為含有環氧基之1價有機基;
R91各自獨立地為羥基或水解性基;
R92各自獨立地為氫原子或1價有機基,惟不含水解性基及環氧基;
t1各自獨立地為1至3的整數;
t2各自獨立地為1至3的整數;
t3各自獨立地為0至2的整數。
[3]
如[1]或[2]所述之表面處理劑,其中RF於每次出現時各自獨立地為以下述式表示之基,
-(OC6F12)a-(OC5F10)b-(OC4F8)c-(OC3RFa 6)d-(OC2F4)e-(OCF2)f-
式中,
RFa於每次出現時各自獨立地為氫原子、氟原子或氯原子;
a、b、c、d、e及f各自獨立地為0至200的整數,a、b、c、d、e及f之和為1以上,標註a、b、c、d、e或f並以括弧括起之各重複單元的存在順序於式中為任意。
[4]
如[1]至[3]中任一項所述之表面處理劑,其中e相對於f之比未達0.9。
[5]
如[1]至[4]中任一項所述之表面處理劑,其中RF於每次出現時各自獨立地以下述式(f1)、(f2)、(f3)、(f4)或(f5)表示,
-(OC3F6)d- (f1)
式中,d為1至200的整數;
-(OC4F8)c-(OC3F6)d-(OC2F4)e-(OCF2)f- (f2)
式中,c及d各自獨立地為0以上30以下的整數,e及f各自獨立地為1以上200以下的整數,
c、d、e及f之和為2以上,
標註下標c、d、e或f並以括弧括起之各重複單元的存在順序於式中為任意;
-(R6-R7)g- (f3)
式中,R6為OCF2或OC2F4
R7為選自OC2F4、OC3F6、OC4F8、OC5F10及OC6F12之基,或是獨立地選自此等基之2個或3個基的組合,
g為2至100的整數;
-(OC6F12)a-(OC5F10)b-(OC4F8)c-(OC3F6)d-(OC2F4)e-(OCF2)f- (f4)
式中,e為1以上200以下的整數,a、b、c、d及f各自獨立地為0以上200以下的整數,a、b、c、d、e及f之和至少為1,此外,標註a、b、c、d、e或f並以括弧括起之各重複單元的存在順序於式中為任意;
-(OC6F12)a-(OC5F10)b-(OC4F8)c-(OC3F6)d-(OC2F4)e-(OCF2)f- (f5)
式中,f為1以上200以下的整數,a、b、c、d及e各自獨立地為0以上200以下的整數,a、b、c、d、e及f之和至少為1,此外,標註a、b、c、d、e或f並以括弧括起之各重複單元的存在順序於式中為任意。
[6]
如[1]至[5]中任一項所述之表面處理劑,其中RSi為以下述式(S1)、(S2)、(S3)或(S4)表示之基,
Figure 109130066-A0202-12-0007-2
-SiR11 n1R12 3-n1 (S2)
-SiRa1 k1Rb1 l1Rc1 m1 (S3)
-CRd1 k2Re1 l2Rf1 m2 (S4)
式中:
R11於每次出現時各自獨立地為羥基或水解性基;
R12於每次出現時各自獨立地為氫原子或1價有機基;
n1於每個(SiR11 n1R12 3-n1)單元中各自獨立地為0至3的整數;
X11於每次出現時各自獨立地為單鍵或2價有機基;
R13於每次出現時各自獨立地為氫原子或1價有機基;
t於每次出現時各自獨立地為2至10的整數;
R14於每次出現時各自獨立地為氫原子或鹵素原子;
R15於每次出現時各自獨立地為單鍵、氧原子、碳數1至6的伸烷基或碳數1至6的伸烷氧基;
Ra1於每次出現時各自獨立地為-Z1-SiR21 p1R22 q1R23 r1
Z1於每次出現時各自獨立地為氧原子或2價有機基;
R21於每次出現時各自獨立地為-Z1'-SiR21' p1'R22' q1'R23' r1'
R22於每次出現時各自獨立地為羥基或水解性基;
R23於每次出現時各自獨立地為氫原子或1價有機基;
p1於每次出現時各自獨立地為0至3的整數;
q1於每次出現時各自獨立地為0至3的整數;
r1於每次出現時各自獨立地為0至3的整數;
Z1'於每次出現時各自獨立地為氧原子或2價有機基;
R21'於每次出現時各自獨立地為-Z1"-SiR22" q1"R23" r1"
R22'於每次出現時各自獨立地為羥基或水解性基;
R23'於每次出現時各自獨立地為氫原子或1價有機基;
p1'於每次出現時各自獨立地為0至3的整數;
q1'於每次出現時各自獨立地為0至3的整數;
r1'於每次出現時各自獨立地為0至3的整數;
Z1"於每次出現時各自獨立地為氧原子或2價有機基;
R22"於每次出現時各自獨立地為羥基或水解性基;
R23"於每次出現時各自獨立地為氫原子或1價有機基;
q1"於每次出現時各自獨立地為0至3的整數;
r1"於每次出現時各自獨立地為0至3的整數;
Rb1於每次出現時各自獨立地為羥基或水解性基;
Rc1於每次出現時各自獨立地為氫原子或1價有機基;
k1於每次出現時各自獨立地為0至3的整數;
l1於每次出現時各自獨立地為0至3的整數;
m1於每次出現時各自獨立地為0至3的整數;
Rd1於每次出現時各自獨立地為-Z2-CR31 p2R32 q2R33 r2
Z2於每次出現時各自獨立地為單鍵、氧原子或2價有機基;
R31於每次出現時各自獨立地為-Z2'-CR32' q2'R33' r2'
R32於每次出現時各自獨立地為-Z3-SiR34 n2R35 3-n2
R33於每次出現時各自獨立地為氫原子、羥基或1價有機基;
p2於每次出現時各自獨立地為0至3的整數;
q2於每次出現時各自獨立地為0至3的整數;
r2於每次出現時各自獨立地為0至3的整數;
Z2'於每次出現時各自獨立地為單鍵、氧原子或2價有機基;
R32'於每次出現時各自獨立地為-Z3-SiR34 n2R35 3-n2
R33'於每次出現時各自獨立地為氫原子、羥基或1價有機基;
q2'於每次出現時各自獨立地為0至3的整數;
r2'於每次出現時各自獨立地為0至3的整數;
Z3於每次出現時各自獨立地為單鍵、氧原子或2價有機基;
R34於每次出現時各自獨立地為羥基或水解性基;
R35於每次出現時各自獨立地為氫原子或1價有機基;
n2於每次出現時各自獨立地為0至3的整數;
Re1於每次出現時各自獨立地為-Z3-SiR34 n2R35 3-n2
Rf1於每次出現時各自獨立地為氫原子、羥基或1價有機基;
k2於每次出現時各自獨立地為0至3的整數;
l2於每次出現時各自獨立地為0至3的整數;
m2於每次出現時各自獨立地為0至3的整數。
[7]
如[1]至[6]中任一項所述之表面處理劑,其中αβγ為1,XA為2價有機基。
[8]
如[1]至[7]中任一項所述之表面處理劑,其中RN各自獨立地以-RN1-NHRN2(式中,RN1為2價有機基,RN2為氫原子或C1-5烷基)表示。
[9]
如[1]至[8]中任一項所述之表面處理劑,其中RE各自獨立地以-RE1XE(式中,RE1為2價有機基,XE為環氧基或脂環式環氧基)表示。
[10]
如[1]至[9]中任一項所述之表面處理劑,其相對於含氟聚醚基的矽烷化合物100質量份,含有0.5至25質量份之以式(2)表示之含胺基的矽烷化合物。
[11]
如[1]至[10]中任一項所述之表面處理劑,其相對於含氟聚醚基的矽烷化合物100質量份,含有0.5至25質量份之以式(3)表示之含環氧基的矽烷化合物。
[12]
如[1]至[11]中任一項所述之表面處理劑,其中含氟聚醚基的矽烷化合物為以式(1a)表示之化合物。
[13]
如[1]至[12]中任一項所述之表面處理劑,其更含有選自含氟油、聚矽氧油及觸媒之1種以上的其他成分。
[14]
如[1]至[13]中任一項所述之表面處理劑,其更含有溶劑。
[15]
如[1]至[14]中任一項所述之表面處理劑,其係使用作為防污性塗佈劑或防水性塗佈劑。
[16]
如[1]至[15]中任一項所述之表面處理劑,其係濕潤被覆法用。
[17]
一種物品,其包含:基材,以及於該基材的表面藉由如[1至16中任一項所述之表面處理劑所形成之層。
[18]
如[17]所述之物品,其係光學構件。
根據本揭示,可提供一種有助於具有更良好的摩擦耐久性之表面處理層的形成之表面處理劑。
於本說明書中使用之情形下,「1價有機基」意指含有碳之1價基。1價有機基並無特別限定,可為烴基或其衍生物。所謂烴基的衍生物,意指於烴基的末端或分子鏈中具有1個以上的N、O、S、Si、醯胺基、磺醯基、矽氧烷、羰基、羰氧基等之基。在僅顯示為「有機基」之情形下,意指1價有機基。此外,所謂「2至10價的有機基」,意指含有碳之2至10價的基。該2至10價的有機基並無特別限定,可列舉出從有機基中進一步使1至9個氫原子脫離之2至10價的基。例如2價有機基並無特別限定,可列舉出從有機基中進一步使1個氫原子脫離之2價基。
於本說明書中所使用之情形下,「烴基」意指含有碳及氫之基,且係從烴中使1個氫原子脫離之基。該烴基並無特別限定,可列舉出可經1個以上的取代基取代之C1-20烴基,例如脂肪族烴基、芳香族烴基等。上述「脂肪族烴基」可為直鏈狀、分枝鏈狀及環狀中之任一種,且可為飽和及不飽和中之任一種。此外,烴基可含有1個以上的環結構。
於本說明書中所使用之情形下,「烴基」的取代基並無特別限定,例如可列舉出選自鹵素原子、可經1個以上的鹵素原子取代之C1-6烷基、C2-6烯基、C2-6炔基、C3-10環烷基、C3-10不飽和環烷基、5至10員的雜環基、5至10員的不飽和雜環基、C6-10芳基及5至10員的雜芳基中之1個以上的基。
於本說明書中所謂「水解性基」,於本說明書中所使用之情形下意指可接受水解反應之基,亦即意指藉由水解反應可從化合物的主骨架中脫離之基。水解性基的例子可列舉出:-ORh、-OCORh、-O-N=CRh 2、-NRh 2、-NHRh、鹵素(於此等式中,Rh表示取代或非取代的C1-4烷基)等。
(表面處理劑)
本揭示之表面處理劑於一樣態中,係含有:以下述式(1a)或(1b)表示之含氟聚醚基的矽烷化合物,
RF1 α-XA-RSi β (1a)
RSi γ-XA-RF2-XA-RSi γ (1b)
以下述式(2)表示之含胺基的矽烷化合物,
SiRN s1R81 s2R82 s3 (2)
以及以下述式(3)表示之含環氧基的矽烷化合物,
SiRE t1R91 t2R92 t3 (3)
(含氟聚醚基的矽烷化合物)
含氟聚醚基的矽烷化合物係以下述式(1a)或(1b)表示。
RF1 α-XA-RSi β (1a)
RSi γ-XA-RF2-XA-RSi γ (1b)
於上述式(1a)中,RF1於每次出現時各自獨立地為Rf1-RF-Oq-。
於上述式(1b)中,RF2為-Rf2 p-RF-Oq-。
於上述式中,Rf1於每次出現時各自獨立地為可經1個以上的氟原子取代之C1-16烷基。
上述可經1個以上的氟原子取代之C1-16烷基中的「C1-16烷基」可為直鏈或分枝鏈,較佳為直鏈或分枝鏈的C1-6烷基,特別是C1-3烷基,尤佳為直鏈的C1-6烷基,特別是C1-3烷基。
上述Rf1較佳為可經1個以上的氟原子取代之C1-16烷基,尤佳為CF2H-C1-15全氟伸烷基,更佳為C1-16全氟烷基。
上述C1-16全氟烷基可為直鏈或分枝鏈,較佳為直鏈或分枝鏈的C1-6全氟烷基,特別是C1-3全氟烷基,尤佳為直鏈的C1-6全氟烷基,特別是C1-3全氟烷基,具體而言為-CF3、-CF2CF3或-CF2CF2CF3
上述式中,Rf2為可經1個以上的氟原子取代之C1-6伸烷基。
上述可經1個以上的氟原子取代之C1-6伸烷基中的「C1-6伸烷基」可為直鏈或分枝鏈,較佳為直鏈或分枝鏈的C1-3伸烷基,尤佳為直鏈的C1-3伸烷基。
上述Rf2較佳為可經1個以上的氟原子取代之C1-6伸烷基,尤佳為C1-6全氟伸烷基,更佳為C1-3全氟伸烷基。
上述C1-6全氟伸烷基可為直鏈或分枝鏈,較佳為直鏈或分枝鏈的C1-3全氟伸烷基,尤佳為直鏈的C1-3全氟伸烷基,具體而言為-CF2-、-CF2CF2-或-CF2CF2CF2-。
上述式中,p為0或1。於一樣態中p為0,於另一樣態中p為1。
上述式中,q於每次出現時各自獨立地為0或1。於一樣態中q為0,於另一樣態中q為1。
於上述式(1a)及(1b)中,RF於每次出現時各自獨立地為2價氟聚醚基。
RF較佳為以下述式表示之基,
-(OC6F12)a-(OC5F10)b-(OC4F8)c-(OC3RFa 6)d-(OC2F4)e-(OCF2)f-
式中,
RFa於每次出現時各自獨立地為氫原子、氟原子或氯原子;
a、b、c、d、e及f各自獨立地為0至200的整數,a、b、c、d、e及f之和為1以上,標註a、b、c、d、e或f並以括弧括起之各重複單元的存在順序於式中為任意。
RFa較佳為氫原子或氟原子,尤佳為氟原子。
a、b、c、d、e及f較佳可各自獨立地為0至100的整數。
a、b、c、d、e及f之和較佳為5以上,尤佳為10以上,例如為15以上或20以上。a、b、c、d、e及f之和較佳為200以下,尤佳為100以下,更佳為60以下,例如為50以下或30以下。
此等重複單元可為直鏈狀或分枝鏈狀。例如上述重複單元中,-(OC6F12)-可為-(OCF2CF2CF2CF2CF2CF2)-、-(OCF(CF3)CF2CF2CF2CF2)-、-(OCF2CF(CF3)CF2CF2CF2)-、-(OCF2CF2CF(CF3)CF2CF2)-、-(OCF2CF2CF2CF(CF3)CF2)-、-(OCF2CF2CF2CF2CF(CF3))-等。-(OC5F10)-可為-(OCF2CF2CF2CF2CF2)-、-(OCF(CF3)CF2CF2CF2)-、-(OCF2CF(CF3)CF2CF2)-、-(OCF2CF2CF(CF3)CF2)-、-(OCF2CF2CF2CF(CF3))-等。-(OC4F8)-可為- (OCF2CF2CF2CF2)-、-(OCF(CF3)CF2CF2)-、-(OCF2CF(CF3)CF2)-、-(OCF2CF2CF(CF3))-、-(OC(CF3)2CF2)-、-(OCF2C(CF3)2)-、-(OCF(CF3)CF(CF3))-、-(OCF(C2F5)CF2)-及-(OCF2CF(C2F5))-中之任一種。-(OC3F6)-(亦即於上述式中,RFa為氟原子)可為-(OCF2CF2CF2)-、-(OCF(CF3)CF2)-及-(OCF2CF(CF3))-中之任一種。-(OC2F4)-可為-(OCF2CF2)-及-(OCF(CF3))-中之任一種。
於一樣態中,上述重複單元為直鏈狀。藉由將上述重複單元構成為直鏈狀,可提升表面處理層的表面平滑性、摩擦耐久性等。
於一樣態中,上述重複單元為分枝鏈狀。藉由將上述重複單元構成為分枝鏈狀,可增大表面處理層的動摩擦係數。
於一樣態中,RF於每次出現時各自獨立地為以下述式(f1)至(f5)中之任一式表示之基,
-(OC3F6)d- (f1)
式中,d為1至200的整數,
-(OC4F8)c-(OC3F6)d-(OC2F4)e-(OCF2)f- (f2)
式中,c及d各自獨立地為0以上30以下的整數,e及f各自獨立地為1以上200以下的整數,
c、d、e及f之和為2以上,
標註下標c、d、e或f並以括弧括起之各重複單元的存在順序於式中為任意;
-(R6-R7)g- (f3)
式中,R6為OCF2或OC2F4
R7為選自OC2F4、OC3F6、OC4F8、OC5F10及OC6F12之基,或是獨立地選自此等基之2個或3個基的組合,
g為2至100的整數;
-(OC6F12)a-(OC5F10)b-(OC4F8)c-(OC3F6)d-(OC2F4)e-(OCF2)f- (f4)
式中,e為1以上200以下的整數,a、b、c、d及f各自獨立地為0以上200以下的整數,a、b、c、d、e及f之和至少為1,此外,標註a、b、c、d、e或f並以括弧括起之各重複單元的存在順序於式中為任意;
-(OC6F12)a-(OC5F10)b-(OC4F8)c-(OC3F6)d-(OC2F4)e-(OCF2)f- (f5)
式中,f為1以上200以下的整數,a、b、c、d及e各自獨立地為0以上200以下的整數,a、b、c、d、e及f之和至少為1,此外,標註a、b、c、d、e或f並以括弧括起之各重複單元的存在順序於式中為任意。
於上述式(f1)中,d較佳為5至200,尤佳為10至100,更佳為15至50,例如為25至35的整數。上述式(f1)較佳為以-(OCF2CF2CF2)d-或-(OCF(CF3)CF2)d-表示之基,尤佳為以-(OCF2CF2CF2)d-表示之基。
於上述式(f2)中,e及f較佳係各自獨立地為5以上200以下,尤佳為10至200的整數。此外,c、d、e及f之和較佳為5以上,尤佳為10以上,例如可為15以上或20以上。於一樣態中,上述式(f2)較佳為以-(OCF2CF2CF2CF2)c-(OCF2CF2CF2)d-(OCF2CF2)e-(OCF2)f-表示之基。於另一樣態中,式(f2)可為以-(OC2F4)e-(OCF2)f-表示之基。
於上述式(f3)中,R6較佳為OC2F4。於上述式(f3)中,R7較佳為選自OC2F4、OC3F6及OC4F8之基,或是獨立地選自此等基之2個或3個基的組合,尤佳為選自OC3F6及OC4F8之基。獨立地選自OC2F4、OC3F6及OC4F8之2個 或3個基的組合並無特別限定,例如可列舉出:-OC2F4OC3F6-、-OC2F4OC4F8-、-OC3F6OC2F4-、-OC3F6OC3F6-、-OC3F6OC4F8-、-OC4F8OC4F8-、-OC4F8OC3F6-、-OC4F8OC2F4-、-OC2F4OC2F4OC3F6-、-OC2F4OC2F4OC4F8-、-OC2F4OC3F6OC2F4-、-OC2F4OC3F6OC3F6-、-OC2F4OC4F8OC2F4-、-OC3F6OC2F4OC2F4-、-OC3F6OC2F4OC3F6-、-OC3F6OC3F6OC2F4-及-OC4F8OC2F4OC2F4-等。於上述式(f3)中,g較佳為3以上,尤佳為5以上的整數。上述g較佳為50以下的整數。於上述式(f3)中,OC2F4、OC3F6、OC4F8、OC5F10及OC6F12可為直鏈及分枝鏈中之任一種,較佳為直鏈。於此樣態中,上述式(f3)較佳為-(OC2F4-OC3F6)g-或-(OC2F4-OC4F8)g-。
於上述式(f4)中,e較佳為1以上100以下,尤佳為5以上100以下的整數。a、b、c、d、e及f之和較佳為5以上,尤佳為10以上,例如為10以上100以下。
於上述式(f5)中,f較佳為1以上100以下,尤佳為5以上100以下的整數。a、b、c、d、e及f之和較佳為5以上,尤佳為10以上,例如為10以上100以下。
於一樣態中,上述RF為以上述式(f1)表示之基。
於一樣態中,上述RF為以上述式(f2)表示之基。
於一樣態中,上述RF為以上述式(f3)表示之基。
於一樣態中,上述RF為以上述式(f4)表示之基。
於一樣態中,上述RF為以上述式(f5)表示之基。
於上述RF中,e相對於f之比(以下稱為「e/f比」)為0.1以上10以下,較佳為0.2以上5以下,尤佳為0.2至2,更佳為0.2以上1.5以下,又更佳為0.2以上且未達0.9,特佳為0.2以上0.85以下。藉由將e/f比設為10以 下,可進一步提升由該化合物所得到之表面處理層的平滑性、摩擦耐久性及耐化學性(例如相對於人工汗之耐久性)。e/f比愈小,愈可進一步提升表面處理層的平滑性及摩擦耐久性。另一方面,藉由將e/f比設為0.1以上,可進一步提高化合物的穩定性。e/f比愈大,愈可進一步提升化合物的穩定性。在此情形下,f為1以上的整數。
於一樣態中,e/f比較佳為1.0以上,例如1.1以上,可為1.3以上。e/f比較佳為10.0以下、9.0以下,尤佳為5.0以下,更佳為2.0以下,特佳為1.5以下。e/f比例如為1.0至10.0,具體為1.0至5.0,尤其具體為1.0至2.0,更具體為1.0至1.5。
於一樣態中,e/f比可為1.0至1.2的範圍。
e/f比過低時,使用本揭示之表面處理劑所形成之表面處理層(亦即硬化層)的水解性增高,該表面處理層的耐久性有時會降低。e/f比過高時,使用本揭示之表面處理劑所形成之表面處理層的動摩擦係數增高,有時無法得到具有充分的摩擦耐久性之表面處理層。
於上述RF中,e/f比未達0.9,較佳為0.8以下、0.7以下,可為0.65以下。e/f比例如為0.2以上、0.3以上、0.4以上、0.5以上、0.55以上。e/f比例如可列舉出0.2以上且未達0.9,具體為0.4以上0.8以下,尤其具體為0.5以上0.8以下。藉由具有上述e/f比,本揭示之表面處理劑可形成具有更良好的平滑性之表面處理層。
於一樣態中,e/f比可為0.4以上0.7以下,可為0.5以上0.7以下,可為0.55以上0.7以下,可為0.55以上0.65以下。
於上述含氟聚醚基的矽烷化合物中,RF1及RF2部分的數量平均分子量並無特別限定,例如為500至30,000,較佳為1,500至30,000,尤佳為2,000至10,000。於本說明書中,RF1及RF2的數量平均分子量為藉由19F-NMR所測定之值。
於其他樣態中,RF1及RF2部分的數量平均分子量為500至30,000,較佳為1,000至20,000,尤佳為2,000至15,000,更佳為2,000至10,000,例如可為3,000至6,000。
於其他樣態中,RF1及RF2部分的數量平均分子量為4,000至30,000,較佳為5,000至10,000,尤佳可為6,000至10,000。
於上述式(1a)及(1b)中,RSi於每次出現時各自獨立地為具有鍵結於羥基或水解性基之Si原子之1價基。
於較佳樣態中,RSi為以下述式(S1)、(S2)、(S3)或(S4)表示之基,
Figure 109130066-A0202-12-0020-3
-SiR11 n1R12 3-n1 (S2)
-SiRa1 k1Rb1 l1Rc1 m1 (S3)
-CRd1 k2Re1 l2Rf1 m2 (S4)。
上述式中,R11於每次出現時各自獨立地為羥基或水解性基。
R11於每次出現時較佳係各自獨立地為水解性基。
R11於每次出現時較佳係各自獨立地為-ORh、-OCORh、-O-N=CRh 2、-NRh 2、-NHRh或鹵素(於此等式中,Rh表示取代或非取代的C1-4烷基),尤 佳為-ORh(亦即烷氧基)。Rh可列舉出:甲基、乙基、丙基、異丙基、正丁基、異丁基等非取代烷基;氯甲基等取代烷基。此等當中,較佳為烷基,尤其是非取代烷基,尤佳為甲基或乙基。於一樣態中,Rh為甲基,於另一樣態中,Rh為乙基。
上述式中,R12於每次出現時各自獨立地為氫原子或1價有機基。該1價有機基為排除上述水解性基之1價有機基。
於R12中,1價有機基較佳為C1-20烷基,尤佳為C1-6烷基,更佳為甲基。
上述式中,n1於每個(SiR11 n1R12 3-n1)單元中各自獨立地為0至3的整數。惟於RSi為以式(S1)或(S2)表示之基之情形下,式(1a)及式(1b)的末端的RSi部分(以下亦僅稱為式(1a)及式(1b)的「末端部分」)中,至少存在1個n1為1至3之(SiR11 n1R12 3-n1)單元。亦即於該末端部分中,全部的n1不會同時為0。換言之,於式(1a)及式(1b)的末端部分中,至少存在1個鍵結有羥基或水解性基之Si原子。
n1於每個(SiR11 n1R12 3-n1)單元中較佳係各自獨立地為1至3的整數,尤佳為2至3,更佳為3。
上述式中,X11於每次出現時各自獨立地為單鍵或2價有機基。該2價有機基較佳為C1-20伸烷基。該C1-20伸烷基可為直鏈或分枝鏈,較佳為直鏈。
於較佳樣態中,X11於每次出現時各自獨立地為單鍵或直鏈的C1-6伸烷基,較佳為單鍵或直鏈的C1-3伸烷基,尤佳為單鍵或直鏈的C1-2伸烷基,更佳為直鏈的C1-2伸烷基。
上述式中,R13於每次出現時各自獨立地為氫原子或1價有機基。該1價有機基較佳為C1-20烷基。該C1-20烷基可為直鏈或分枝鏈,較佳為直鏈。
於較佳樣態中,R13於每次出現時各自獨立地為氫原子或直鏈的C1-6烷基,較佳為氫原子或直鏈的C1-3烷基,較佳為氫原子或甲基。
上述式中,t於每次出現時各自獨立地為2至10的整數。
於較佳樣態中,t於每次出現時各自獨立地為2至6的整數。
上述式中,R14於每次出現時各自獨立地為氫原子或鹵素原子。該鹵素原子較佳為碘原子、氯原子或氟原子,尤佳為氟原子。於較佳樣態中,R14為氫原子。
上述式中,R15於每次出現時各自獨立地為單鍵、氧原子、碳數1至6的伸烷基或碳數1至6的伸烷氧基。
於一樣態中,R15於每次出現時各自獨立地為氧原子、碳數1至6的伸烷基或碳數1至6的伸烷氧基。
於一樣態中,R15為單鍵。
於一樣態中,式(S1)為下述式(S1-a)。
Figure 109130066-A0202-12-0022-4
式中,R11、R12、R13、X11、及n1與上述式(S1)所記載者為相同涵義;
t1及t2於每次出現時各自獨立地為1以上的整數。
於較佳樣態中,式(S1)為下述式(S1-b)。
Figure 109130066-A0202-12-0023-5
式中,R11、R12、R13、X11、n1及t與上述式(S1)所記載者為相同涵義。
上述式中,Ra1於每次出現時各自獨立地為-Z1-SiR21 p1R22 q1R23 r1
上述Z1於每次出現時各自獨立地為氧原子或2價有機基。下列記載作為Z1之結構的右側係鍵結於(SiR21 p1R22 q1R23 r1)。
於較佳樣態中,Z1為2價有機基。
於較佳樣態中,Z1係不含有與鍵結有Z1之Si原子形成矽氧烷鍵者。亦即於式(S3)中,(Si-Z1-Si)不含矽氧烷鍵。
上述Z1較佳為C1-6伸烷基、-(CH2)z1-O-(CH2)z2-(式中,z1為0至6的整數,例如為1至6的整數,z2為0至6的整數,例如為1至6的整數)或-(CH2)z3-伸苯基-(CH2)z4-(式中,z3為0至6的整數,例如為1至6的整數,z4為0至6的整數,例如為1至6的整數)。該C1-6伸烷基可為直鏈或分枝鏈,較佳為直鏈。此等基例如可經選自氟原子、C1-6烷基、C2-6烯基及C2-6炔基中之1個以上的取代基取代,惟較佳係非取代。
於較佳樣態中,Z1為C1-6伸烷基或-(CH2)z3-伸苯基-(CH2)z4-,較佳為-伸苯基-(CH2)z4-。在Z1為該基之情形下,耐光性,尤其是耐紫外線性可進一步增高。
於其他較佳樣態中,上述Z1為C1-3伸烷基。於一樣態中,Z1可為-CH2CH2CH2-。於其他樣態中,Z1可為-CH2CH2-。
上述R21於每次出現時各自獨立地為-Z1'-SiR21' p1'R22' q1'R23' r1'
上述Z1'於每次出現時各自獨立地為氧原子或2價有機基。以下作為Z1'所述之結構的右側鍵結於(SiR21' p1'R22' q1'R23' r1')。
於較佳樣態中,Z1'為2價有機基。
於較佳樣態中,Z1'係不含有與鍵結有Z1'之Si原子形成矽氧烷鍵者。亦即於式(S3)中,(Si-Z1'-Si)不含矽氧烷鍵。
上述Z2'較佳為C1-6伸烷基、-(CH2)z1'-O-(CH2)z2'-(式中,z1'為0至6的整數,例如為1至6的整數,z2'為0至6的整數,例如為1至6的整數,較佳係z1'與z2'之合計為1以上)或-(CH2)z3'-伸苯基-(CH2)z4'-(式中,z3'為0至6的整數,例如為1至6的整數,z4'為0至6的整數,例如為1至6的整數,較佳係z3'與z4'之合計為1以上)。該C1-6伸烷基可為直鏈或分枝鏈,較佳為直鏈。此等基例如可經選自氟原子、C1-6烷基、C2-6烯基及C2-6炔基之1個以上的取代基取代,惟較佳係非取代。
於較佳樣態中,Z1'為C1-6伸烷基或-(CH2)z3'-伸苯基-(CH2)z4'-,較佳為-伸苯基-(CH2)z4'-。在Z1'為該基之情形下,耐光性,尤其是耐紫外線性可進一步增高。較佳係z3'為0至6的整數,z4'為1至6的整數。
於其他較佳樣態中,上述Z1'為C1-3伸烷基。於一樣態中,Z1'可為-CH2CH2CH2-。於其他樣態中,Z1'可為-CH2CH2-。
上述R21'於每次出現時各自獨立地為-Z1"-SiR22" q1"R23" r1"
上述Z1"於每次出現時各自獨立地為氧原子或2價有機基。以下作為Z1"所述之結構的右側鍵結於(SiR22" q1"R23" r1")。
於較佳樣態中,Z1"為2價有機基。
於較佳樣態中,Z1"係不含有與鍵結有Z1"之Si原子形成矽氧烷鍵者。亦即於式(S3)中,(Si-Z1"-Si)不含矽氧烷鍵。
上述Z1"較佳為C1-6伸烷基、-(CH2)z1"-O-(CH2)z2"-(式中,z1"為0至6的整數,例如為1至6的整數,z2"為0至6的整數,例如為1至6的整數)或-(CH2)z3"-伸苯基-(CH2)z4"-(式中,z3"為0至6的整數,例如為1至6的整數,z4"為0至6的整數,例如為1至6的整數)。該C1-6伸烷基可為直鏈或分枝鏈,較佳為直鏈。此等基例如可經選自氟原子、C1-6烷基、C2-6烯基及C2-6炔基中之1個以上的取代基取代,惟較佳係非取代。
於較佳樣態中,Z1"為C1-6伸烷基或-(CH2)z3"-伸苯基-(CH2)z4"-,較佳為-伸苯基-(CH2)z4"-。在Z1"為該基之情形下,耐光性,尤其是耐紫外線性可進一步增高。
於其他較佳樣態中,上述Z1"為C1-3伸烷基。於一樣態中,Z1"可為-CH2CH2CH2-。於其他樣態中,Z1"可為-CH2CH2-。
上述R22"於每次出現時各自獨立地為羥基或水解性基。
R22"於每次出現時較佳係各自獨立地為水解性基。
R22"於每次出現時較佳係各自獨立地為-ORh、-OCORh、-O-N=CRh 2、-NRh 2、-NHRh或鹵素(於此等式中,Rh表示取代或非取代的C1-4烷基),尤佳為-ORh(亦即烷氧基)。Rh可列舉出:甲基、乙基、丙基、異丙基、正丁基、異丁基等非取代烷基;氯甲基等取代烷基。此等當中,較佳為烷基,尤其是非取代烷基,尤佳為甲基或乙基。於一樣態中,Rh為甲基,於另一樣態中,Rh為乙基。
上述R23"於每次出現時各自獨立地為氫原子或1價有機基。該1價有機基為排除上述水解性基之1價有機基。
於R23"中,1價有機基較佳為C1-20烷基,尤佳為C1-6烷基,更佳為甲基。
上述q1"於每次出現時各自獨立地為0至3的整數,上述r1"於每次出現時各自獨立地為0至3的整數。q1"與r1"之合計於(SiR22" q1"R23" r1")單元中為3。
q1"於每個(SiR22" q1"R23" r1")單元中較佳係各自獨立地為1至3的整數,尤佳為2至3,更佳為3。
上述R22'於每次出現時各自獨立地為羥基或水解性基。
R22'於每次出現時較佳係各自獨立地為水解性基。
R22'於每次出現時較佳係各自獨立地為-ORh、-OCORh、-O-N=CRh 2、-NRh 2、-NHRh或鹵素(於此等式中,Rh表示取代或非取代的C1-4烷基),尤佳為-ORh(亦即烷氧基)。Rh可列舉出:甲基、乙基、丙基、異丙基、正丁基、異丁基等非取代烷基;氯甲基等取代烷基。此等當中,較佳為烷基,尤其是非取代烷基,尤佳為甲基或乙基。於一樣態中,Rh為甲基,於另一樣態中,Rh為乙基。
上述R23'於每次出現時各自獨立地為氫原子或1價有機基。該1價有機基為排除上述水解性基之1價有機基。
於R23'中,1價有機基較佳為C1-20烷基,尤佳為C1-6烷基,更佳為甲基。
上述p1'於每次出現時各自獨立地為0至3的整數,上述q1'於每次出現時各自獨立地為0至3的整數,r1'於每次出現時各自獨立地為0至3的整數。p1'、q1'與r1'之合計於(SiR21' p1'R22' q1'R23' r1')單元中為3。
於一樣態中,p1'為0。
於一樣態中,p1'於每個(SiR21' p1'R22' q1'R23' r1')單元中各自獨立地為1至3的整數,可為2至3的整數或是3。於較佳樣態中,p1'為3。
於一樣態中,q1'於每個(SiR21' p1'R22' q1'R23' r1')單元中各自獨立地為1至3的整數,較佳為2至3的整數,尤佳為3。
於一樣態中,p1'為0,q1'於每個(SiR21' p1'R22' q1'R23' r1')單元中各自獨立地為1至3的整數,較佳為2至3的整數,更佳為3。
上述R22於每次出現時各自獨立地為羥基或水解性基。
R22於每次出現時較佳係各自獨立地為水解性基。
R22於每次出現時較佳係各自獨立地為-ORh、-OCORh、-O-N=CRh 2、-NRh 2、-NHRh或鹵素(於此等式中,Rh表示取代或非取代的C1-4烷基),尤佳為-ORh(亦即烷氧基)。Rh可列舉出:甲基、乙基、丙基、異丙基、正丁基、異丁基等非取代烷基;氯甲基等取代烷基。此等當中,較佳為烷基,尤其是非取代烷基,尤佳為甲基或乙基。於一樣態中,Rh為甲基,於另一樣態中,Rh為乙基。
上述R23於每次出現時各自獨立地為氫原子或1價有機基。該1價有機基為排除上述水解性基之1價有機基。
於R23中,1價有機基較佳為C1-20烷基,尤佳為C1-6烷基,更佳為甲基。
上述p1於每次出現時各自獨立地為0至3的整數,上述q1於每次出現時各自獨立地為0至3的整數,r1於每次出現時各自獨立地為0至3的整數。p1、q1與r1之合計於(SiR21 p1R22 q1R23 r1)單元中為3。
於一樣態中,p1為0。
於一樣態中,p1於每個(SiR21 p1R22 q1R23 r1)單元中各自獨立地為1至3的整數,可為2至3的整數或是3。於較佳樣態中,p1為3。
於一樣態中,q1於每個(SiR21 p1R22 q1R23 r1)單元中各自獨立地為1至3的整數,較佳為2至3的整數,尤佳為3。
於一樣態中,p1為0,q1於每個(SiR21 p1R22 q1R23 r1)單元中各自獨立地為1至3的整數,較佳為2至3的整數,更佳為3。
上述式中,Rb1於每次出現時各自獨立地為羥基或水解性基。
Rb1於每次出現時較佳係各自獨立地為水解性基。
Rb1於每次出現時較佳係各自獨立地為-ORh、-OCORh、-O-N=CRh 2、-NRh 2、-NHRh或鹵素(於此等式中,Rh表示取代或非取代的C1-4烷基),尤佳為-ORh(亦即烷氧基)。Rh可列舉出:甲基、乙基、丙基、異丙基、正丁基、異丁基等非取代烷基;氯甲基等取代烷基。此等當中,較佳為烷基,尤其是非取代烷基,尤佳為甲基或乙基。於一樣態中,Rh為甲基,於另一樣態中,Rh為乙基。
上述式中,Rc1於每次出現時各自獨立地為氫原子或1價有機基。該1價有機基為排除上述水解性基之1價有機基。
於Rc1中,1價有機基較佳為C1-20烷基,尤佳為C1-6烷基,更佳為甲基。
上述k1於每次出現時各自獨立地為0至3的整數,上述l1於每次出現時各自獨立地為0至3的整數,m1於每次出現時各自獨立地為0至3的整數。k1、l1與m1之合計於(SiRa1 k1Rb1 l1Rc1 m1)單元中為3。
於一樣態中,k1於每個(SiRa1 k1Rb1 l1Rc1 m1)單元中各自獨立地為1至3的整數,較佳為2或3,尤佳為3。於較佳樣態中,k1為3。
於上述式(1a)及式(1b)中,於RSi為以式(S3)表示之基之情形下,較佳係於式(1a)及式(1b)的末端部分中至少存在2個鍵結有羥基或水解性基之Si原子。
於較佳樣態中,以式(S3)表示之基較佳係具有:-Z1-SiR22 q1R23 r1(式中,q1為1至3的整數,較佳為2或3,尤佳為3,r1為0至2的整數)、-Z1'-SiR22' q1'R23' r1'(式中,q1'為1至3的整數,較佳為2或3,尤佳為3,r1'為0至2的整數)以及-Z1"-SiR22" q1"R23" r1"(式中,q1"為1至3的整數,較佳為2或3,尤佳為3,r1"為0至2的整數)中之任一種。
於較佳樣態中,於式(S3)中存在有R21'之情形下,於至少1個,較佳為全部的R21'中,q1"為1至3的整數,較佳為2或3,尤佳為3。
於較佳樣態中,於式(S3)中存在有R21之情形下,於至少1個,較佳為全部的R21中,p1'為0,q1'為1至3的整數,較佳為2或3,尤佳為3。
於較佳樣態中,於式(S3)中存在有Ra1之情形下,於至少1個,較佳為全部的Ra1中,p1為0,q1為1至3的整數,較佳為2或3,尤佳為3。
於較佳樣態中,於式(S3)中k1為2或3,較佳為3,p1為0,q1為2或3,較佳為3。
Rd1於每次出現時各自獨立地為-Z2-CR31 p2R32 q2R33 r2
Z2於每次出現時各自獨立地為單鍵、氧原子或2價有機基。以下作為Z2所述之結構的右側鍵結於(CR31 p2R32 q2R33 r2)。
於較佳樣態中,Z2為2價有機基。
上述Z2較佳為C1-6伸烷基、-(CH2)z5-O-(CH2)z6-(式中,z5為0至6的整數,例如為1至6的整數,z6為0至6的整數,例如為1至6的整數)或-(CH2)z7-伸苯基-(CH2)z8-(式中,z7為0至6的整數,例如為1至6的整數,z8為0至6的整數,例如為1至6的整數)。該C1-6伸烷基可為直鏈或分枝鏈,較佳為直鏈。此等基例如可經選自氟原子、C1-6烷基、C2-6烯基及C2-6炔基中之1個以上的取代基取代,惟較佳係非取代。
於較佳樣態中,Z2為C1-6伸烷基或-(CH2)z7-伸苯基-(CH2)z8-,較佳為-伸苯基-(CH2)z8-。在Z2為該基之情形下,耐光性,尤其是耐紫外線性可進一步增高。
於其他較佳樣態中,上述Z2為C1-3伸烷基。於一樣態中,Z2可為-CH2CH2CH2-。於其他樣態中,Z2可為-CH2CH2-。
R31於每次出現時各自獨立地為-Z2'-CR32' q2'R33' r2'
Z2'於每次出現時各自獨立地為單鍵、氧原子或2價有機基。以下作為Z2'所述之結構的右側鍵結於(CR32' q2'R33' r2')。
上述Z2'較佳為C1-6伸烷基、-(CH2)z5'-O-(CH2)z6'-(式中,z5'為0至6的整數,例如為1至6的整數,z6'為0至6的整數,例如為1至6的整數)或-(CH2)z7'-伸苯基-(CH2)z8'-(式中,z7'為0至6的整數,例如為1至6的整數,z8'為0至6的整數,例如為1至6的整數)。該C1-6伸烷基可為直鏈或分枝鏈,較佳為 直鏈。此等基例如可經選自氟原子、C1-6烷基、C2-6烯基及C2-6炔基中之1個以上的取代基取代,惟較佳係非取代。
於較佳樣態中,Z2'為C1-6伸烷基或-(CH2)z7'-伸苯基-(CH2)z8'-,較佳為-伸苯基-(CH2)z8'-。在Z2'為該基之情形下,耐光性,尤其是耐紫外線性可進一步增高。
於其他較佳樣態中,上述Z2'為C1-3伸烷基。於一樣態中,Z2'可為-CH2CH2CH2-。於其他樣態中,Z2'可為-CH2CH2-。
上述R32'於每次出現時各自獨立地為-Z3-SiR34 n2R35 3-n2
上述Z3於每次出現時各自獨立地為單鍵、氧原子或2價有機基。以下作為Z3所述之結構的右側鍵結於(SiR34 n2R35 3-n2)。
於一樣態中,Z3為氧原子。
於一樣態中,Z3為2價有機基。
上述Z3較佳為C1-6伸烷基、-(CH2)z5"-O-(CH2)z6"-(式中,z5"為0至6的整數,例如為1至6的整數,z6"為0至6的整數,例如為1至6的整數)或-(CH2)z7"-伸苯基-(CH2)z8"-(式中,z7"為0至6的整數,例如為1至6的整數,z8"為0至6的整數,例如為1至6的整數)。該C1-6伸烷基可為直鏈或分枝鏈,較佳為直鏈。此等基例如可經選自氟原子、C1-6烷基、C2-6烯基及C2-6炔基中之1個以上的取代基取代,惟較佳係非取代。
於較佳樣態中,Z3為C1-6伸烷基或-(CH2)z7"-伸苯基-(CH2)z8"-,較佳為-伸苯基-(CH2)z8"-。在Z3為該基之情形下,耐光性,尤其是耐紫外線性可進一步增高。
於其他較佳樣態中,上述Z3為C1-3伸烷基。於一樣態中,Z3可為-CH2CH2CH2-。於其他樣態中,Z3可為-CH2CH2-。
上述R34於每次出現時各自獨立地為羥基或水解性基。
上述R34於每次出現時較佳係各自獨立地為水解性基。
上述R34於每次出現時較佳係各自獨立地為-ORh、-OCORh、-O-N=CRh 2、-NRh 2、-NHRh或鹵素(於此等式中,Rh表示取代或非取代的C1-4烷基),尤佳為-ORh(亦即烷氧基)。Rh可列舉出:甲基、乙基、丙基、異丙基、正丁基、異丁基等非取代烷基;氯甲基等取代烷基。此等當中,較佳為烷基,尤其是非取代烷基,尤佳為甲基或乙基。於一樣態中,Rh為甲基,於另一樣態中,Rh為乙基。
上述R35於每次出現時各自獨立地為氫原子或1價有機基。該1價有機基為排除上述水解性基之1價有機基。
於上述R35中,1價有機基較佳為C1-20烷基,尤佳為C1-6烷基,更佳為甲基。
上述式中,n2於每個(SiR34 n2R35 3-n2)單元中各自獨立地為0至3的整數。惟於RSi為以式(S4)表示之基之情形下,於式(1a)及式(1b)的末端部分中至少存在1個n2為1至3之(SiR34 n2R35 3-n2)單元。亦即於該末端部分中,全部的n2不會同時為0。換言之,於式(1a)及式(1b)的末端部分中至少存在1個鍵結有羥基或水解性基之Si原子。
n2於每個(SiR34 n2R35 3-n2)單元中較佳係各自獨立地為1至3的整數,尤佳為2至3,更佳為3。
上述R33'於每次出現時各自獨立地為氫原子、羥基或1價有機基。該1價有機基為排除上述水解性基之1價有機基。
於R33'中,1價有機基較佳為C1-20烷基,尤佳為C1-6烷基,更佳為甲基。
於一樣態中,R33'為羥基。
於其他樣態中,R33'為1價有機基,較佳為C1-20烷基,尤佳為C1-6烷基。
上述q2'於每次出現時各自獨立地為0至3的整數,上述r2'於每次出現時各自獨立地為0至3的整數。q2'與r2'之合計於(CR32' q2'R33' r2')單元中為3。
q2'於每個(CR32' q2'R33' r2')單元中較佳係各自獨立地為1至3的整數,尤佳為2至3,更佳為3。
上述R32於每次出現時各自獨立地為-Z3-SiR34 n2R35 3-n2。該-Z3-SiR34 n2R35 3-n2與上述R32'中的記載者為同義。
上述R33於每次出現時各自獨立地為氫原子、羥基或1價有機基。該1價有機基為排除上述水解性基之1價有機基。
於R33中,1價有機基較佳為C1-20烷基,尤佳為C1-6烷基,更佳為甲基。
於一樣態中,R33為羥基。
於其他樣態中,R33為1價有機基,較佳為C1-20烷基,尤佳為C1-6烷基。
上述p2於每次出現時各自獨立地為0至3的整數,上述q2於每次出現時各自獨立地為0至3的整數,r2於每次出現時各自獨立地為0至3的整數。p2、q2與r2之合計於(CR31 p2R32 q2R33 r2)單元中為3。
於一樣態中,p2為0。
於一樣態中,p2於每個(CR31 p2R32 q2R33 r2)單元中各自獨立地可為1至3的整數,2至3的整數或是3。於較佳樣態中,p2為3。
於一樣態中,q2於每個(CR31 p2R32 a2R33 r2)單元中各自獨立地為1至3的整數,較佳為2至3的整數,尤佳為3。
於一樣態中,p2為0,q2於每個(CR31 p2R32 q2R33 r2)單元中各自獨立地為1至3的整數,較佳為2至3的整數,更佳為3。
上述Re1於每次出現時各自獨立地為-Z3-SiR34 n2R35 3-n2。該-Z3-SiR34 n2R35 3-n2與上述R32'中的記載者為同義。
上述Rf1於每次出現時各自獨立地為氫原子、羥基或1價有機基。該1價有機基為排除上述水解性基之1價有機基。
於上述Rf1中,1價有機基較佳為C1-20烷基,尤佳為C1-6烷基,更佳為甲基。
於一樣態中,Rf1為羥基。
於其他樣態中,Rf1為1價有機基,較佳為C1-20烷基,尤佳為C1-6烷基。
上述k2於每次出現時各自獨立地為0至3的整數,l2於每次出現時各自獨立地為0至3的整數,m2於每次出現時各自獨立地為0至3的整數。k2、l2與m2之合計於(CRd1 k2Re1 l2Rf1 m2)單元中為3。
於一樣態中,在RSi為以式(S4)表示之基之情形下,n2為1至3,較佳為2或3,尤佳為3。(SiR34 n2R35 3-n2)單元於式(1a)及式(1b)的各末端部分中係存在有2個以上,例如為2至27個,較佳為2至9個,尤佳為2至6個,更佳為2至3個,特佳為3個。
於較佳樣態中,於式(S4)中存在有R32'之情形下,於至少1個,較佳為全部的R32'中,n2為1至3的整數,較佳為2或3,尤佳為3。
於較佳樣態中,於式(S4)中存在有R32之情形下,於至少1個,較佳為全部的R32中,n2為1至3的整數,較佳為2或3,尤佳為3。
於較佳樣態中,於式(S4)中存在有Re1之情形下,於至少1個,較佳為全部的Re1中,n2為1至3的整數,較佳為2或3,尤佳為3。
於較佳樣態中,於式(S4)中k2為0,l2為2或3,較佳為3,n2為2或3,較佳為3。
於一樣態中,RSi為以式(S2)、(S3)或(S4)表示之基。
於一樣態中,RSi為以式(S1)、(S3)或(S4)表示之基。
於一樣態中,RSi為以式(S3)或(S4)表示之基。
於一樣態中,RSi為以式(S1)表示之基。
於一樣態中,RSi為以式(S2)表示之基。
於一樣態中,RSi為以式(S3)表示之基。
於一樣態中,RSi為以式(S4)表示之基。
於上述式(1a)及式(1b)中,XA可解釋為連結主要提供撥水性及表面平滑性等之氟聚醚部(RF1及RF2)與提供和基材之鍵結能之部分(RSi)之連結基。因 此,該XA只要可使以式(1a)及式(1b)表示之化合物穩定地存在者即可,可為單鍵或任意基。
於上述式(1a)中,α為1至9的整數,β為1至9的整數。此等αβ可因應XA的價數而變化。αβ之和與XA的價數相同。例如在XA為10價的有機基之情形下,αβ之和為10,例如係可α為9且β為1,α為5且β為5,或α為1且β為9。此外,在XA為2價有機基之情形下,αβ為1。
於上述式(1b)中,γ為1至9的整數。γ可因應XA的價數而變化。亦即,γ係從XA的價數扣除1而得之值。
XA各自獨立地為單鍵或2至10價的有機基。
上述XA中之2至10價的有機基較佳為2至8價的有機基。於一樣態中,該2至10價的有機基較佳為2至4價的有機基,尤佳為2價有機基。於其他樣態中,該2至10價的有機基較佳為3至8價的有機基,尤佳為3至6價的有機基。
於一樣態中,XA為單鍵或2價有機基,α為1,β為1。
於一樣態中,XA為單鍵或2價有機基,γ為1。
於一樣態中,XA為3至6價的有機基,α為1,β為2至5。
於一樣態中,XA為3至6價的有機基,γ為2至5。
於一樣態中,XA為3價的有機基,α為1,β為2。
於一樣態中,XA為3價的有機基,γ為2。
在XA為單鍵或2價有機基之情形下,式(1a)及式(1b)係以下述式(1a')及式(1b')表示,
RF1-XA-RSi (1a’)
RSi-XA-RF2-XA-RSi (1b’)
於一樣態中,XA為單鍵。
於其他樣態中,XA為2價有機基。
於一樣態中,XA例如可列舉出單鍵或是以下述式表示之2價有機基,
-(R51)p5-(X51)q5-
式中:
R51表示-(CH2)s5-或鄰、間或對伸苯基,較佳為-(CH2)s5-,
s5為1至20的整數,較佳為1至6的整數,尤佳為1至3的整數,更佳為1或2,
X51表示-(X52)15-,
X52於每次出現時各自獨立地表示選自由-O-、-S-、鄰、間或對伸苯基、-C(O)O-、-Si(R53)2-、-(Si(R53)2O)m5-Si(R53)2-、-CONR54-、-O-CONR54-、-NR54-及-(CH2)n5-所組成之群組中之基,
R53於每次出現時各自獨立地表示苯基、C1-6烷基或C1-6烷氧基,較佳為苯基或C1-6烷基,尤佳為甲基,
R54於每次出現時各自獨立地表示氫原子、苯基、C1-6烷基(較佳為甲基),
m5於每次出現時各自獨立地為1至100的整數,較佳為1至20的整數,
n5於每次出現時各自獨立地為1至20的整數,較佳為1至6的整數,尤佳為1至3的整數,
l5為1至10的整數,較佳為1至5的整數,尤佳為1至3的整數,
p5為0或1,
q5為0或1,
在此,p5及q5的至少一者為1,標註p5或q5並以括弧括起之各重複單元的存在順序於式中為任意。在此,R51(一般而言為R51的氫原子)可經選自氟原子、C1-3烷基及C1-3氟烷基中之1個以上的取代基取代。於較佳樣態中,R51未經此等基取代。
於較佳樣態中,上述XA各自獨立地為-(R51)p5-(X51)q5-R52-。R52表示單鍵、-(CH2)t5-或鄰、間或對伸苯基,較佳為-(CH2)t5-。t5為1至20的整數,較佳為2至6的整數,尤佳為2至3的整數。在此,R52(一般而言為R52的氫原子)可經選自氟原子、C1-3烷基及C1-3氟烷基中之1個以上的取代基取代。於較佳樣態中,R52未藉由此等基取代。
XA較佳可各自獨立地為:
單鍵、
-C1-20伸烷基、
-R51-X53-R52-、或是
-X54-R52-
式中,R51及R52與上述同義,
X53表示:
-O-、
-S-、
-C(O)O-、
-CONR54-、
-O-CONR54-、
-Si(R53)2-、
-(Si(R53)2O)m5-Si(R53)2-、
-O-(CH2)u5-(Si(R53)2O)m5-Si(R53)2-、
-O-(CH2)u5-Si(R53)2-O-Si(R53)2-CH2CH2-Si(R53)2-O-Si(R53)2-、
-O-(CH2)u5-Si(OCH3)2OSi(OCH3)2-、
-CONR54-(CH2)u5-(Si(R53)2O)m5-Si(R53)2-、
-CONR54-(CH2)u5-N(R54)-、或是
-CONR54-(鄰、間或對伸苯基)-Si(R53)2-
式中,R53、R54及m5與上述同義,
u5為1至20的整數,較佳為2至6的整數,尤佳為2至3的整數;
X54表示:
-S-、
-C(O)O-、
-CONR54-、
-O-CONR54-、
-CONR54-(CH2)u5-(Si(R54)2O)m5-Si(R54)2-、
-CONR54-(CH2)u5-N(R54)-、或是
-CONR54-(鄰、間或對伸苯基)-Si(R54)2-
式中,各記號與上述同義。
上述XA尤佳可各自獨立地為:
單鍵、
-C1-20伸烷基、
-(CH2)s5-X53-、
-(CH2)s5-X53-(CH2)t5-
-X54-、或是
-X54-(CH2)t5-
式中,X53、X54、s5及t5與上述同義。
上述XA尤佳可各自獨立地為:
單鍵、
-C1-20伸烷基、
-(CH2)s5-X53-(CH2)t5-、或是
-X54-(CH2)t5-
式中,各記號與上述同義。
於較佳樣態中,上述XA可各自獨立地為:
單鍵、
-C1-20伸烷基、
-(CH2)s5-X53-、或是
-(CH2)s5-X53-(CH2)t5-
式中,
X53為-O-、-CONR54-或-O-CONR54-,
R54於每次出現時各自獨立地表示氫原子、苯基或C1-6烷基,
s5為1至20的整數,
t5為1至20的整數。
於一樣態中,上述XA各自獨立地為:
單鍵、
-C1-20伸烷基、
-(CH2)s5-O-(CH2)t5-、
-(CH2)s5-(Si(R53)2O)m5-Si(R53)2-(CH2)t5-、
-(CH2)s5-O-(CH2)u5-(Si(R53)2O)m5-Si(R53)2-(CH2)t5-、或是
-Xf5-(CH2)s5-O-(CH2)t5-Si(R53)2-(CH2)u5-Si(R53)2-(CvH2v)-
式中,R53、m5、s5、t5及u5與上述同義,v5為1至20的整數,較佳為2至6的整數,尤佳為2至3的整數。
上述式中,-(CvH2v)-可為直鏈或分枝鏈,例如可為-CH2CH2-、-CH2CH2CH2-、-CH(CH3)-、-CH(CH3)CH2-。
上述XA可各自獨立地經選自氟原子、C1-3烷基及C1-3氟烷基(較佳為C1-3全氟烷基)中之1個以上的取代基取代。於一樣態中,XA為非取代。
上述XA之各式的左側鍵結於RF1或RF2,右側鍵結於RSi
於一樣態中,XA可各自獨立地為-O-C1-6伸烷基之外者。
於其他樣態中,XA例如可列舉出下述基:
Figure 109130066-A0202-12-0042-6
式中,R41各自獨立地為氫原子、苯基、碳數1至6的烷基或C1-6烷氧基,較佳為甲基;
D為選自:
-CH2O(CH2)2-、
-CH2O(CH2)3-、
-CF2O(CH2)3-、
-(CH2)2-、
-(CH2)3-、
-(CH2)4-、
-CONH-(CH2)3-、
-CON(CH3)-(CH2)3-、
-CON(Ph)-(CH2)3-(式中,Ph意指苯基)、以及
Figure 109130066-A0202-12-0043-7
式中,R42各自獨立地表示氫原子、C1-6烷基或C1-6烷氧基,較佳為甲基或甲氧基,尤佳為甲基;
E為-(CH2)n-(n為2至6的整數),
D鍵結於分子主鏈的RF1或RF2,E鍵結於RSi
上述XA的具體例可列舉例如:
單鍵、
-CH2OCH2-、
-CH2O(CH2)2-、
-CH2O(CH2)3-、
-CH2O(CH2)6-、
-CH2O(CH2)3Si(CH3)2OSi(CH3)2(CH2)2-、
-CH2O(CH2)3Si(CH3)2OSi(CH3)2OSi(CH3)2(CH2)2-、
-CH2O(CH2)3Si(CH3)2O(Si(CH3)2O)2Si(CH3)2(CH2)2-、
-CH2O(CH2)3Si(CH3)2O(Si(CH3)2O)3Si(CH3)2(CH2)2-、
-CH2O(CH2)3Si(CH3)2O(Si(CH3)2O)10Si(CH3)2(CH2)2-、
-CH2O(CH2)3Si(CH3)2O(Si(CH3)2O)20Si(CH3)2(CH2)2-、
-CH2OCF2CHFOCF2-、
-CH2OCF2CHFOCF2CF2-、
-CH2OCF2CHFOCF2CF2CF2-、
-CH2OCH2CF2CF2OCF2-、
-CH2OCH2CF2CF2OCF2CF2-、
-CH2OCH2CF2CF2OCF2CF2CF2-、
-CH2OCH2CF2CF2OCF(CF3)CF2OCF2-、
-CH2OCH2CF2CF2OCF(CF3)CF2OCF2CF2-、
-CH2OCH2CF2CF2OCF(CF3)CF2OCF2CF2CF2-、
-CH2OCH2CHFCF2OCF2-、
-CH2OCH2CHFCF2OCF2CF2-、
-CH2OCH2CHFCF2OCF2CF2CF2-、
-CH2OCH2CHFCF2OCF(CF3)CF2OCF2-、
-CH2OCH2CHFCF2OCF(CF3)CF2OCF2CF2-、
-CH2OCH2CHFCF2OCF(CF3)CF2OCF2CF2CF2-、
-CH2OCF2CHFOCF2CF2CF2-C(O)NH-CH2-、
-CH2OCH2(CH2)7CH2Si(OCH3)2OSi(OCH3)2(CH2)2Si(OCH3)2OSi(OCH3)2(CH2)2-、
-CH2OCH2CH2CH2Si(OCH3)2OSi(OCH3)2(CH2)3-、
-CH2OCH2CH2CH2Si(OCH2CH3)2OSi(OCH2CH3)2(CH2)3-、
-CH2OCH2CH2CH2Si(OCH3)2OSi(OCH3)2(CH2)2-、
-CH2OCH2CH2CH2Si(OCH2CH3)2OSi(OCH2CH3)2(CH2)2-、
-(CH2)2-Si(CH3)2-(CH2)2-、
-CH2-、
-(CH2)2-、
-(CH2)3-、
-(CH2)4-、
-(CH2)5-、
-(CH2)6-、
-CO-、
-CONH-、
-CONH-CH2-、
-CONH-(CH2)2-、
-CONH-(CH2)3-、
-CONH-(CH2)6-、
-CON(CH3)-(CH2)3-、
-CON(Ph)-(CH2)3-(式中,Ph意指苯基)、
-CON(CH3)-(CH2)6-、
-CON(Ph)-(CH2)6-(式中,Ph意指苯基)、
-CONH-(CH2)2NH(CH2)3-、
-CONH-(CH2)6NH(CH2)3-、
-OCONH-、
OCONH-CH2
-OCONH-(CH2)2-、
-OCONH-(CH2)3-、
-OCONH-(CH2)6-、
-OCON(CH3)-(CH2)3-、
-OCON(Ph)-(CH2)3-(式中,Ph意指苯基)、
-OCON(CH3)-(CH2)6-、
-OCON(Ph)-(CH2)6-(式中,Ph意指苯基)、
-OCONH-(CH2)2NH(CH2)3-、
-OCONH-(CH2)6NH(CH2)3-、
-CH2O-CONH-(CH2)3-、
-CH2O-CONH-(CH2)6-、
-S-(CH2)3-、
-(CH2)2S(CH2)3-、
-CONH-(CH2)3Si(CH3)2OSi(CH3)2(CH2)2-、
-CONH-(CH2)3Si(CH3)2OSi(CH3)2OSi(CH3)2(CH2)2-、
-CONH-(CH2)3Si(CH3)2O(Si(CH3)2O)2Si(CH3)2(CH2)2-、
-CONH-(CH2)3Si(CH3)2O(Si(CH3)2O)3Si(CH3)2(CH2)2-、
-CONH-(CH2)3Si(CH3)2O(Si(CH3)2O)10Si(CH3)2(CH2)2-、
-CONH-(CH2)3Si(CH3)2O(Si(CH3)2O)20Si(CH3)2(CH2)2-、
-C(O)O-(CH2)3-、
-C(O)O-(CH2)6-、
-CH2-O-(CH2)3-Si(CH3)2-(CH2)2-Si(CH3)2-(CH2)2-、
-CH2-O-(CH2)3-Si(CH3)2-(CH2)2-Si(CH3)2-CH(CH3)-、
-CH2-O-(CH2)3-Si(CH3)2-(CH2)2-Si(CH3)2-(CH2)3-、
-CH2-O-(CH2)3-Si(CH3)2-(CH2)2-Si(CH3)2-CH(CH3)-CH2-、
-OCH2-、
-O(CH2)3-、
-OCFHCF2-、以及
Figure 109130066-A0202-12-0047-8
等。
於另外樣態中,XA各自獨立地為以式:-(R16)x11-(CFR17)y11-(CH2)z11-表示之基。式中,x11、y11及z11各自獨立地為0至10的整數,x11、y11及z11之和為1以上,以括弧括起之各重複單元的存在順序於式中為任意。
上述式中,R16於每次出現時各自獨立地為氧原子、伸苯基、伸咔唑基(Carbazolylene)、-NR18-(式中,R18表示氫原子或有機基)或2價有機基。R18較佳為氧原子或2價極性基。
上述「2價極性基」並無特別限定,可列舉出-C(O)-、-C(=NR19)-及-C(O)NR19-(此等式中,R19表示氫原子或低級烷基)。該「低級烷基」例如為碳數1至6的烷基,例如甲基、乙基、正丙基,此等可經1個以上的氟原子取代。
上述式中,R17於每次出現時各自獨立地為氫原子、氟原子或低級氟烷基,較佳為氟原子。該「低級氟烷基」例如為碳數1至6,較佳為碳數1至3的氟烷基,較佳為碳數1至3的全氟烷基,尤佳為三氟甲基、五氟乙基,更佳為三氟甲基。
於另外樣態中,XA的例子可列舉出下述基:
Figure 109130066-A0202-12-0049-9
式中,
R41各自獨立地為氫原子、苯基、碳數1至6的烷基或C1-6烷氧基,較佳為甲基;
於各XA基中,T中的任意數個為鍵結於分子主鏈的RF1或RF2之下列基:
-CH2O(CH2)2-、
-CH2O(CH2)3-、
-CF2O(CH2)3-、
-(CH2)2-、
-(CH2)3-、
-(CH2)4-、
-CONH-(CH2)3-、
-CON(CH3)-(CH2)3-、
-CON(Ph)-(CH2)3-(式中,Ph意指苯基)、或是
Figure 109130066-A0202-12-0050-10
式中,R42各自獨立地表示氫原子、C1-6烷基或C1-6烷氧基,較佳為甲基或甲氧基,尤佳為甲基,
另外的數個T在鍵結於分子主鏈的RSi而存在之情形下,剩餘的T各自獨立地為甲基、苯基、C1-6烷氧基或自由基捕集基或紫外線吸收基。
自由基捕集基只要是可捕集由光照射所產生之自由基者即可,並無特別限定,例如可列舉出:二苯基酮(Benzophenone)類、苯並三唑(Benzotriazole)類、苯甲酸酯類、柳酸苯酯類、巴豆酸(Crotonic Acid)類、丙二酸酯類、有機丙烯酸酯類、受阻胺類、受阻酚類或三嗪(Triazine)類的殘基。
紫外線吸收基只要是可吸收紫外線者即可,並無特別限定,例如可列舉出:苯並三唑類、羥基二苯基酮類、取代或非取代苯甲酸或柳酸化合物的酯類、丙烯酸酯或肉桂酸烷氧酯類、草醯胺(Oxamide)類、草醯苯胺(Oxanilide)類、苯並噁嗪酮(Benzoxazinone)類、苯並噁唑(Benzoxazole)類的殘基。
於較佳樣態中,較佳的自由基捕集基或紫外線吸收基可列舉出:
Figure 109130066-A0202-12-0050-11
於此樣態中,XA可各自獨立地為3至10價的有機基。
於另外樣態中,XA的例子可列舉出下述基:
Figure 109130066-A0202-12-0051-12
式中,R25、R26及R27各自獨立地為2至6價的有機基,R25鍵結於至少1個RF1,R26及R27各自鍵結於至少1個RSi
於一樣態中,上述R25為單鍵、C1-20伸烷基、C3-20伸環烷基、C5-20伸芳基、-R57-X58-R59-、-X58-R59-或-R57-X58-。上述R57及R59各自獨立地為單鍵、C1-20伸烷基、C3-20伸環烷基或C5-20伸芳基。上述X58為-O-、-S、-CO-、-O-CO-或-COO-。
於一樣態中,上述R26及R27各自獨立地為烴,或是於烴的端部或主鏈中具有選自N、O及S的至少1個原子之基,較佳可列舉出C1-6烷基、-R36-R37-R36-、-R36-CHR38 2-等。在此,R36各自獨立地為單鍵或碳數1至6的烷基,較佳為碳數1至6的烷基。R37為N、O或S,較佳為N或O。R38為-R45-R46-R45-、-R46-R45-或-R45-R46-。在此,R45各自獨立地為碳數1至6的烷基。R46為N、O或S,較佳為O。
於此樣態中,XA可各自獨立地為3至10價的有機基。
於一樣態中,R25、R26及R27各自獨立地為2價有機基,R25鍵結於RF1,R26及R27各自鍵結於RSi。於此樣態中,XA為3價的有機基,亦即於本樣態中,式(1a)中α為1,β為2,於式(1b)中γ為2。各記號各自與上述同義。
於一樣態中,含氟聚醚基的矽烷化合物(有時稱為化合物(1-a))為以式(1a)表示之化合物;
RF1以Rf1-RF-Oq-表示;
Rf1為C1-16全氟烷基,較佳為直鏈的C1-6全氟烷基,尤佳為C1-3全氟烷基,例如為CF3CF2基;
RF各自獨立地為以式(f2)、(f4)或(f5)表示之基,較佳為以式(f5)表示之基,例如可為以-(OC2F4)e-(OCF2)f-表示之基;
e/f比可為1.0至2.0,較佳為1.0至1.5,尤佳為1.0至1.2;
p為0或1;
XA,為以下列基表示;
Figure 109130066-A0202-12-0052-13
式中,R25至少鍵結於1個RF1,R26及R27各自至少鍵結於1個RSi
R25為-R57-X58-R59-、-X58-R59-或-R57-X58-,較佳為-R57-X58-;
R57及R59各自獨立地為-R56-或-R56-O-R56-,較佳為-R56-O-R56-;
R56於每次出現時各自獨立地為C1-3伸烷基,例如為亞甲基;
X58為-CO-、-O-CO-或-COO-,較佳為-CO-;
上述R26及R27各自獨立地為C1-6伸烷基,例如為C3伸烷基;
RSi為以式(S2)表示:
-SiR11 n1R12 3-n1 (S2)
於式(S2)中,R11、R12及n1與上述同義;
n1較佳為3。
於一樣態中,含氟聚醚基的矽烷化合物(有時稱為化合物(1-b))為以式(1a)或(1b),較佳為以式(1a)表示之化合物;
RF1以Rf1-RF-Oq-表示;
RF2以-Rf2 p-RF-Oq-表示;
Rf1為可經1個以上的氟原子取代之C1-6伸烷基,較佳為C1-16全氟烷基,尤佳為直鏈的C1-6全氟烷基,更佳為C1-3全氟烷基;
Rf2為C1-6全氟伸烷基,更佳為C1-3全氟伸烷基;
RF各自獨立地為以式(f2)、(f4)或(f5)表示之基,較佳為式(f5)表示之基,例如可為以-(OC2F4)e-(OCF2)f-表示之基;
e/f比為0.2以上且未達0.9,較佳為0.4以上0.8以下,例如可為0.5以上0.7以下、0.55以上0.7以下、0.55以上0.65以下。
p為0或1,q為0或1;
XA以C1-20伸烷基、-(CH2)s5-X53-或-(CH2)s5-X53-(CH2)t5-表示;
X53為-O-、-CONR54-或-O-CONR54-,較佳為-CONR54-;
R54於每次出現時各自獨立地表示氫原子、苯基或C1-6烷基;
s5為1至20的整數;
t5為1至20的整數;
RSi為以式(S3)表示;
於式(S3)中,較佳係k1為2或3,尤佳為3;p1為0;q1較佳為2或3,尤佳為3。
於一樣態中,含氟聚醚基的矽烷化合物(有時稱為化合物(1-c))為以式(1a)或(1b),較佳為以式(1a)表示之化合物;
RF1以Rf1-RF-Oq-表示;
RF2以-Rf2 p-RF-Oq-表示;
Rf1為可經1個以上的氟原子取代之C1-6伸烷基,較佳為C1-16全氟烷基,尤佳為直鏈的C1-6全氟烷基,更佳為C1-3全氟烷基;
Rf2為C1-6全氟伸烷基,更佳為C1-3全氟伸烷基;
RF各自獨立地為以式(f2)、(f4)或(f5)表示之基,較佳為式(f5)表示之基,例如可為以-(OC2F4)e-(OCF2)f-表示之基;
e/f比為0.2以上且未達0.9,較佳為0.4以上0.8以下,例如可為0.5以上0.7以下、0.55以上0.7以下、0.55以上0.65以下。
p為0或1,q為0或1;
XA以C1-20伸烷基、-(CH2)s5-X53-或-(CH2)s5-X53-(CH2)t5-表示;
X53為-O-、-CONR54-或-O-CONR54-,較佳為-CONR54-;
R54於每次出現時各自獨立地表示氫原子、苯基或C1-6烷基;
s5為1至20的整數;
t5為1至20的整數;
RSi為以式(S4)表示;
於式(S4)中,k2為0;l2較佳為2或3,尤佳為3;n2較佳為2或3,尤佳為3。
於一樣態中,含氟聚醚基的矽烷化合物為以式(1a)表示之化合物。
於一樣態中,含氟聚醚基的矽烷化合物為以式(1b)表示之化合物。
於一樣態中,本揭示之表面處理劑中,含氟聚醚基的矽烷化合物為以式(1a)表示之化合物以及以式(1b)表示之化合物。
本揭示之表面處理劑中,相對於以式(1a)表示之化合物與以式(1b)表示之化合物之合計,以式(1b)表示之化合物較佳為0.1莫耳%以上35莫耳%以下。以式(1b)表示之化合物相對於以式(1a)表示之化合物與以式(1b)表示之化合物之合計之含量的下限,較佳為0.1莫耳%,尤佳為0.2莫耳%,更佳為0.5莫耳%,更佳為1莫耳%,特佳為2莫耳%,特佳可為5莫耳%。以式(1b)表示之化合物相對於以式(1a)表示之化合物與以式(1b)表示之化合物之合計之含量的上限,較佳為35莫耳%,尤佳為30莫耳%,更佳為20莫耳%,特佳可為15莫耳%或10莫耳%。以式(1b)表示之化合物相對於以式(1a)表示之化合物與以式(1b)表示之化合物之合計,較佳為0.1莫耳%以上30莫耳%以下,尤佳為0.1莫耳%以上20莫耳%以下,更佳為0.2莫耳%以上10莫耳%以下,又更佳為0.5莫耳%以上10莫耳%以下,特佳為1莫耳%以上10莫耳%以下,例如為2莫耳%以上10莫耳%以下或5莫耳%以上10莫耳%以下。藉由將以式(1b)表示之化合物設為該範圍,可進一步提升所形成之表面處理層的摩擦耐久性。
以上述式(1a)或(1b)表示之化合物例如可藉由上述專利文獻1、專利文獻2等所記載之方法來得到。
(含胺基的矽烷化合物)
含胺基的矽烷化合物為含有至少1個胺基之矽烷化合物,且以式(2)表示。
SiRN s1R81 s2R82 s3 (2)
上述RN各自獨立地為含有胺基之1價有機基。上述RN可具有至少1個胺基(例如1至3個胺基),例如含有1個胺基。
於RN中,胺基較佳係存在於至少RN的末端部分。例如RN僅具有1個胺基且該胺基可僅存在於RN的末端部分。在此所謂末端部分,係表示於RN中相距於與Si原子鍵結之部分為最遠的部分。
亦即,RN較佳係各自獨立地以-RN1-NHRN2表示。
上述RN1為2價有機基。
RN1可為直鏈狀或具有分枝結構或是具有環結構。
於一樣態中,RN1為直鏈狀。
於RN1中,2價有機基較佳係以-(RN11)s11-(XN11)s12-表示。式中,RN11各自獨立地為C1-5伸烷基;XN11各自獨立地為-NH-、-O-、-C(=O)-或-S-;s11為0至4的整數,s12為0至4的整數;s11及s12的合計為1以上。在此,標註s11及s12並以括弧括起之重複單元的存在順序於式中為任意。
於一樣態中,RN1係以-RN11-表示。在此,RN1為C1-5伸烷基,尤佳為C1-3伸烷基,例如為伸丙基(更具體而言為伸正丙基)。
於一樣態中,RN1係以-RN11-XN11-RN11-表示。RN11及XN11與上述同義,XN11較佳為-O-、-C(=O)-或-S-。
上述RN2為氫原子或C1-5烷基,較佳為氫原子或C1-4烷基,尤佳為氫原子。
上述R81各自獨立地為羥基或水解性基,較佳為水解性基。水解性基可列舉出:-ORh、-OCORh、-O-N=CRh 2、-NRh 2、-NHRh、鹵素(此等式中,Rh表示取代或非取代的C1-4烷基)等。
上述R82各自獨立地為氫原子或1價有機基。惟R82不含水解性基及胺基。
於一樣態中,R82為氫原子。
於一樣態中,R82為1價有機基。
R82可為直鏈狀或具有分枝結構或是具有環狀結構,惟較佳為直鏈狀。R82較佳為C1-3烷基,尤佳為甲基。
於R82中,1價有機基,具體而言為鍵結於碳原子之氫原子可經鹵素原子來取代。在此,鹵素原子亦即為氟原子、氯原子、溴原子或碘原子,較佳為氟原子或氯原子。
於一樣態中,於R82中,1價有機基未經鹵素原子取代。
s1各自獨立地為1至3的整數;s2各自獨立地為1至3的整數;s3各自獨立地為0至2的整數。惟s1、s2及s3之合計為4。
較佳者,s1為1或2;s2為2或3;s3為0或1。
於一樣態中,s1為1;s2為2;s3為1。
於一樣態中,s1為1;s2為3;s3為0。
於一樣態中,以式(2)表示之化合物於分子結構中不含氟聚醚基,具體而言,不含以RF表示之基。
於一樣態中,以式(2)表示之化合物於分子結構中不含氟原子。
以式(2)表示之化合物較佳於分子結構中僅具有1個Si原子。
以式(2)表示之化合物並無特別限定,例如可列舉出下列化合物。
3-胺丙基三甲氧矽烷,
3-胺丙基三乙氧矽烷,
3-胺丙基二甲氧基甲矽烷,
3-胺丙基二乙氧基甲矽烷。
(含環氧基的矽烷化合物)
含環氧基的矽烷化合物為含有至少1個環氧基之矽烷化合物,且以式(3)表示。
SiRE t1R91 t2R92 t3 (3)
上述RE各自獨立地為含有環氧基之1價有機基。上述RE可具有至少1個環氧基(例如1至2個環氧基),較佳含有1個環氧基。
RE中,1價有機基與上述同義。
於RE中,環氧基較佳係至少存在於RE的末端部分,尤佳僅存在於RE的末端部分。在此所謂末端部分,係表示於RE中相距於與Si原子鍵結之部分為最遠的部分。
亦即,RE較佳係各自獨立地以-RE1XE表示。
上述XE為環氧基或脂環式環氧基。
所謂上述脂環式環氧基,係具有3員環的環氧基以及與該3員環不同之環結構。亦即,脂環式環氧基是氧原子及2個碳原子構成3員的環結構(亦即環氧基),並且3員環以及與該3員環不同之環結構係共享上述3員環所含有之2個碳原子而鍵結。該其他的環結構例如可列舉出5至10員的環結構、5至7員的環結構等。
脂環式環氧基例如可列舉出環氧環己基。
XE較佳為環氧基。
上述RE1為2價有機基。2價有機基與上述同義。
RE1可為直鏈狀或具有分枝結構或是具有環結構。
於一樣態中,RE1為直鏈狀。
於上述RE1中,2價有機基較佳係以-(RE11)t11-(XE11)t12-表示。式中,RE11各自獨立地為C1-5伸烷基;XE11各自獨立地為-NH-、-O-或-C(=O)-;t11為0至4的整數,t12為0至4的整數;t11及t12之合計為1以上。在此,標註t11及t12並以括弧括起之重複單元的存在順序於式中為任意。於本說明書中,記載作為RE1之結構的左側鍵結於Si原子,右側鍵結於環氧基。
於一樣態中,RE1係以-RE11-XE11-RE11-表示。RE11及XE11各自與上述同義。
於本樣態中,較佳者係XE11為-O-,RE11各自獨立地為C1-3伸烷基。例如RE1為-(CH2)3-O-(CH2)-。
上述R91各自獨立地為羥基或水解性基,較佳為水解性基。水解性基可列舉出-ORh、-OCORh、-O-N=CRh 2、-NRh 2、-NHRh、鹵素(此等式中,Rh表示取代或非取代的C1-4烷基)等。
上述R92各自獨立地為氫原子或1價有機基。惟R92不含水解性基及環氧基。
於一樣態中,R92為氫原子。
於一樣態中,R92為1價有機基。
於R92中,1價有機基例如可列舉出C1-4烷基。此等結構可為直鏈狀或具有分枝結構或是具有環狀結構,惟較佳為直鏈狀。R92較佳為C1-3烷基,尤佳為甲基。
於R92中,1價有機基,具體而言為鍵結於碳原子之氫原子,可經鹵素原子取代。在此,鹵素原子亦即為氟原子、氯原子、溴原子或碘原子,較佳為氟原子或氯原子。
於一樣態中,於R92中,1價有機基未經鹵素原子取代。
t1各自獨立地為1至3的整數;t2各自獨立地為1至3的整數;t3各自獨立地為0至2的整數。惟t1、t2及t3之合計為4。
較佳者,t1為1或2;t2為2或3;t3為0或1。
於一樣態中,t1為1;t2為3;t3為0。
於一樣態中,t1為1;t2為2;t3為1。
於一樣態中,以式(3)表示之化合物於分子結構中不含氟聚醚基,具體而言,不含以RF表示之基。
於一樣態中,以式(3)表示之化合物於分子結構中不含氟原子。
以式(3)表示之化合物較佳於分子結構中僅具有1個Si原子。
以式(3)表示之化合物並無特別限定,例如可列舉出下列化合物。
Figure 109130066-A0202-12-0060-15
如上述般,本揭示之表面處理劑的特徵在於含有:以式(1a)或(1b)表示之含氟聚醚基的矽烷化合物,以及以式(2)表示之含胺基的矽烷化合物及以式(3)表示之含環氧基的矽烷化合物。本揭示之表面處理劑係有助於例如撥水性、撥油性、抗污性(例如防止指紋等之髒污的附著)、防水性(防止水往電子零件等之滲入)、表面平滑性(或潤滑性,例如指紋等之髒污的拭除性,或是對手指之優異觸感)、摩擦耐久性等為良好之表面處理層的形成。
於本揭示之表面處理劑中,係可考量如下。惟本揭示並不受限於下列理論。
本揭示之表面處理劑所含有之化合物的水解性基或OH部分可形成交聯結構。此外,上述水解性基或OH部分可與基材鍵結。其結果可考量為藉由使用本揭示之表面處理劑,可使表面處理層與基材之密著性達到良好,並且可形成高密度的含氟表面處理層,撥水性、撥油性、抗污性(例如防止指紋等之髒污的附著)、防水性(防止水往電子零件等之滲入)、表面平滑性等之表面處理層的物性亦達到良好。
於一樣態中,於含胺基的矽烷化合物中,
RN以-RN1-NH2表示;
RN1為C1-3伸烷基,例如為伸正丙基;
s1為1,s2為2,s3為1,或是s1為1,s2為3,s3為0;
於含環氧基的矽烷化合物中,
RE以-RE1XE表示;
XE為環氧基;
RE1以-RE11-XE11-RE11-表示;
RE11各自獨立地為C1-3伸烷基;
XE11為-O-;
RE1較佳為-C1-3伸烷基-O-CH2-;
t1為1,t2為3,t3為0,或是t1為1,t2為2,t3為1,較佳者係t1為1,t2為3,t3為0。
於一樣態中,於含氟聚醚基的矽烷化合物中,
該矽烷化合物係選自由化合物(1-a)、化合物(1-b)及化合物(1-c)所組成之群組中的至少1個;
於含胺基的矽烷化合物中,
RN以-RN1-NH2表示;
RN1為C1-3伸烷基,例如為伸正丙基;
s1為1,s2為2,s3為1,或是s1為1,s2為3,s3為0;
於含環氧基的矽烷化合物中,
RE以-RE1XE表示;
XE為環氧基;
RE1以-RE11-XE11-RE11-表示;
RE11各自獨立地為C1-3伸烷基;
XE11為-O-;
RE1較佳為-C1-3伸烷基-O-CH2-;
t1為1,t2為3,t3為0,或是t1為1,t2為2,t3為1,較佳係t1為1,t2為3,t3為0。本樣態之表面處理劑所含有之含氟聚醚基的矽烷化合物可具有氟聚醚基經收縮之形狀。藉由將此含氟聚醚基的矽烷化合物連同含胺基的矽烷化 合物及含環氧基的矽烷化合物來使用,含氟聚醚基可更高密度地存在,所形成之表面處理劑的物性可變得更良好。
於一樣態中,於含氟聚醚基的矽烷化合物中,
該矽烷化合物為以式(1a)或(1b)表示之化合物,較佳為以(1a)表示之化合物;
RF各自獨立地為以式(f2)、(f4)或(f5)表示之基,較佳為以式(f5)表示之基,例如可為以-(OC2F4)e-(OCF2)f-表示之基;
e/f比為0.2以上且未達0.9,較佳為0.4以上0.8以下,例如可為0.5以上0.7以下、0.55以上0.7以下、0.55以上0.65以下;
αβγ為1;
XA以C1-20伸烷基、-(CH2)s5-X53-或-(CH2)s5-X52-(CH2)t5-表示;
X53為-O-、-CONR54-或-O-CONR54-,較佳為-CONR54-;
R54於每次出現時各自獨立地表示氫原子、苯基或C1-6烷基;
s5為1至20的整數,t5為1至20的整數;
RSi以式(S1)、(S2)、(S3)或(S4)表示,較佳為以式(S3)或(S4)表示;
於式(S3)中,較佳者係k1為2或3,尤佳為3;p1為0;q1較佳為2或3,尤佳為3;
於式(S4)中,k1為0;l2較佳為2或3,尤佳為3;n2較佳為2或3,尤佳為3;
於含胺基的矽烷化合物中,
RN以-RN1-NH2表示;
RN1為C1-3伸烷基,例如為伸正丙基;
s1為1,s2為2,s3為1,或是s1為1,s2為3,s3為0;
於含環氧基的矽烷化合物中,
RE以-RE1XE表示;
XE為環氧基;
RE1以-RE11-XE11-RE11-表示;
RE11各自獨立地為C1-3伸烷基;
XE11為-O-;
RE1較佳為-C1-3伸烷基-O-CH2-;
t1為1,t2為3,t3為0,或是t1為1,t2為2,t3為1,較佳係t1為1,t2為3,t3為0。本樣態之表面處理劑所含有之含氟聚醚基的矽烷化合物可具有含氟聚醚基經收縮之形狀。藉由將此含氟聚醚基的矽烷化合物連同含胺基的矽烷化合物及含環氧基的矽烷化合物來使用,含氟聚醚基可更高密度地存在,所形成之表面處理劑的物性可變得更良好。
於一樣態中,本揭示之表面處理劑相對於固形份100質量份,較佳係含有50至99質量份之以式(1a)表示之含氟聚醚基的矽烷化合物及以式(1b)表示之含氟聚醚基的矽烷化合物。本揭示之表面處理劑相對於固形份100質量份,尤佳含有70質量份以上,更佳含有80質量份以上,特佳含有90質量份以上之以式(1a)表示之含氟聚醚基的矽烷化合物及以式(1b)表示之含氟聚醚基的矽烷化合物。
於本說明書中,「固形份」為以式(1a)表示之含氟聚醚基的矽烷化合物及以式(1b)表示之含氟聚醚基的矽烷化合物、以式(2)表示之含胺基的矽烷化合物、以及以式(3)表示之含環氧基的矽烷化合物。
於一樣態中,本揭示之表面處理劑相對於固形份100質量份,較佳係含有80至99質量份,尤佳含有90至99質量份之以式(1a)表示之含氟聚醚基的矽烷化合物及以式(1b)表示之含氟聚醚基的矽烷化合物。
於一樣態中,本揭示之表面處理劑相對於含氟聚醚基的矽烷化合物100質量份,較佳係含有0.5至25質量份之以式(2)表示之含胺基的矽烷化合物。本揭示之表面處理劑相對於含氟聚醚基的矽烷化合物100質量份,尤佳含有15質量份以下,更佳含有5質量份以下之以式(2)表示之含胺基的矽烷化合物。
於一樣態中,本揭示之表面處理劑相對於含氟聚醚基的矽烷化合物100質量份,較佳含有0.5至15質量份,尤佳含有0.5至5質量份之以式(2)表示之含胺基的矽烷化合物。
於一樣態中,本揭示之表面處理劑相對於含氟聚醚基的矽烷化合物100質量份,較佳係含有0.5至25質量份之以式(3)表示之含環氧基的矽烷化合物。本揭示之表面處理劑相對於含氟聚醚基的矽烷化合物100質量份,尤佳含有15質量份以下,更佳含有5質量份以下之以式(3)表示之含環氧基的矽烷化合物。
於一樣態中,本揭示之表面處理劑相對於含氟聚醚基的矽烷化合物100質量份,較佳含有0.5至15質量份,尤佳含有0.5至5質量份之以式(3)表示之含環氧基的矽烷化合物。
於一樣態中,本揭示之表面處理劑相對於含氟聚醚基的矽烷化合物100質量份,較佳係含有:
50至99質量份之以式(1a)表示之含氟聚醚基的矽烷化合物及以式(1b)表示之含氟聚醚基的矽烷化合物;
0.5至25質量份之以式(2)表示之含胺基的矽烷化合物;
0.5至25質量份之以式(3)表示之含環氧基的矽烷化合物;
尤佳含有:
70至99質量份之以式(1a)表示之含氟聚醚基的矽烷化合物及以式(1b)表示之含氟聚醚基的矽烷化合物;
0.5至15質量份之以式(2)表示之含胺基的矽烷化合物;
0.5至15質量份之以式(3)表示之含環氧基的矽烷化合物;
更佳含有:
90至99質量份之以式(1a)表示之含氟聚醚基的矽烷化合物及以式(1b)表示之含氟聚醚基的矽烷化合物;
0.5至5質量份之以式(2)表示之含胺基的矽烷化合物;
0.5至5質量份之以式(3)表示之含環氧基的矽烷化合物。
於一樣態中,本揭示之表面處理劑相對於表面處理劑100質量份,較佳係含有60質量份以下,尤佳含有40質量份以下,更佳含有20質量份以下之固形份;例如可含有0.01質量份以上,亦可含有0.1質量份以上,還可含有5質量份以上。
於一樣態中,本揭示之表面處理劑相對於表面處理劑100質量份,較佳係含有0.01至60質量份,尤佳含有0.01至40質量份,更佳含有0.1至20質量份之固形份。
於一樣態中,本揭示之表面處理劑相對於表面處理劑100質量份,較佳係含有5至60質量份,尤佳含有5至40質量份,更佳含有5至20質量份之固形份。
於一樣態中,本揭示之表面處理劑相對於以式(2)表示之含胺基的矽烷化合物100質量份,可含有50質量份以上之以式(3)表示之含環氧基的矽烷化合物,亦可含有80質量份以上,還可含有100質量份以上,更可含有150質量份以上;例如可含有125質量份以下。
於一樣態中,本揭示之表面處理劑相對於以式(2)表示之含胺基的矽烷化合物100質量份,可含有50至125質量份之以式(3)表示之含環氧基的矽烷化合物,亦可含有80至125質量份,還可含有100至125質量份。
藉由本揭示之表面處理劑所形成之表面處理層中所含有之原子,例如氫原子、碳原子、氟原子、矽原子等之含量,例如可使用NMR法、紅外線分光光譜分析法來測定。
於一樣態中,於本揭示之表面處理劑中,含氟聚醚基的矽烷化合物、含胺基的矽烷化合物及含環氧基的矽烷化合物,其至少一部分可於此等化合物之間反應。例如,含氟聚醚基的矽烷化合物可與含胺基的矽烷化合物及/或含環氧基的矽烷化合物反應;含胺基的矽烷化合物可與含環氧基的矽烷化合物反應;亦可在含氟聚醚基的矽烷化合物間、含胺基的矽烷化合物間或是含環氧基的矽烷化合物間反應。
亦即於本樣態中,表面處理劑可含有:以式(1a)或(1b)表示之含氟聚醚基的矽烷化合物、以式(2)表示之含胺基的矽烷化合物、以及以式(3)表示之含環氧基的矽烷化合物;並且可含有:選自由該含氟聚醚基的矽烷化合物、該含胺基的 矽烷化合物及該含環氧基的矽烷化合物所組成之群組中之化合物間的反應生成物。
換言之,於本揭示之表面處理劑中,亦可含有:含氟聚醚基的矽烷化合物、含胺基的矽烷化合物及含環氧基的矽烷化合物反應而得到者。亦即,本揭示之表面處理劑可為混合下列化合物而得到之表面處理劑,
以下述式(1a)或(1b)表示之含氟聚醚基的矽烷化合物,
RF1 α-XA-RSi β (1a)
RSi γ-XA-RF2-XA-RSi γ (1b)
以下述式(2)表示之含胺基的矽烷化合物,以及
SiRN s1R81 s2R82 s3 (2)
以下述式(3)表示之含環氧基的矽烷化合物,
SiRE t1R91 t2R92 t3 (3)
本揭示之表面處理劑可更含有:溶劑、可理解為含氟油之(非反應性的)氟聚醚化合物,較佳為全氟(聚)醚化合物(以下總稱為「含氟油」)、可理解為聚矽氧油之(非反應性的)聚矽氧化合物(以下稱為「聚矽氧油」)、觸媒、界面活性劑、聚合抑制劑、敏化劑等。
上述溶劑例如可列舉出:己烷、環己烷、庚烷、辛烷、壬烷、癸烷、十一烷、十二烷、礦油精等脂肪族烴類;苯、甲苯、二甲苯、萘、溶劑油等芳香族烴類;乙酸甲酯、乙酸乙酯、乙酸丙酯、乙酸正丁酯、乙酸異丙酯、乙酸異丁酯、乙酸溶纖劑、丙二醇單甲醚乙酸酯、乙酸卡必醇酯、草酸二乙酯、丙酮酸乙酯、乙基-2-羥基丁酸酯、乙基乙醯乙酸酯、乙酸戊酯、乳酸甲 酯、乳酸乙酯、3-甲氧基丙酸甲酯、3-甲氧基丙酸乙酯、2-羥基異丁酸甲酯、2-羥基異丁酸乙酯等酯類;丙酮、丁酮、甲基異丁酮、2-己酮、環己酮、甲基胺基酮、2-庚酮等酮類;乙基溶纖劑、甲基溶纖劑、甲基溶纖劑乙酸酯、乙基溶纖劑乙酸酯、丙二醇單甲醚、丙二醇單乙醚、丙二醇單丁醚、丙二醇單甲醚乙酸酯、丙二醇單乙醚乙酸酯、丙二醇單丁醚乙酸酯、二丙二醇二甲醚、乙二醇單烷醚等二醇醚類;甲醇、乙醇、異丙醇、正丁醇、異丁醇、三級丁醇、二級丁醇、3-戊醇、辛醇、3-甲基-3-甲氧基丁醇、三級戊醇等醇類;乙二醇、丙二醇等二醇類;四氫呋喃、四氫哌喃、二噁烷等環狀醚類;N,N-二甲基甲醯胺、N,N-二甲基乙醯胺等醯胺類;甲基溶纖劑、溶纖劑、異丙基溶纖劑、丁基溶纖劑、二乙二醇單甲醚等醚醇類;二乙二醇單乙醚乙酸酯;1,1,2-三氯-1,2,2-三氟乙烷、1,2-二氯-1,1,2,2-四氟乙烷、二甲基亞碸、1,1-二氯-1,2,2,3,3-五氟丙烷(HCFC225)、Zeorora H、HFE7100、HFE7200、HFE7300等含氟溶劑等。或是可列舉出此等之2種以上之混合溶劑等。
含氟油並無特別限定,可列舉出下列以一般式(4)表示之化合物(全氟(聚)醚化合物)。
Rf5-(OC4F8)a'-(OC3F6)b'-(OC2F4)c'-(OCF2)d'-Rf6‧‧‧(4)
式中,Rf5表示可經1個以上的氟原子取代之碳數1至16烷基(較佳為C1-16全氟烷基),Rf6表示可經1個以上的氟原子取代之碳數1至16烷基(較佳為C1-16全氟烷基)、氟原子或氫原子,Rf5及Rf6尤佳係各自獨立地為C1-3全氟烷基。
a'、b'、c'及d'各自表示構成聚合物的主骨架之全氟(聚)醚的4種重複單元數,且相互獨立地為0以上300以下的整數,a'、b'、c'及d'之和至少為1,較佳為1至300,尤佳為20至300。標註下標a'、b'、c'或d'並以括弧括起之各重複 單元的存在順序於式中為任意。此等重複單元中,-(OC4F8)-可為-(OCF2CF2CF2CF2)-、-(OCF(CF3)CF2CF2)-、-(OCF2CF(CF3)CF2)-、-(OCF2CF2CF(CF3))-、-(OC(CF3)2CF2)-、-(OCF2C(CF3)2)-、-(OCF(CF3)CF(CF3))-、-(OCF(C2F5)CF2)-及(OCF2CF(C2F5))-中之任一種,較佳為-(OCF2CF2CF2CF2)-。-(OC3F6)-可為-(OCF2CF2CF2)-、-(OCF(CF3)CF2)-及(OCF2CF(CF3))-中之任一種,較佳為-(OCF2CF2CF2)-。-(OC2F4)-可為-(OCF2CF2)-及(OCF(CF3))-中之任一種,較佳為-(OCF2CF2)-。
以上述一般式(4)表示之全氟(聚)醚化合物的例子可列舉出下列以一般式(4a)及(4b)中之任一式表示之化合物(可為1種或2種以上的混合物)。
Rf5-(OCF2CF2CF2)b"-Rf6‧‧‧(4a)
Rf5-(OCF2CF2CF2CF2)a"-(OCF2CF2CF2)b"-(OCF2CF2)c"-(OCF2)d"-Rf6‧‧‧(4b)
此等式中,Rf5及Rf6如上述所說明;於式(4a)中,b"為1以上100以下的整數;於式(4b)中,a"及b"各自獨立地為0以上30以下的整數,c"及d"各自獨立地為1以上300以下的整數。標註下標a"、b"、c"或d"並以括弧括起之各重複單元的存在順序於式中為任意。
此外,從其他觀點來看,含氟油可為以一般式Rf3-F(式中,Rf3為C5-16全氟烷基)表示之化合物。此外,亦可為氯三氟乙烯低聚物。
上述含氟油可具有500至10,000的平均分子量。含氟油的分子量可使用GPC來測定。
含氟油相對於本揭示之表面處理劑,例如可含有0至50質量%,較佳含有0至30質量%,尤佳含有0至5質量%。於一樣態中,本揭示之表面 處理劑,實質上不含有含氟油。所謂實質上不含有含氟油,意指完全不含有含氟油或是僅含有極微量的含氟油。
含氟油係有助於提升藉由本揭示之表面處理劑所形成之層的表面平滑性。
上述聚矽氧油例如可使用矽氧烷鍵為2,000以下之直鏈狀或環狀的聚矽氧油。直鏈狀的聚矽氧油可為所謂的直接聚矽氧油及變性聚矽氧油。直接聚矽氧油可列舉出:二甲基聚矽氧油、甲基苯基聚矽氧油、甲基氫聚矽氧油。變性聚矽氧油可列舉出:藉由烷基、芳烷基、聚醚、高級脂肪酸酯、氟烷基、胺基、環氧基、羧基、醇等將直接聚矽氧油變性者。環狀聚矽氧油例如可列舉出環狀二甲基矽氧烷油等。
本揭示之表面處理劑中,該聚矽氧油相對於含氟聚醚基的矽烷化合物之合計100質量份(2種以上時為此等之合計,下列亦同),例如含有0至300質量份,較佳50至200質量份。
聚矽氧油係有助於提升表面處理層的表面平滑性。
上述觸媒可列舉出酸(例如乙酸、三氟乙酸等)、鹼(例如氨、三乙胺、二乙胺等)、過渡金屬(例如Ti、Ni、Sn等)等。
觸媒係促進本揭示之表面處理劑所含有之水解性基的水解及脫水縮合,而促進藉由本揭示之表面處理劑所形成之層的形成。
其他成分除了上述之外,例如亦可列舉出:四乙氧矽烷、甲基三甲氧矽烷、甲基三乙醯氧矽烷等。
本揭示之組成物可使用作為進行基板的表面處理之表面處理劑。
本揭示之表面處理劑可含浸於將多孔質物質,例如多孔質的陶瓷材料、金屬纖維,例如鋼絲絨固定為棉狀者而作成顆粒。該顆粒例如可使用在真空蒸鍍。
(物品)
以下說明本揭示之物品。
本揭示之物品係包含:基材,以及於該基材表面由本揭示之表面處理劑所形成之層(表面處理層)。
本揭示中可使用之基材例如可由玻璃、樹脂(天然或合成樹脂,例如可為一般的塑膠材料,可為板狀、膜或其他型態)、金屬、陶瓷、半導體(矽、鍺等)、纖維(織物、不織布等)、毛皮、皮革、木材、陶瓷器、石材等,建築構件等之任意的適當材料來構成。
例如在應製造之物品為光學構件之情形下,構成基材的表面之材料可為光學構件用材料,例如玻璃或透明塑膠等。此外,在應製造之物品為光學構件之情形下,可於基材的表面(最外層)形成某些層(或膜),例如硬塗層或抗反射層等。抗反射層可使用單層抗反射層及多層抗反射層中之任一種。可使用於抗反射層之無機物的例子可列舉出:SiO2、SiO、ZrO2、TiO2、TiO、Ti2O3、Ti2O5、Al2O3、Ta2O5、CeO2、MgO、Y2O3、SnO2、MgF2、WO3等。此等無機物可單獨使用或組合此等的2種以上(例如混合物)而使用。在構成為多層抗反射層之情形下,於該最外層較佳係使用SiO2及/或SiO。在應製造之物品為觸控面板用的光學玻璃零件之情形下,可於基板(玻璃)之表面的一部分中具有透明電極,例如使用氧化銦錫(ITO:Indium Tin Oxide)或氧化銦鋅等之薄膜。此外,基 材可因應其具體性規格等,具有絕緣層、黏著層、保護層、裝飾框層(I-CON)、霧化膜層、硬塗膜層、偏光膜、相位差膜及液晶顯示模組等。
基材的形狀並無特別限定。此外,應形成表面處理層之基材的表面區域只要是基材表面的至少一部分即可,可因應應製造之物品的用途及具體性規格等來適當地決定。
該基材之至少其表面部分可由原本具有羥基之材料所構成。該材料可列舉出玻璃。此外,可列舉出:表面形成有自然氧化膜或熱氧化膜之金屬(尤其是卑金屬)、陶瓷、半導體等。或是如樹脂等般雖具有羥基但仍不足之情形下,或原本不具有羥基之情形下,可藉由對基材施以某種前處理而在基材的表面導入或增加羥基。該前處理的例子可列舉出電漿處理(例如電暈放電)或是離子束照射。電漿處理可在基材表面導入或增加羥基,並且亦可較佳地利用在淨化基材表面(去除雜質等)。此外,該前處理的其他例子可列舉出:藉由LB法(Langmuir-Blodgett法)或化學吸附法等,預先以單分子膜的型態將具有碳-碳不飽和鍵基之界面吸附劑形成於基材表面,然後在包含氧氣或氮氣等氣體環境下使不飽和鍵開裂之方法。
或者是,該基材之至少其表面部分可由具有1個以上的其他反應性基,例如Si-H基之聚矽氧化合物,或是含有烷氧矽烷之材料所構成。
接著於該基材的表面形成上述本揭示之表面處理劑的層,且視需要對此層進行後處理,藉此形成由本揭示之表面處理劑所構成之層。
本揭示之表面處理劑的層形成可藉由以被覆該表面之方式,將上述組成物應用於該基材的表面。被覆方法並無特別限定。例如可使用濕潤被覆法及乾燥被覆法。
濕潤被覆法的例子可列舉出:浸漬塗佈、旋轉塗佈、流動塗佈、噴霧塗佈、輥塗佈、凹版塗佈及類似的方法。
乾燥被覆法的例子可列舉出蒸鍍(通常為真空蒸鍍)、濺鍍、CVD及類似的方法。蒸鍍(通常為真空蒸鍍)的具體例可列舉出:電阻加熱、電子束、使用微波等之高頻加熱、離子束及類似的方法。CVD方法的具體例可列舉出:電漿CVD、光學CVD、熱CVD及類似的方法。
再者,亦可藉由常壓電漿法來被覆。
在使用濕潤被覆法之情形下,本揭示之表面處理劑可在以溶劑稀釋後應用在基材表面。從本揭示之表面處理劑的穩定性及溶劑的揮發性之觀點來看,可較佳地使用下列溶劑:碳數5至12的全氟脂肪族烴(例如全氟己烷、全氟甲基環己烷及全氟-1,3-二甲基環己烷);聚氟芳香族烴(例如雙(三氟甲基)苯);聚氟脂肪族烴(例如C6F13CH2CH3(例如旭硝子股份有限公司製的Asahiklin(註冊商標)AC-6000)、1,1,2,2,3,3,4-七氟環戊烷(例如Zeon Japan股份有限公司製的Zeorora(註冊商標)H);氫氟醚(HFE)(例如全氟丙基甲醚(C3F7OCH3)(例如Sumitomo 3M股份有限公司製的Novec(商標)7000)、全氟丁基甲醚(C4F9OCH3)(例如Sumitomo 3M股份有限公司製的Novec(商標)7100)、全氟丁基乙醚(C4F9OC2H5)(例如Sumitomo 3M股份有限公司製的Novec(商標)7200)、全氟己基甲醚(C2F5CF(OCH3)C3F7)(例如Sumitomo 3M股份有限公司製的Novec(商標)7300)等烷基全氟烷醚(全氟烷基及烷基可為直鏈或分枝狀)、或是CF3CH2OCF2CHF2(例如旭硝子股份有限公司製的Asahiklin(註冊商標)AE-3000))等。此等溶劑可單獨使用或作為2種以上的混合物而使用。當中較佳為氫氟醚,特佳為全氟丁基甲醚(C4F9OCH3)及/或全氟丁基乙醚(C4F9OC2H5)。
在使用乾燥被覆法之情形下,本揭示之表面處理劑可直接施行乾燥被覆法,或是以上述溶劑稀釋後施行乾燥被覆法。
表面處理劑的層形成,較佳係於層中以本揭示之表面處理劑連同用於水解及脫水縮合之觸媒而存在之方式來實施。簡單來說,於藉由濕潤被覆法來進行之情形下,在以溶劑來稀釋本揭示之表面處理劑後,可於即將應用在基材表面時將觸媒添加於本揭示之表面處理劑。於藉由乾燥被覆法來進行之情形下,可直接對添加觸媒後之本揭示之表面處理劑進行蒸鍍(通常為真空蒸鍍)處理,或是使添加觸媒後之本揭示之表面處理劑含浸於鐵或銅等金屬多孔體而成為顆粒狀物質,然後使用此顆粒狀物質來進行蒸鍍(通常為真空蒸鍍)處理。
觸媒可使用任意適當的酸或鹼。酸觸媒例如可使用乙酸、甲酸、三氟乙酸等。此外,鹼觸媒例如可使用氨、有機胺類等。
於一樣態中,表面處理劑的層形成係藉由濕潤被覆法來進行。例如,表面處理劑可使用以式(1a)表示之含氟聚醚基的矽烷化合物及/或以式(1b)表示之含氟聚醚基的矽烷化合物,且是含有RF中之e相對於f之比為0.1至1.0的化合物之表面處理劑。在此,RF較佳係以下列式(f2)、(f4)或(f5)表示。關於式(f2)、(f4)及(f5),係各自與上述同義。
-(OC4F8)c-(OC3F6)d-(OC2F4)e-(OCF2)f- (f2)
-(OC6F12)a-(OC5F10)b-(OC4F8)c-(OC3F6)d-(OC2F4)e-(OCF2)f- (f4)
-(OC6F12)a-(OC5F10)b-(OC4F8)c-(OC3F6)d-(OC2F4)e-(OCF2)f- (f5)
於上述樣態中,RF可以式(f2)表示。
於上述樣態中,RF可以式(f4)表示。
於上述樣態中,RF可以式(f5)表示。
於上述樣態中,濕潤被覆法較佳係例如使用旋轉塗佈法、刷毛塗佈法、浸漬塗佈法、噴霧塗佈法。
如上述般,於基材的表面形成有來自本揭示之表面處理劑之層,而製造本揭示之物品。藉此所得到之上述層係具有高表面平滑性與高摩擦耐久性兩者。此外,上述層除了高摩擦耐久性之外,雖因所使用之表面處理劑之組成的不同而不同,惟亦可具有撥水性、撥油性、抗污性(例如防止指紋等之髒污的附著)、防水性(防止水往電子零件等之滲入)、表面平滑性(或潤滑性,例如指紋等之髒污的拭除性,或是對手指之優異觸感)等,可較佳地使用作為機能性薄膜。
亦即,本揭示亦進一步關於在最外層具有由本揭示之表面處理劑所形成之硬化物之光學材料。
光學材料除了與後述例示的顯示器相關之光學材料之外,可較佳地列舉出各式各樣的光學材料。例如有陰極射線管(CRT;例如個人電腦螢幕)、液晶顯示器、電漿顯示器、有機EL顯示器、無機薄膜EL點矩陣顯示器、背投影型顯示器、真空螢光顯示器(VFD;Vacuum Fluorescent Display)、場放射顯示器(FED;Field Emission Display)等顯示器或此等顯示器的保護板、或是於此等的表面施以抗反射膜處理者。
具有藉由本揭示所得到之層之物品並無特別限定,可為光學構件。光學構件的例子可列舉出下列者:眼鏡等鏡片;PDP、LCD等顯示器的前面保護板、抗反射板、偏光板、防眩板;行動電話、可攜式資訊終端等機器的觸控面板片;藍光(Blu-ray(註冊商標))光碟、DVD光碟、CD-R、MO等光碟的碟片面;光纖;鐘錶的顯示面等。
此外,具有藉由本揭示所得到之層之物品可為醫療機器或醫療材料。
上述層的厚度並無特別限定。於光學構件之情形下,上述層的厚度為1至50nm,較佳為1至30nm,尤佳為1至15nm的範圍者,從光學性能、表面平滑性、摩擦耐久性及防污性之點來看為佳。
以上係已說明實施型態,惟應理解的是在不脫離申請專利範圍的主旨及範圍下,可進行型態或詳細內容的各種變更。
[實施例]
以下係於實施例中說明本揭示,惟本揭示並不限定於下列實施例。於本實施例中,構成全氟聚醚之重複單元(OCF2CF2)及(OCF2)的存在順序為任意。
含氟聚醚基的矽烷化合物(A)及(B)係各自使用下列化合物。
含氟聚醚基的矽烷化合物(A):
CF3(OCF2CF2)e(OCF2)f-OC(=O)NHCH2C((CH2CH2CH2)Si(OCH3)3)3 (e=22、f=34)
含氟聚醚基的矽烷化合物(B):
CF3(OCF2CF2)e(OCF2)f-OC(=O)NHCH2C((CH2CH2CH2)Si(OCH3)3)3 (e=26、f=24)
(比較例1)
將含氟聚醚基的矽烷化合物(A)溶解於氫氟醚(3M公司製、Novec HFE7200),而調製出含有0.1wt%的化合物(A)之表面處理劑。
(比較例2、3)
將含氟聚醚基的矽烷化合物(A)及3-胺丙基三甲氧矽烷(APTMS:3-Aminopropyl Trimethoxysilane)溶解於氫氟醚(3M公司製、Novec HFE7200),而調製出含有0.1wt%的化合物(A)之表面處理劑。APTMS的含量各自如表1所示。
(比較例4)
將含氟聚醚基的矽烷化合物(A)及3-環氧丙氧基丙基三甲氧矽烷溶解於氫氟醚(3M公司製、Novec HFE7200),而調製出含有0.1wt%的化合物(A)之表面處理劑。3-環氧丙氧基丙基三甲氧矽烷的含量如表1所示。
(實施例1)
將含氟聚醚基的矽烷化合物(A)、APTMS及3-環氧丙氧基丙基三甲氧矽烷溶解於氫氟醚(3M公司製、Novec HFE7200),而調製出含有0.1wt%的化合物(A)之表面處理劑。各化合物的含量如表1所示。
(實施例2至4)
除了各自使用3-胺丙基二甲氧基甲矽烷(APDMS:3-Aminopropyl Dimethoxymethylsilane)、3-胺丙基三乙氧矽烷(APTES:3-Aminopropyl Triethoxysilane)、3-胺丙基二乙氧基甲矽烷(APDES:3-Aminopropyl Diethoxymethylsilane)來取代APTMS之外,其他與實施例1進行相同操作而調製出表面處理劑。
(實施例5)
將含氟聚醚基的矽烷化合物(B)、APTMS及3-環氧丙氧基丙基三甲氧矽烷溶解於氫氟醚(3M公司製、Novec HFE7200),而調製出含有0.1wt%的化合物(B)之表面處理劑。各化合物的含量如表1所示。
(表面處理層的形成)
以下列方式於基材的表面形成表面處理層。
將基材玻璃電漿洗淨至使其表面之水的接觸角成為10°以下為止後,藉由雙流體噴嘴以60g/m2的塗佈量將實施例或比較例中所得到之表面處理劑塗佈於玻璃上。於塗佈後以150℃進行30分鐘的熟化處理,而形成表面處理層。
(水之靜態接觸角的測定方法)
靜態接觸角係使用全自動接觸角計DropMaster700(協和界面科學公司製)。將具有所形成之表面處理層之基材水平地放置,從微注射筒中將2μL的水滴於該表面,並藉由攝影顯微鏡來拍攝滴入1秒後的靜止圖像而求取。
(初期評估)
首先在形成表面處理層後,在無任何物質接觸該表面之狀態下測定水的靜態接觸角以作為初期評估。
〈橡皮擦耐久性評估〉
對於所形成之表面處理層,使用磨刷測試機(新東科學公司製)於下述條件下每擦拭2500次時測定水的靜態接觸角,持續進行試驗直到其小於100°。試驗環境條件為25℃、濕度40%RH。結果如表2所示。
橡皮擦:Raber Eraser(Minoan公司製)
接地面積:6mm φ
移動距離(單趟):40mm
移動速度:3,600mm/分鐘
荷重:1kg/6mm φ
表1中,「-」意指未添加。表1中,含胺基的矽烷化合物及含環氧基的化合物的含量各自表示相對於含氟聚醚基的矽烷化合物100質量份之值。
Figure 109130066-A0202-12-0080-16
Figure 109130066-A0202-12-0081-17
[產業上之可應用性]
本揭示之表面處理劑可較佳地利用在用以於各種各樣的基材,尤其是要求穿透性之光學構件的表面形成表面處理層。
Figure 109130066-A0202-11-0002-1

Claims (18)

  1. 一種表面處理劑,其含有:
    以下述式(1a)或(1b)表示之含氟聚醚基的矽烷化合物、以下述式(2)表示之含胺基的矽烷化合物、以及以下述式(3)表示之含環氧基的矽烷化合物,
    RF1 α-XA-RSi β (1a)
    RSi γ-XA-RF2-XA-RSi γ (1b)
    式中:
    RF1為Rf1-RF-Oq-;
    RF2為-Rf2 p-RF-Oq-;
    Rf1於每次出現時各自獨立地為可經1個以上的氟原子取代之C1-16烷基;
    Rf2為可經1個以上的氟原子取代之C1-6伸烷基;
    RF為2價氟聚醚基;
    p為0或1;
    q於每次出現時各自獨立地為0或1;
    XA各自獨立地為單鍵或2至10價的有機基;
    RSi於每次出現時各自獨立地為具有鍵結於羥基或水解性基之Si原子之1價基;
    α為1至9的整數;
    β為1至9的整數;
    γ各自獨立地為1至9的整數;
    SiRN s1R81 s2R82 s3 (2)
    式中:
    RN各自獨立地為含有胺基之1價有機基;
    R81各自獨立地為羥基或水解性基;
    R82各自獨立地為氫原子或1價有機基,惟不含水解性基及胺基;
    s1各自獨立地為1至3的整數;
    s2各自獨立地為1至3的整數;
    s3各自獨立地為0至2的整數;
    SiRE t1R91 t2R92 t3 (3)
    式中:
    RE各自獨立地為含有環氧基之1價有機基;
    R91各自獨立地為羥基或水解性基;
    R92各自獨立地為氫原子或1價有機基,惟不含水解性基及環氧基;
    t1各自獨立地為1至3的整數;
    t2各自獨立地為1至3的整數;
    t3各自獨立地為0至2的整數。
  2. 一種表面處理劑,其係混合下列矽烷化合物而得到:
    以下述式(1a)或(1b)表示之含氟聚醚基的矽烷化合物、以下述式(2)表示之含胺基的矽烷化合物、以及以下述式(3)表示之含環氧基的矽烷化合物,
    RF1 α-XA-RSi β (1a)
    RSi γ-XA-RF2-XA-RSi γ (1b)
    式中:
    RF1為Rf1-RF-Oq-;
    RF2為-Rf2 p-RF-Oq-;
    Rf1於每次出現時各自獨立地為可經1個以上的氟原子取代之C1-16烷基;
    Rf2為可經1個以上的氟原子取代之C1-6伸烷基;
    RF為2價氟聚醚基;
    p為0或1;
    q於每次出現時各自獨立地為0或1;
    XA各自獨立地為單鍵或2至10價的有機基;
    RSi於每次出現時各自獨立地為具有鍵結於羥基或水解性基之Si原子之1價基;
    α為1至9的整數;
    β為1至9的整數;
    γ各自獨立地為1至9的整數;
    SiRN s1R81 s2R82 s3 (2)
    式中:
    RN各自獨立地為含有胺基之1價有機基;
    R81各自獨立地為羥基或水解性基;
    R82各自獨立地為氫原子或1價有機基,惟不含水解性基及胺基;
    s1各自獨立地為1至3的整數;
    s2各自獨立地為1至3的整數;
    s3各自獨立地為0至2的整數;
    SiRE t1R91 t2R92 t3 (3)
    式中:
    RE各自獨立地為含有環氧基之1價有機基;
    R91各自獨立地為羥基或水解性基;
    R92各自獨立地為氫原子或1價有機基,惟不含水解性基及環氧基;
    t1各自獨立地為1至3的整數;
    t2各自獨立地為1至3的整數;
    t3各自獨立地為0至2的整數。
  3. 如請求項1或2所述之表面處理劑,其中RF於每次出現時各自獨立地為以下述式表示之基,
    -(OC6F12)a-(OC5F10)b-(OC4F8)c-(OC3RFa 6)d-(OC2F4)e-(OCF2)f-
    式中,
    RFa於每次出現時各自獨立地為氫原子、氟原子或氯原子;
    a、b、c、d、e及f各自獨立地為0至200的整數,a、b、c、d、e及f之和為1以上,標註a、b、c、d、e或f並以括弧括起之各重複單元的存在順序於式中為任意。
  4. 如請求項1至3中任一項所述之表面處理劑,其中e相對於f之比未達0.9。
  5. 如請求項1至4中任一項所述之表面處理劑,其中RF於每次出現時各自獨立地以下述式(f1)、(f2)、(f3)、(f4)或(f5)表示,
    -(OC3F6)d- (f1)
    式中,d為1至200的整數;
    -(OC4F8)c-(OC3F6)d-(OC2F4)e-(OCF2)f- (f2)
    式中,c及d各自獨立地為0以上30以下的整數,e及f各自獨立地為1以上200以下的整數,
    c、d、e及f之和為2以上,
    標註下標c、d、e或f並以括弧括起之各重複單元的存在順序於式中為任意;
    -(R6-R7)g- (f3)
    式中,R6為OCF2或OC2F4
    R7為選自OC2F4、OC3F6、OC4F8、OC5F10及OC6F12之基,或是獨立地選自此等基之2個或3個基的組合,
    g為2至100的整數;
    -(OC6F12)a-(OC5F10)b-(OC4F8)c-(OC3F6)d-(OC2F4)e-(OCF2)f- (f4)
    式中,e為1以上200以下的整數,a、b、c、d及f各自獨立地為0以上200以下的整數,a、b、c、d、e及f之和至少為1,此外,標註a、b、c、d、e或f並以括弧括起之各重複單元的存在順序於式中為任意;
    -(OC6F12)a-(OC5F10)b-(OC4F8)c-(OC3F6)d-(OC2F4)e-(OCF2)f- (f5)
    式中,f為1以上200以下的整數,a、b、c、d及e各自獨立地為0以上200以下的整數,a、b、c、d、e及f之和至少為1,此外,標註a、b、c、d、e或f並以括弧括起之各重複單元的存在順序於式中為任意。
  6. 如請求項1至5中任一項所述之表面處理劑,其中RSi為以下述式(S1)、(S2)、(S3)或(S4)表示之基,
    Figure 109130066-A0202-13-0006-18
    -SiR11 n1R12 3-n1 (S2)
    -SiRa1 k1Rb1 l1Rc1 m1 (S3)
    -CRd1 k2Re1 l2Rf1 m2 (S4)
    式中:
    R11於每次出現時各自獨立地為羥基或水解性基;
    R12於每次出現時各自獨立地為氫原子或1價有機基;
    n1於每個(SiR11 n1R12 3-n1)單元中各自獨立地為0至3的整數;
    X11於每次出現時各自獨立地為單鍵或2價有機基;
    R13於每次出現時各自獨立地為氫原子或1價有機基;
    t於每次出現時各自獨立地為2至10的整數;
    R14於每次出現時各自獨立地為氫原子或鹵素原子;
    R15於每次出現時各自獨立地為單鍵、氧原子、碳數1至6的伸烷基或碳數1至6的伸烷氧基;
    Ra1於每次出現時各自獨立地為-Z1-SiR21 p1R22 q1R23 r1
    Z1於每次出現時各自獨立地為氧原子或2價有機基;
    R21於每次出現時各自獨立地為-Z1'-SiR21' p1'R22' q1'R23' r1'
    R22於每次出現時各自獨立地為羥基或水解性基;
    R23於每次出現時各自獨立地為氫原子或1價有機基;
    p1於每次出現時各自獨立地為0至3的整數;
    q1於每次出現時各自獨立地為0至3的整數;
    r1於每次出現時各自獨立地為0至3的整數;
    Z1'於每次出現時各自獨立地為氧原子或2價有機基;
    R21'於每次出現時各自獨立地為-Z1"-SiR22" q1"R23" r1"
    R22'於每次出現時各自獨立地為羥基或水解性基;
    R23'於每次出現時各自獨立地為氫原子或1價有機基;
    p1'於每次出現時各自獨立地為0至3的整數;
    q1'於每次出現時各自獨立地為0至3的整數;
    r1'於每次出現時各自獨立地為0至3的整數;
    Z1"於每次出現時各自獨立地為氧原子或2價有機基;
    R22"於每次出現時各自獨立地為羥基或水解性基;
    R23"於每次出現時各自獨立地為氫原子或1價有機基;
    q1"於每次出現時各自獨立地為0至3的整數;
    r1"於每次出現時各自獨立地為0至3的整數;
    Rb1於每次出現時各自獨立地為羥基或水解性基;
    Rc1於每次出現時各自獨立地為氫原子或1價有機基;
    k1於每次出現時各自獨立地為0至3的整數;
    l1於每次出現時各自獨立地為0至3的整數;
    m1於每次出現時各自獨立地為0至3的整數;
    Rd1於每次出現時各自獨立地為-Z2-CR31 p2R32 q2R33 r2
    Z2於每次出現時各自獨立地為單鍵、氧原子或2價有機基;
    R31於每次出現時各自獨立地為-Z2'-CR32' q2'R33' r2'
    R32於每次出現時各自獨立地為-Z3-SiR34 n2R35 3-n2
    R33於每次出現時各自獨立地為氫原子、羥基或1價有機基;
    p2於每次出現時各自獨立地為0至3的整數;
    q2於每次出現時各自獨立地為0至3的整數;
    r2於每次出現時各自獨立地為0至3的整數;
    Z2'於每次出現時各自獨立地為單鍵、氧原子或2價有機基;
    R32'於每次出現時各自獨立地為-Z3-SiR34 n2R35 3-n2
    R33'於每次出現時各自獨立地為氫原子、羥基或1價有機基;
    q2'於每次出現時各自獨立地為0至3的整數;
    r2'於每次出現時各自獨立地為0至3的整數;
    Z3於每次出現時各自獨立地為單鍵、氧原子或2價有機基;
    R34於每次出現時各自獨立地為羥基或水解性基;
    R35於每次出現時各自獨立地為氫原子或1價有機基;
    n2於每次出現時各自獨立地為0至3的整數;
    Re1於每次出現時各自獨立地為-Z3-SiR34 n2R35 3-n2
    Rf1於每次出現時各自獨立地為氫原子、羥基或1價有機基;
    k2於每次出現時各自獨立地為0至3的整數;
    l2於每次出現時各自獨立地為0至3的整數;
    m2於每次出現時各自獨立地為0至3的整數。
  7. 如請求項1至6中任一項所述之表面處理劑,其中αβγ為1,XA為2價有機基。
  8. 如請求項1至7中任一項所述之表面處理劑,其中RN各自獨立地以-RN1-NHRN2表示,式中,RN1為2價有機基,RN2為氫原子或C1-5烷基。
  9. 如請求項1至8中任一項所述之表面處理劑,其中RE各自獨立地以-RE1XE表示,式中,RE1為2價有機基,XE為環氧基或脂環式環氧基。
  10. 如請求項1至9中任一項所述之表面處理劑,其相對於含氟聚醚基的矽烷化合物100質量份,含有0.5至25質量份之以式(2)表示之含胺基的矽烷化合物。
  11. 如請求項1至10中任一項所述之表面處理劑,其相對於含氟聚醚基的矽烷化合物100質量份,含有0.5至25質量份之以式(3)表示之含環氧基的矽烷化合物。
  12. 如請求項1至11中任一項所述之表面處理劑,其中含氟聚醚基的矽烷化合物為以式(1a)表示之化合物。
  13. 如請求項1至12中任一項所述之表面處理劑,其更含有選自含氟油、聚矽氧油及觸媒中之1種以上的其他成分。
  14. 如請求項1至13中任一項所述之表面處理劑,其係更含有溶劑。
  15. 如請求項1至14中任一項所述之表面處理劑,其使用作為防污性塗佈劑或防水性塗佈劑。
  16. 如請求項1至15中任一項所述之表面處理劑,其係濕潤被覆法用。
  17. 一種物品,其包含:基材,以及於該基材的表面藉由如請求項1至16中任一項所述之表面處理劑所形成之層。
  18. 如請求項17所述之物品,其係光學構件。
TW109130066A 2019-09-10 2020-09-02 表面處理劑 TWI785376B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2019-164562 2019-09-10
JP2019164562 2019-09-10
JP2019175875 2019-09-26
JP2019-175875 2019-09-26

Publications (2)

Publication Number Publication Date
TW202124521A true TW202124521A (zh) 2021-07-01
TWI785376B TWI785376B (zh) 2022-12-01

Family

ID=74867231

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109130066A TWI785376B (zh) 2019-09-10 2020-09-02 表面處理劑

Country Status (4)

Country Link
JP (1) JP6908171B2 (zh)
CN (1) CN114402047A (zh)
TW (1) TWI785376B (zh)
WO (1) WO2021049240A1 (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2022120792A (ja) * 2021-02-05 2022-08-18 住友化学株式会社 硬化皮膜及び積層体
JP7260830B2 (ja) * 2021-05-21 2023-04-19 ダイキン工業株式会社 熱交換器
JP2023127558A (ja) * 2022-03-01 2023-09-13 ダイキン工業株式会社 表面処理剤
JP7397367B1 (ja) 2022-06-03 2023-12-13 ダイキン工業株式会社 組成物および物品

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000282009A (ja) * 1999-04-01 2000-10-10 Toray Ind Inc 防汚性組成物および防汚性を有する光学物品
JP4711080B2 (ja) * 2006-07-25 2011-06-29 信越化学工業株式会社 被膜形成用組成物用フロロオルガノポリシロキサン樹脂の製造方法
KR100940086B1 (ko) * 2007-12-13 2010-02-02 한국화학연구원 과불소 폴리에테르 변성 실란 화합물과 이를 함유한 방오성 코팅제 조성물 및 이를 적용한 막
JP4632069B2 (ja) * 2008-06-02 2011-02-16 信越化学工業株式会社 硬化性フルオロポリエーテル系コーティング剤組成物
JP5938280B2 (ja) * 2012-06-22 2016-06-22 日華化学株式会社 パーフルオロポリエーテル変性シラン化合物、防汚性被膜形成用組成物、防汚性被膜、およびこの被膜を有する物品
JP2015168785A (ja) * 2014-03-07 2015-09-28 ダイキン工業株式会社 パーフルオロ(ポリ)エーテル基含有シラン化合物を含む組成物
WO2016006584A1 (ja) * 2014-07-07 2016-01-14 ダイキン工業株式会社 パーフルオロ(ポリ)エーテル変性アミドシラン化合物を含む組成物
WO2018143433A1 (ja) * 2017-02-03 2018-08-09 ダイキン工業株式会社 パーフルオロ(ポリ)エーテル基含有化合物、これを含む表面処理剤、および物品
CN109016719A (zh) * 2017-06-08 2018-12-18 大金工业株式会社 表面处理剂

Also Published As

Publication number Publication date
JP6908171B2 (ja) 2021-07-21
WO2021049240A1 (ja) 2021-03-18
JP2021055047A (ja) 2021-04-08
TWI785376B (zh) 2022-12-01
CN114402047A (zh) 2022-04-26

Similar Documents

Publication Publication Date Title
TWI785376B (zh) 表面處理劑
TWI793410B (zh) 含有氟聚醚基之化合物
TWI767203B (zh) 含有氟聚醚基之化合物
TW202336025A (zh) 表面處理劑
TWI791965B (zh) 含有氟聚醚基的化合物
TW202104354A (zh) 含有氟聚醚基之化合物
US20220153929A1 (en) Surface-treating agent
TWI768351B (zh) 表面處理劑
EP4001364A1 (en) Surface treatment agent
TWI796576B (zh) 表面處理劑
TW202239813A (zh) 表面處理劑
WO2022097568A1 (ja) 表面処理剤
EP4177053A1 (en) Curable composition
TW202130697A (zh) 含有氟聚醚基之矽烷化合物
TW202102577A (zh) 含有氟聚醚基的化合物
TWI837488B (zh) 硬化性組成物
JP7277838B2 (ja) 表面処理用液状組成物
EP4282841A1 (en) Surface treatment agent
TW202239814A (zh) 包含具有氟聚醚基之矽烷化合物的組成物
TW202328278A (zh) 表面處理劑
TW202400728A (zh) 表面處理劑
TW202302706A (zh) 表面處理劑
TW202402880A (zh) 含氟聚醚基之氧化烯醯胺化合物
TW202400734A (zh) 表面處理劑