TW202123403A - 半導體結構及其形成方法 - Google Patents

半導體結構及其形成方法 Download PDF

Info

Publication number
TW202123403A
TW202123403A TW109130103A TW109130103A TW202123403A TW 202123403 A TW202123403 A TW 202123403A TW 109130103 A TW109130103 A TW 109130103A TW 109130103 A TW109130103 A TW 109130103A TW 202123403 A TW202123403 A TW 202123403A
Authority
TW
Taiwan
Prior art keywords
conductive
interlayer dielectric
interconnection layer
layer
substrate
Prior art date
Application number
TW109130103A
Other languages
English (en)
Other versions
TWI731782B (zh
Inventor
蕭錦濤
莊正吉
吳佳典
曾健庭
彭士瑋
林威呈
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202123403A publication Critical patent/TW202123403A/zh
Application granted granted Critical
Publication of TWI731782B publication Critical patent/TWI731782B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5389Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates the chips being integrally enclosed by the interconnect and support structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/4853Connection or disconnection of other leads to or from a metallisation, e.g. pins, wires, bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/4857Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/486Via connections through the substrate with or without pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/50Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor for integrated circuit devices, e.g. power bus, number of leads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5286Arrangements of power or ground buses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5383Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5384Conductive vias through the substrate with or without pins, e.g. buried coaxial conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5385Assembly of a plurality of insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/19Manufacturing methods of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/20Structure, shape, material or disposition of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05075Plural internal layers
    • H01L2224/0508Plural internal layers being stacked
    • H01L2224/05085Plural internal layers being stacked with additional elements, e.g. vias arrays, interposed between the stacked layers
    • H01L2224/05089Disposition of the additional element
    • H01L2224/0509Disposition of the additional element of a single via
    • H01L2224/05091Disposition of the additional element of a single via at the center of the internal layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L2224/20Structure, shape, material or disposition of high density interconnect preforms
    • H01L2224/21Structure, shape, material or disposition of high density interconnect preforms of an individual HDI interconnect
    • H01L2224/214Connecting portions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06513Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06572Auxiliary carrier between devices, the carrier having an electrical connection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • H01L23/14Mountings, e.g. non-detachable insulating substrates characterised by the material or its electrical properties
    • H01L23/147Semiconductor insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/18Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different subgroups of the same main group of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本揭露描述一種具有一電源分配線路的半導體結構,電源分配線路包含一第一導電線及一第二導電線。一基材包含一第一表面,其中第一表面與電源分配線路接觸。複數個背側導電柱位於基材中,且電耦接至第一導電線。一導電柱線路位於基材的與第一表面相對的一第二表面上。一第一層間介電質位於導電柱線路上及位於基材上。一第二層間介電質位於第一層間介電質上。一第三層間介電質位於第二層間介電質上。一第一內連接層及一頂部內連接層分別位於第二層間介電質及第三層間介電質中。深度導電柱位於層間介電質中,且電耦接至導電柱線路。等深度導電柱亦連接至第一內連接層及頂部內連接層。一電源輸入/輸出層位於第三層間介電質上,且與頂部內連接層接觸。

Description

具有背側電源分配線路及正側矽穿孔的半導體元件
三維積體電路(「three-dimensional integrated circuit, 3D IC」)包含整合(例如,豎直地堆疊及連接)有主動電子部件的兩個或兩個以上層以形成積體電路的半導體元件。三維積體電路技術包含裸晶上裸晶堆疊,晶圓上裸晶堆疊,及晶圓上晶圓堆疊。晶片密度增大的三維積體電路系統可展現出與其二維對應系統相比的高IR降(例如,電壓降)。三維積體電路系統中增大的IR降可導致電源消耗增大以及裝置效能下降。
以下揭示內容提供用於實施所提供標的之不同特徵的許多不同實施方式或實例。下文描述部件及配置的特定實例以簡化本揭露。當然,此些僅僅是實例並非意欲限制。舉例而言,下文的描述中第一特徵在第二特徵上方形成可包含第一特徵及第二特徵以直接接觸形成的實施方式,並且亦可包含位於第一特徵與第二特徵之間的額外特徵可形成以使得第一特徵及第二特徵並不直接接觸的實施方式。另外,本揭露的各種實例中可重複元件符號及/或字母。此重複本身並不指示所論述的各種實施方式及/或組配之間的關係。
此外,出於簡潔的目的,可在本文中使用諸如「之下」,「下方」,「下」,「上方」,「上」等空間相對術語來描述一個元件或特徵相對於另一元件或特徵的關係,如圖中所例示。空間相對術語意欲涵蓋裝置使用時或操作時除圖中所描繪的取向以外的不同取向。設備可以其他方式取向(旋轉90度或以其他取向),並且本文中所使用的空間相對描述符可同樣相應地解釋。
如本文所使用的術語「標稱」意指在產品或製程的設計階段期間設置的部件或製程操作的特徵或參數的所需或目標值,以及高於及/或低於所需值的值之範圍。值的範圍可歸因於製造製程或容限的小幅變化。
如本文中所使用,術語「垂直」意指標稱地垂直於基材的表面。
如本文中所使用,術語「實質上」及「約」指示給定量的值,前述值可指示在例如目標(或預期)值的±5% (例如,值的±1%,±2%,±3%,±4%,或±5%)內變化的給定量的值。
積體電路(「integrated circuit, IC」)結構可包含功能性不同的諸層(諸如,互連,電源分配線路,邏輯晶片,記憶體晶片,射頻(radio frequency, RF)晶片,等等)的組合。舉例而言且不加限制地,邏輯晶片可包含中央處理單元(central process unit, CPU),並且記憶體晶片可包含靜態存取記憶體(static access memory, SRAM)陣列,動態隨機存儲記憶體(dynamic random access memory, DRAM)陣列,磁性隨機存取記憶體(magnetic random access memory, MRAM)陣列,其他類型之記憶體陣列,或其之組合。三維(three-dimensional, 3D)積體電路(IC)結構為基於三維積體電路結構形成的非單片垂直結構,並且可包含經由各種接合技術(諸如,混合接合)彼此堆疊的(例如)兩個至八個二維(two-dimensional, 2D)倒裝晶片。在積體電路及三維積體電路結構中,層中的每一者可藉由微凸快,矽穿孔(through silicon vias, TSV),混合接合,其他類型的互連結構,或其之組合互連。
由電源導線柵格供電的三維積體電路結構包含電源接線及接地接線。電源導線柵格可電連接至三維積體電路封裝的一端,並且穿過導電結構(諸如,藉由矽穿孔形成的電源柵格導柱)供應電源至每一層。然而,當更多層彼此堆疊時,三維積體電路結構中矽穿孔及互連結構的層增多可導致電阻及IR降增大(例如,大於5%的電壓降)。另外,用於穿過內連接層傳遞電源至裝置層的矽穿孔可佔據用於訊號接線的寶貴路由空間,增大互連及矽穿孔的電阻,降低晶片的效能,並且縮短三維積體電路結構的使用期限。
為了解決上述問題,本文所描述的實施方式針對降低三維積體電路及/或三維積體電路結構中的IR降。例如,本揭露的實施方式包含如下特徵,諸如(i)形成於三維積體電路結構的背側上的電源分配線路;(ii)用於穿過內連接層及裝置層傳遞電源至背側電源分配線路的正側深度矽穿孔;以及(iii)具有正側導電柱(也可稱之為通孔或穿孔)的導電柱塔。背側電源分配線路及正側深度矽穿孔可提供如下益處,例如,(i)降低自電源至嵌入裝置的IR降;及(ii)增大層間介電質層內用於訊號接線的路由空間。應注意,本申請案中所描述的結構及方法亦可應用於其他導電結構,諸如,訊號載送導線,接地導線,以及任何其他適合的導電結構。
根據一些實施方式,第1圖為三維積體電路結構100的等軸圖示。三維積體電路結構100包含四個晶片層(例如,100A,100B,100C及100D);然而,晶片層的數目不受限制,並且更少或額外晶片層為可能的(例如,2,6或8)。出於例示的目的,第1圖包含三維積體電路結構的選擇部分,並且可包含其他結構(未示出)但出於清晰的目的並不例示。例如,可包含微凸塊,模塑區域,虛設區域,黏著層,散熱器,互連,球形柵格陣列(ball grid array, BGA)連接器,矽仲介器,以及其他部件或結構元件。在一些實施方式中,周邊結構130可提供機械支撐及/或提供熱傳導以供熱量耗散。每一晶片層可包含一或多個裝置層110,前述一或多個裝置層110經由垂直導電結構120電連接至相鄰晶片層中的晶片。在一些實施方式中,垂直導電結構120可包含矽穿孔,介電質穿孔(through dielectric vias, TDV),其他類型的垂直互連結構,或其之組合。舉例而言且不加限制地,頂部晶片層100A可包含一或多個微處理器或CPU,而晶片層100B至100D可包含一或多個記憶體晶片(例如,SRAM晶片,DRAM晶片,MRAM晶片,其他類型的記憶體晶片,或其之組合)。在堆疊之前,平坦化每一晶片的接觸表面,並且使用適合的接合技術在晶片的接觸表面處接合晶片,諸如,混合接合,融合接合,陽極接合,直接接合,室溫接合,壓力接合,及/或其之組合。
在一些實施方式中,電源140經由互連(諸如,BGA連接器)電連接至頂部晶片層100A。電源係經由垂直導電結構120供應至晶片層100A至100D。隨著連續地穿過晶片層100A至100D提供電源,每一晶片層的電阻將針對後續接合的晶片層引入電壓供應的IR降,這又導致電源損耗不當增大。例如,歸因於電源柵格結構及混合接合表面導致的電阻電阻,IR降150,152或154可在晶片層100A至100D之間發生,並且晶片層100D將接收低於供應至晶片層100A的電源140的電壓供應。因此三維積體電路結構中堆疊的晶片的數目很大,距離電源較遠的晶片層(例如,晶片層100D)可能會經歷不當IR降(例如,大於電壓供應的5%)。不當IR降亦在每一晶片層100A至100D中發生,其中供應至晶片層的一個側面(例如,晶片100A的頂部表面)的電源大於晶片層的相對側面(例如,晶片100A的底部表面)的電源位準。為了解決上述問題,本揭露的各種結構針對降低三維積體電路及/或三維積體電路結構中的IR降。
第2圖及第3圖為根據一些實施方式的併入背側電源分配線路及正側深度矽穿孔(TSV)的三維積體電路結構200的橫截面視圖。三維積體電路結構200可為包含以下各者的半導體元件:封裝凸塊290,層間介電質203,深度矽穿孔205,金屬線M1-M8,導電柱206(也可稱之為通孔或穿孔),導電柱208,導電柱210,半導體元件220,導電柱線路230,基材240,背側矽穿孔250,背側電源分配線路(power distribution network, PDN) 270及背側介電質層272。三維積體電路結構200可進一步包含其他適合的結構,但出於簡潔的目的,第2圖及第3圖中未例示。 第3圖例示三維積體電路結構200的穿過三維積體電路結構200的縱向軸線(第2圖中指示為A-A’)的橫剖面視圖。如第3圖中所展示,電源柵格(power grid, PG)導線276在水平方向(例如,在x及y方向)上延伸。類似地,金屬線M1-M7亦在兩個水平方向上延伸。三維積體電路結構200中的部件用於例示的目的,並且並未按比例繪製。
電連接可經由嵌入在各別介電質層中的導電結構來形成於層堆疊晶片之間。當電電源及/或訊號自封裝凸塊290傳輸至半導體元件220時,例如,在如方向201所例示的方向上,供應至半導體220的電源歸因於電阻而降低。電阻可升高,例如,歸因於嵌入在層間介電質中的每一金屬層內的內部結構或相鄰金屬層之間的互連穿過結構。第2圖中的三維積體電路結構200併入深度矽穿孔及背側電源分配線路270以降低IR降。例如,深度矽穿孔形成於層間介電質203中,以直接傳輸電源至用於傳輸電源至半導體元件220的導電柱線路230,及至用於分配電源至三維積體電路結構200中的其他適合的裝置的背側電源分配線路270。
三維積體電路結構200包含基材240。基材240可為p型基材,諸如,摻雜有p型摻雜劑(例如,硼)的矽材料。在一些實施方式中,基材240可為n型基材,諸如,摻雜有n型摻雜劑(例如,磷或砷)的矽材料。在一些實施方式中,基材240可包含鍺,金剛石,化合物半導體,合金半導體,絕緣體上矽(silicon-on-insulator, SOI)結構,任何其他適合的材料,或其之組合。基材240可包含感測器裝置,電晶體,特殊應用積體電路(application-specific integrated circuit, ASIC),場可程式化閘陣列(field programmable gate array, FPGA),記憶體裝置,微機電系統(microelectromechanical system, MEMS),任何適合裝置,或其之任何組合。
背側電源分配線路270可形成於基材240的第一表面241上。背側電源分配線路270可包含嵌入在背側介電質層272中的電源柵格(power grid, PG)導線274及276。背側電源分配線路270 亦包含在電源柵格導線之間提供電連接的背側矽穿孔275。在一些實施方式中,電源柵格導線274及276可電連接至相同電壓位位準,諸如,積體電路電源接線的VSS (例如,接地電壓參考)或VDD (例如,電源電壓參考)。在一些實施方式中,電源柵格導線274及276可電連接至不同電壓源。例如,電源柵格導線274可連接至VDD ,並且電源柵格導線276可連接至VSS 。在一些實施方式中,電源柵格導線274及276可由導電材料形成,諸如,銅,鋁,鈷,鎢,金屬矽化物,高導電性的氮化鉭,任何適合的導電材料,及/或其之組合。在一些實施方式中,電源柵格導線可在水平方向(例如,x或y方向)上延伸。例如,在第2圖中所展示的電源柵格導線274在x及y兩個方向上延伸,而電源柵格導線276在y方向上延伸。
背側介電質層272與基材240的第一表面241實體接觸。可使用介電質材料來形成,諸如,氧化矽,未摻雜的矽玻璃,氟矽玻璃,其他適合的材料,及/或其之組合。在一些實施方式中,背側介電質層272係使用低k介電質材料(例如,介電質常數小於3.9的材料)來形成。在一些實施方式中,背側介電質層272可包含兩個或兩個以上絕緣材料層,出於簡潔的目的,多個層在第2圖中未例示。在一些實施方式中,背側介電質層272可藉由在第一表面241上沉積介電質材料來形成。在一些實施方式中,背側介電質層272可藉由經由適合的晶圓接合製程將晶圓接合至第一表面241來形成,諸如,直接接合,室溫接合,混合接合,陽極接合,任何適合的晶圓接合製程,及/或其之組合。
背側導電柱275嵌入至背側介電質層272中,並且在電源柵格導線之間提供電連接。在一些實施方式中,背側導電柱275可由導電材料形成,諸如,銅,鋁,鈷,鎢,任何適合的導電材料,及/或其之組合。在一些實施方式中,背側導電柱275可使用鑲嵌製程來形成。
背側矽穿孔250為穿過基材240形成的導電柱。在一些實施方式中,背側矽穿孔250可為高深寬比導電柱(例如,深寬比大於約20的導電柱)。類似於背側導電柱275,背側矽穿孔250亦可由導電材料形成,諸如,銅,鋁,鈷,鎢,金屬矽化物,高導電性的氮化鉭,任何適合的導電材料,及/或其之組合。在一些實施方式中,背側矽穿孔250可使用鑲嵌製程來形成。
導電柱線路230可形成於基材240的第二表面242上。導電柱線路230可為電連接至背側矽穿孔250的電接線,以便傳輸電源或電訊號。在一些實施方式中,導電柱線路230可使用導電材料來形成,諸如,銅,鋁,鈷,鎢,金屬矽化物,高導電性的氮化鉭,任何適合的導電材料,及/或其之組合。在一些實施方式中,導電柱線路230可使用鑲嵌製程來形成。
ILD 203可形成於導電柱線路230及基材240的第二表面242上。ILD 203可包含介電質材料。在一些實施方式中,介電質材料可包含氧化矽,氮化矽,氮氧化矽,旋塗式玻璃(spin-on glass, SOG),氟矽玻璃(fluorinated silica glass, FSG),摻雜碳的氧化矽(例如,SiCOH (carbon doped silicon oxide)),非晶氟化碳,聚對二甲苯,雙苯環丁烯,聚醯亞胺,其他適合的多孔材料,其他適合的介電質材料,及/或其之組合。在一些實施方式中,ILD 203可包含高密度電漿(high density plasma, HDP)介電質材料(例如,HDP氧化物)及/或高深寬比製程(high aspect ratio process, HARP)介電質材料(例如,HARP氧化物)。在一些實施方式中,層間介電質203可使用低k介電質材料來形成。ILD 203亦可包含一或多個介電質層及/或一或多個導電層。在一些實施方式中,ILD 203可包含金屬層,導電柱層,蝕刻終止層。一或多個平坦化製程可用於平坦化ILD 203的頂部表面。ILD 203可藉由CVD,PVD,FCVD,ALD,PECVD,任何適合的沉積方法,及/或其之組合來沉積。互連結構,層間介電質及裝置層可形成於ILD 203中及導電柱線路230以及基材240上。層間介電質203可包含多個層;例如,不同層間介電質層可用於在導電層之間提供實體及電隔離。出於簡潔的目的,第2圖中未例示ILD 203中的多個層間介電質層。
在一些實施方式中,半導體元件220可形成於導電柱線路230上並且嵌入至層間介電質203中。半導體元件220可包含被動/主動裝置,諸如,電容器,感應器,及/或經配置為CMOS電路,RF電路,邏輯電路,周邊電路等等的電晶體。在一些實施方式中,半導體元件220可包含具有閘極端子及源極/汲極端子的鰭式場效電晶體(fin field-effect transistor, finFET)。在一些實施方式中,半導體元件220可為平坦的電晶體裝置。
金屬線可形成於層間介電質203中以形成互連結構。例如,金屬線M1-M8可為後段製程(back-end-of-line, BEOL)互連結構的金屬化層。例如,金屬線M1可為第一金屬化層,前述第一金屬化層穿過導電柱連接至半導體元件220的端子。金屬線M2可為第二金屬化層,前述第二金屬化層在金屬線M1上方並且穿過導電柱電連接至金屬線M1。金屬線M3-M8可為隨後形成於層間介電質203中的金屬化接線,多個接線經電連接以傳輸電源及/或訊號。諸如導電柱的互連結構可形成於ILD 203中以及於適合的金屬化層之間,以便在不同金屬化層的金屬線之間提供電連接。例如,導電柱可形成於相鄰金屬線之間,諸如,金屬線M1與M2之間,或形成於其他適合的非相鄰金屬線之間,諸如,金屬線M3與M5之間。導電柱可使用導電材料來形成於ILD 203中,諸如,銅,銀,鎢,鋁,鈷,任何適合的導電材料,及/或其之組合。出於簡潔的目的,第2圖中未例示金屬線之間的導電柱。
導電柱210亦可形成於層間介電質203中以在半導體元件220的端子與金屬線M1之間提供電連接。在一些實施方式中,導電柱210可由導電材料形成,諸如,銅,鋁,鈷,鎢,任何適合的導電材料,及/或其之組合。在一些實施方式中,導電柱210可使用鑲嵌製程來形成。
導電柱206及208形成於層間介電質203中並且電耦接至導電柱線路230。在一些實施方式中,導電柱206與導電柱210形成於相同位準下。在一些實施方式中,導電柱208包含並列形成於導電柱206與導電柱線路230之間的多個導電柱,如第2圖中所例示。在一些實施方式中,導電柱208與導電柱線路230實體接觸。在一些實施方式中,導電柱206及208可由導電材料形成,諸如,銅,鋁,鈷,鎢,任何適合的導電材料,及/或其之組合。在一些實施方式中,導電柱206及208可使用鑲嵌製程來形成。
深度矽穿孔205形成於層間介電質203中,以傳輸電源至半導體元件220及三維積體電路結構220中的其他適合的結構,同時降低電連接至深度矽穿孔205頂端的電源與半導體元件220的接收端子之間的IR降(例如,小於5%)。第一,深度矽穿孔205可為延伸穿過層間介電質203的單個導電柱,前述單個導電柱連接頂部金屬層(例如,金屬線M8)與在半導體元件220附近的底部金屬層(例如,金屬線M1),因此降低由連接在每一金屬層之間的多個較短導電柱引起的接觸電阻。第二,深度矽穿孔205亦傳輸電源至背側電源分配線路,前述背側電源分配線路在整個三維積體電路結構200內分配電源。背側電源分配線路可在IR損失較低的情況下分配電源,因為電源柵格導線274及276可具有大於金屬層M1至M8的側向及垂直尺寸,此舉又降低有關傳輸電電源或訊號的電阻。背側電源分配線路亦增大層間介電質203內的路由空間以用於電訊號路由。深度矽穿孔205可由導電材料形成,諸如,銅,鋁,鈷,鎢,任何適合的導電材料,及/或其之組合。在一些實施方式中,深度矽穿孔205可使用鑲嵌製程來形成。例如,可在層間介電質203中形成曝光金屬線M1的部分的溝槽,並且沉積導電材料以填充溝槽。在一些實施方式中,深度矽穿孔205可具有高深寬比(例如,高度相對於寬度的比)。例如,矽穿孔205的深寬比可介於約20與約30之間。在一些實施方式中,金屬層M8可形成於矽穿孔205上。
封裝凸塊290為導電結構,諸如,焊球,多個導電結構可電連接至電源或其他封裝以形成封裝上封裝(package on package, PoP)結構。在一些實施方式中,可存在形成於各別封裝凸塊290下方的凸塊下金屬化(under bump metallization, UBM)結構。在一些實施方式中,封裝凸塊可用於傳輸電訊號。
第4圖例示根據一些實施方式的併入深度矽穿孔405及導電柱塔406的三維積體電路結構400的橫剖面視圖。第2圖及第4圖中元件符號相同的元件係針對材料的相同結構,並且出於簡潔的目的並未重複。類似於第2圖及第3圖中例示的深度矽穿孔205,深度矽穿孔405亦形成於層間介電質203中並且在金屬線與導電柱線路之間形成電連接。然而,深度矽穿孔405的一端可連接至中間金屬線(例如,金屬線M5),而不是最頂端金屬線(例如,金屬線M8)。金屬線M5與M8之間的電連接可藉由導電柱塔406達成,前述導電柱塔406包含形成於每一對相鄰金屬線之間(諸如,金屬線M5與M6之間,M6與M7之間,以及M7與M8之間)的塔導電柱407。儘管塔導電柱407可具有小於深度矽穿孔405的側向及垂直尺寸,塔導電柱407亦提供降低的IR降,並且可置放於深度矽穿孔405上方的任何適合的位置。在一些實施方式中,塔導電柱407的側向寬度可介於約1 µm與約250 µm之間。在一些實施方式中,塔導電柱407的側向寬度可實質上約等於半導體電晶體裝置的多晶閘或金屬閘結構的寬度。 在一些實施方式中,塔導電柱407的垂直尺寸可介於多晶閘或金屬閘結構的高度的約1與約50倍之間。在一些實施方式中,塔導電柱407極為貼近,並且形成於深度矽穿孔405上方。在一些實施方式中,層間介電質203的厚度可超出製造限制以用於形成連接頂部金屬線(例如,金屬線M8)與底部金屬線(例如,金屬線M1)的深度矽穿孔。製造限制可包含形成具有高深寬比的矽穿孔而並不在深度矽穿孔內形成空隙。因此,導電柱塔406可在頂部金屬線(例如,金屬線M8)與連接至深度矽穿孔405的中間金屬線(例如,金屬線M5)之間提供電連接。另外,導電柱塔406可包含在相鄰金屬線(例如,金屬線M5及M6)之間的塔導電柱407,從而可提供將每一塔導電柱407置放於適合的位置的設計靈活性。在一些實施方式中,深度矽穿孔408可形成於深度矽穿孔405上方,以在頂部金屬線M8與中間金屬線M5之間提供電連接。因為深度矽穿孔405及408可為直徑大於塔導電柱407的單個導電柱,沿著深度矽穿孔405及408的IR降可小於沿著垂直方向(例如,z方向)的導電柱塔406。然而,相對於導電柱塔406優先選擇深度矽穿孔405可視具體的設計需要而定,諸如,降低的IR降及較高的設計靈活性。例如,深度矽穿孔提供較低的IR降,但是導電柱塔406提供較高的設計靈活性。深度矽穿孔405及導電柱塔406可使用類似於深度矽穿孔205的材料來形成。例如,深度矽穿孔405及導電柱塔406可由銅形成。在一些實施方式中,深度矽穿孔405及導電柱塔406的塔導電柱407可使用鑲嵌製程來形成。
第5圖例示根據一些實施方式的併入導電柱塔結構506的三維積體電路結構400的橫剖面視圖。第2圖及第5圖中元件符號相同的元件係針對材料的相同結構,並且出於簡潔的目的並未重複。導電柱塔506包含形成於相鄰金屬線之間的塔導電柱507。例如,塔導電柱507可形成於金屬線M1與M2之間。類似於在第4圖中所描述的導電柱塔406,導電柱塔506可提供降低的IR降及較高的設計靈活性。導電柱塔506可使用類似於深度矽穿孔205或405的材料來形成。例如,導電柱塔506可由銅形成。在一些實施方式中,導電柱塔506可使用鑲嵌製程來形成。
第6圖為根據本揭露的一些實施方式的用於在半導體元件中形成深度矽穿孔及背側電源分配線路的方法600的流程圖。應注意,方法600的操作可以不同次序執行及/或變化,並且方法600可包含更多操作並且出於簡潔的目的並未描述。 第7圖至第12圖為用於製造併入深度矽穿孔及背側電源分配線路的示例性半導體結構的橫剖面視圖。 提供第7圖至第12圖作為示例性橫剖面視圖,以便促進對方法600的解釋。第7圖至第12圖中所例示的半導體結構可包含類似於第2圖中所描述的基材240的基材740,並且出於簡潔的目的並未詳細描述。在一些實施方式中,基材740可包含各種適合的裝置或嵌入結構,並且出於簡潔的目的並未例示。儘管深度矽穿孔及背側電源分配線路的製造製程描述為示例,製造製程可應用於各種半導體結構中。所描述的製造製程為示例性的,並且可執行圖式中未展示的根據本揭露的替代製程。
在操作602,根據本揭露的一些實施方式,在基材的第一表面上形成背側電源分配線路。參照第7圖,在基材740的第一表面741上形成背側電源分配線路770。背側電源分配線路770可包含背側介電質層772及嵌入於其中的電源柵格導線774及776。電源柵格導線774及776可使用適合的製程來形成,諸如,鑲嵌製程,並且背側介電質層772可包含一個以上介電質層,出於簡潔的目的,第7圖中未例示。例如,可藉由在第一表面上沉積介電質層,繼之以圖案化製程以打開溝槽以供後續的金屬沉積來形成電源柵格導線774及776。可沉積金屬材料至溝槽中,並且可執行平坦化製程,以使得電源柵格導線774及776的頂部表面與所沉積的介電質層實質上共平面。在一些實施方式中,背側介電質層772可藉由化學氣相沉積(chemical vapor deposition, CVD),電漿增強CVD (plasma-enhanced CVD, PECVD),原子層沉積(atomic layer deposition, ALD),物理氣相沉積(physical vapor deposition, PVD),可流動CVD (flowable CVD, FCVD),任何其他適合的製程,或其之組合來沉積。在一些實施方式中,背側介電質層772可使用類似於上文第2圖中所描述的背側介電質層270的材料來形成。電源柵格導線774及776可使用CVD,PVD,ALD,電鍍,無電電鍍,任何適合的沉積製程,及/或其之組合來形成。在一些實施方式中,電源柵格導線774及776可使用類似於電源柵格導線274及276的材料來形成。在一些實施方式中,電源柵格導線774可連接至VDD ,並且電源柵格導線776可連接至VSS 。在一些實施方式中,背側電源分配線路770可藉由將電源分配線路晶圓接合至基材740的表面741來形成。
在操作604,根據本揭露的一些實施方式,在基材中形成背側矽穿孔。參照第8圖,在基材740中形成背側矽穿孔850,並且將前述背側矽穿孔850電連接至電源柵格導線,諸如,電源柵格導線774。在一些實施方式中,藉由在基材740中形成開口的圖案化及蝕刻製程來形成背側矽穿孔850。在開口中沉積導電材料。圖案化製程可包含形成上覆基材740的光阻劑層,將光阻劑層曝光至圖案,執行曝光後烘烤製程,以及顯影光阻劑層以形成包含抗蝕劑的遮罩元件。遮罩元件可保護基材740的區域,而蝕刻製程用於形成基材740中的開口。蝕刻製程可為反應離子蝕刻(reactive ion etch, RIE)製程及/或其他適合的製程。蝕刻製程可繼續直至下伏電源柵格導線774曝光為止。在形成開口之後,可使用任何適合的沉積方法將導電材料沉積至一或多個開口中,諸如,CVD,PVD,ALD,電鍍,無電電鍍,任何適合的沉積製程,及/或其之組合。在沉積導電材料之後,可執行平坦化製程(諸如,化學機械研磨(chemical mechanical polishing, CMP)製程),以使得背側矽穿孔850的頂部表面與基材740的第二表面742實質上共平面。
在操作606,根據本揭露的一些實施方式,在基材的第二表面上形成導電柱線路及半導體元件。參照第9圖,在基材740的第二表面742上形成導電柱線路930及半導體元件920。在一些實施方式中,導電柱線路930可為水平(例如,x方向)延伸的導電線,並且半導體元件920的部分可形成於導電柱線路930上。在一些實施方式中,半導體元件920之部分亦可形成於基材740之第二表面742上。導電柱線路930可使用導電材料來形成,諸如,銅,鈷,鋁,鎢,摻雜多晶矽,其他適合的導電材料,及/或其之組合。在一些實施方式中,導電柱線路930可使用沉積製程來形成,諸如CVD,PVD,ALD,任何適合的沉積製程,及/或其之組合。在一些實施方式中,半導體元件920可包含被動/主動裝置,諸如,電容器,感應器,及/或經配置為CMOS電路,RF電路等等的電晶體。如參照上文第2圖至第5圖中所描述,層間介電質可包含多個介電質層。如第9圖中所展示,層間介電質910可為層間介電質結構的部分,並且半導體元件920可形成於層間介電質910中。在一些實施方式中,層間介電質910可由適合的介電質材料形成,諸如,低k介電質材料。在一些實施方式中,層間介電質910可使用氧化矽或氮化矽來形成。出於簡潔的目的,本文並未詳細描述用於形成半導體元件920的製程。
在操作608,根據本揭露的一些實施方式,在導電柱線路與第一金屬內連接層之間形成導電柱。參照第10圖,在導電柱線路930與第一金屬內連接層M1之間形成包含導電柱1006及1008的導電結構。導電柱1006及1008可在後續形成的互連結構與導電柱線路930之間提供電連接。在一些實施方式中,可藉由在層間介電質910中形成開口以及在所形成的開口中沉積導電材料來形成導電柱1008。形成製程可類似於用於形成背側深度矽穿孔850的製程。例如,圖案化製程可用於在層間介電質910中形成開口直至曝光下伏導電柱線路930為止,並且在開口中沉積導電材料,諸如,銅。可在另一層間介電質1002中形成導電柱1006及1010以及第一內連接層M1,前述另一層間介電質1002沉積在層間介電質910及半導體元件920上。導電柱1006可在第一內連接層M1與導電柱1008之間提供電連接,而導電柱1010可在第一內連接層M1與半導體元件920的端子之間提供電連接。第一內連接層M1以及導電柱1006及1010可使用適合的製程來形成,諸如,鑲嵌製程,類似於形成電源柵格導線774及776以及背側矽穿孔850的製程,並且出於簡潔的目的並未詳細描述。類似地,第一內連接層M1以及導電柱1006及1010可使用適合的導電材料來形成,諸如,銅,鋁或鈷。
在操作610,根據本揭露的一些實施方式,穿過層間介電質並且在底部金屬內連接層與頂部金屬內連接層之間形成深度矽穿孔。參照第11圖,在層間介電質1102中形成深度矽穿孔1105,並且將前述深度矽穿孔1105電耦接至第一內連接層M1。在一些實施方式中,深度矽穿孔1105與第一內連接層M1實體接觸。在一些實施方式中,層間介電質1102沉積於層間介電質1002上,並且可使用類似於層間介電質1002的材料來形成。例如,層間介電質1102可使用適合的低k介電質材料來形成。在一些實施方式中,層間介電質1102可使用不同於形成層間介電質1002之材料的材料來形成。
深度矽穿孔1105可使用適合的方法來形成於層間介電質1102中。例如,深度矽穿孔1105可藉由適合的圖案化,蝕刻及沉積製程來形成。例如,圖案化製程可包含形成上覆層間介電質1102的光阻劑層,將光阻劑層曝光至圖案,執行曝光後烘烤製程,以及顯影光阻劑層以形成包含抗蝕劑的遮罩元件。遮罩元件可保護層間介電質1102的區域,而蝕刻製程用於形成層間介電質1102中的開口。蝕刻製程可為RIE製程及/或其他適合的製程。蝕刻製程可繼續直至下伏第一內連接層M1曝光為止。
在形成開口之後,可使用任何適合的沉積方法將導電材料沉積至一或多個開口中,諸如,CVD,PVD,ALD,電鍍,無電電鍍,任何適合的沉積製程,及/或其之組合。在沉積導電材料之後,可執行平坦化製程(諸如,CMP製程),以使得深度矽穿孔1105的頂部表面與層間介電質1102的頂部表面實質上共平面。在一些實施方式中,深度矽穿孔1105可為高深寬比導電柱,其中視裝置需要而定深寬比介於約20與約30之間。例如,深度矽穿孔1105的深寬比可為約25。在一些實施方式中,深度矽穿孔1105的深寬比可大於30。例如,對於包含嵌入於層間介電質層1102中的多個層的三維積體電路結構而言,深度矽穿孔1105的深寬比可介於約30與40之間。在一些實施方式中,深度矽穿孔1105的側向寬度可介於半導體電晶體裝置的多晶閘或金屬閘結構的寬度的約一倍與約250倍之間。在一些實施方式中,深度矽穿孔1105的垂直尺寸可介於多晶閘或金屬閘結構的高度的約1與約2500倍之間。
在一些實施方式中,深度矽穿孔及導電柱塔可形成於層間介電質1102中,諸如,在第4圖的半導體元件400中所描述的深度矽穿孔及導電柱塔。在一些實施方式中,深度矽穿孔1105可形成於層間介電質1102以及第一內連接層M1與中間內連接層(諸如,內連接層M4或M5)之間。深度矽穿孔可類似於第11圖中所例示的深度矽穿孔;然而,此類深度矽穿孔將與第一內連接層M1及中間內連接層形成實體接觸,而不與頂部內連接層(諸如,內連接層M8)形成實體接觸。導電柱塔可後續形成於中間內連接層上,並且電耦接至深度矽穿孔。導電柱塔可包含使用適合的製程(諸如,鑲嵌製程)來形成並且形成於相鄰內連接層之間(諸如,內連接層M5與M6之間,M6與M7之間,及/或M7與M8之間)的導電柱。
在操作612,根據本揭露的一些實施方式,在層間介電質上形成電源輸入/輸出層,以形成至深度矽穿孔的電連接。參照第12圖,可在層間介電質1102上及頂部內連接層(諸如,內連接層M8)上形成電源輸入/輸出層。內連接層M8可形成於深度矽穿孔1105上並且電耦接至深度矽穿孔1105。在一些實施方式中,電源輸入/輸出層可包含封裝凸塊1290。在一些實施方式中,封裝凸塊1290為導電結構,諸如,焊球,多個導電結構可電連接至電源或其他封裝以形成PoP結構。在一些實施方式中,可存在形成於各別封裝凸塊1290下方的UBM結構。在一些實施方式中,封裝凸塊1290可連接至電壓源或電壓參考訊號。例如,封裝凸塊1290可連接至VDD 電源。在一些實施方式中,封裝凸塊1290可用於傳輸電訊號。
本揭露中的各種實施方式係針對導電柱結構及背側電源分配線路,以解決半導體元件及積體電路中的IR降。具體而言,實施方式至少包含如下特徵,諸如,(i)形成於三維積體電路結構的背側上的電源分配線路;(ii)用於穿過內連接層及裝置層傳遞電源至背側電源分配線路的正側深度矽穿孔;以及(iii)具有正側短導電柱的導電柱塔。背側電源分配線路及正側深度矽穿孔可提供如下益處,例如,(i)降低自電源至嵌入裝置的IR降;及(ii)增大層間介電質層內用於訊號接線的路由空間。應注意,本申請案中所描述的結構及方法亦可應用於其他導電結構,諸如,訊號載送導線,接地導線,以及任何其他適合的導電結構。
在一些實施方式中,半導體結構包含電源分配線路,前述電源分配線路包含第一導電線及第二導電線。半導體結構亦包含具有第一表面的基材,前述第一表面與電源分配線路接觸。半導體結構亦包含複數個背側導電柱,前述複數個背側導電柱位於基材中並且電耦接至第一導電線。半導體結構亦包含在基材的第二表面上形成的導電柱線路,其中第一表面及第二表面彼此相對。半導體結構亦包含:在導電柱線路上及在基材上的第一層間介電質;第一層間介電質上的第二層間介電質;以及在第二層間介電質上的第三層間介電質。第一內連接層位於第二層間介電質中,並且頂部內連接層位於第三層間介電質中。半導體結構進一步包含位於層間介電質中並且電耦接至導電柱線路的複數個深度導電柱,其中複數個深度導電柱連接至第一內連接層及頂部內連接層。半導體結構亦包含在第三層間介電質上並且與頂部內連接層接觸的電源輸入/輸出層。
在一些實施方式中,半導體結構包含電源分配線路,前述電源分配線路包含第一導電線及第二導電線。半導體結構亦包含具有第一表面的基材,其中第一表面與電源分配線路接觸。半導體結構亦包含複數個背側導電柱,前述複數個背側導電柱位於基材中並且電耦接至第一導電線。導電柱線路形成於基材的第二表面上,並且第一表面與第二表面彼此相對。半導體結構進一步包含在基材上的層間介電質,並且亦包含在層間介電質上並且彼此疊置的第一內連接層,第二內連接層及第三內連接層。半導體結構亦包含與第一內連接層及導電柱線路接觸的複數個互連結構。深度導電柱位於層間介電質中,並且深度導電柱與第一內連接層及第二內連接層接觸。半導體結構亦包含在深度導電柱上方並且在第二內連接層與第三內連接層之間形成的複數個導電柱。半導體結構亦包含在層間介電質上並且與第三內連接層接觸的電源輸入/輸出層。
在一些實施方式中,一種用於形成半導體結構的方法包含在基材的第一表面上沉積介電質層以及在介電質層中形成電源分配線路。形成電源分配線路包含沉積第一導電材料以形成第一導電線,其中第一導電材料與基材的第一表面實體接觸。形成電源分配線路亦包含沉積第二導電材料以形成第二導電線。方法亦包含在基材中形成複數個背側導電柱,其中複數個背側導電柱電耦接至第一導電線。方法進一步包含在基材的第二表面上沉積導電柱線路,其中第一表面與第二表面彼此相對。方法亦包含在導電柱線路上及在基材上沉積第一層間介電質,以及在第一層間介電質上沉積第二層間介電質。方法進一步包含在第二層間介電質上沉積第三層間介電質,以及在第三層間介電質中形成第一內連接層。方法亦包含蝕刻層間介電質以形成開口,以及曝光第一內連接層的部分。方法進一步包含將導電材料沉積至開口中以在層間介電質中形成複數個深度導電柱,其中複數個深度導電柱連接至第一內連接層並且電耦接至導電柱線路。方法進一步包含在複數個深度導電柱上以及在第三層間介電質中形成頂部內連接層,其中頂部內連接層與複數個深度導電柱接觸。方法進一步包含在第三層間介電質上形成電源輸入/輸出層並且與頂部互層接觸。
應理解,[實施方式]部分但不是[發明]部分的[摘要]意欲用於解釋申請專利範圍。[發明]部分的[摘要]可闡述如發明人所預期之本發明的一或多個但不是所有可能實施方式,且因此,不意欲以任何方式限制隨附申請專利範圍。
前述揭示內容概括若干實施方式的特徵,以使得熟習此項技術者可較好地理解本揭露的態樣。熟習此項技術者將瞭解,可意欲將本揭露用作設計或修改其他製程及結構的基礎,多個其他製程及結構用於實現相同目的及/或達成本文所介紹的實施方式的相同優勢。熟習此項技術者亦將認識到,此類等效構造並不脫離本揭露的精神及範疇,而是可在不偏離本揭露的精神及範疇的情況下進行各種修改,替換及更改。
100:三維積體電路結構 100A:晶片層 100B:晶片層 100C:晶片層 100D:晶片層 110:裝置層 120:垂直導電結構 130:周邊結構 140:電源 150:IR降 152:IR降 154:IR降 200:三維積體電路結構 201:方向 203:層間介電質 205:深度矽穿孔 206:導電柱 208:導電柱 210:導電柱 220:半導體元件 230:導電柱線路 240:基材 241:第一表面 242:第二表面 250:背側矽穿孔 270:背側介電質層 272:背側介電質層 274:電源柵格導線 275:背側矽穿孔 276:電源柵格導線 290:封裝凸塊 M1:金屬線 M2:金屬線 M3:金屬線 M4:金屬線 M5:金屬線 M6:金屬線 M7:金屬線 M8:金屬線 400:三維積體電路結構 405:深度矽穿孔 406:導電柱塔 407:塔導電柱 408:深度矽穿孔 506:導電柱塔 507:塔導電柱 600:方法 602:操作 604:操作 606:操作 608:操作 610:操作 612:操作 740:基材 741:第一表面 772:背側介電質層 774:電源柵格導線 776:電源柵格導線 770:背側電源分配線路 850:背側矽穿孔 910:層間介電質 920:半導體元件 930:導電柱線路 1002:層間介電質 1006:導電柱 1008:導電柱 1010:導電柱 1102:層間介電質 1105:深度矽穿孔 1290:封裝凸塊
結合理解隨附圖式時藉由以下詳細描述將最佳理解本揭露的態樣。應注意,根據業界的常用實踐,各種特徵並未按比例繪製。實際上,出於論述清晰的目的,各種特徵的尺寸可任意增大或減小。 第1圖為根據一些實施方式的在積體晶片結構上的三維系統的部分等軸視圖。 第2圖及第3圖為根據一些實施方式的具有降低的IR降的積體晶片結構的橫剖面視圖。 第4圖及第5圖為根據一些實施方式的具有降低的IR降的積體晶片結構的各種組態的橫剖面視圖。 第6圖為根據一些實施方式的一種用於形成具有降低的IR降的積體電路結構的方法的流程圖。 第7圖至第12圖例示根據一些實施方式的具有降低的IR降的積體晶片結構的各種製造階段。
200:積體電路結構
201:方向
203:層間介電質
205:深度矽穿孔
206:導電柱
208:導電柱
210:導電柱
220:半導體元件
230:導電柱線路
240:基材
241:第一表面
242:第二表面
250:背側矽穿孔
270:背側介電質層
272:背側介電質層
274:電源柵格導線
275:背側矽穿孔
276:電源柵格導線
290:封裝凸塊
M1:金屬線
M2:金屬線
M3:金屬線
M4:金屬線
M5:金屬線
M6:金屬線
M7:金屬線
M8:金屬線

Claims (20)

  1. 一種半導體結構,包含: 一電源分配線路,包含: 一第一導電線;以及 一第二導電線; 一基材,該基材包含一第一表面,其中該第一表面與該電源分配線路接觸; 複數個背側導電柱,位於該基材中,且電耦接至該第一導電線; 一導電柱線路,形成於該基材的一第二表面上,其中該第二表面位於該第一表面的一相對側; 一第一層間介電質,位於該導電柱線路上,且位於該基材上; 一第二層間介電質,位於該第一層間介電質上; 一第三層間介電質,位於該第二層間介電質上; 一第一內連接層,位於該第二層間介電質中; 一頂部內連接層,位於該第三層間介電質中; 複數個深度導電柱,位於該第三層間介電質中,且電耦接至該導電柱線路,其中該些深度導電柱連接至該第一內連接層以及該頂部內連接層;以及 一電源輸入/輸出層,位於該第三層間介電質上,且與該頂部內連接層接觸。
  2. 如請求項1所述之半導體結構,其中該第一導電線及該第二導電線分別連接至一電源電壓參考接線以及一接地電壓參考接線。
  3. 如請求項1所述之半導體結構,其中該些背側導電柱與該第一導電線以及該導電柱線路實體接觸。
  4. 如請求項1所述之半導體結構,其中該導電柱線路與該基材及該些背側導電柱中的至少一個背側導電柱實體接觸。
  5. 如請求項1所述之半導體結構,進一步包含複數個導電結構,該些導電結構與該第一內連接層以及該導電柱線路接觸,其中該些導電結構形成於該第一層間介電質及該第二層間介電質中。
  6. 如請求項5所述之半導體結構,其中該些導電結構包含分別位於該第一層間介電質以及該第二層間介電質中的第一導電柱以及第二導電柱。
  7. 如請求項6所述之半導體結構,進一步包含複數個互連結構,位於該第一內連接層與複數個半導體元件之間。
  8. 如請求項1所述之半導體結構,其中該些深度導電柱中的每一深度導電柱的一深寬比介於約20與約30之間。
  9. 如請求項1所述之半導體結構,進一步包含一第二內連接層,該第二內連接層位於該第三層間介電質中以及位於該第一內連接層與該頂部內連接層之間。
  10. 如請求項1所述之半導體結構,其中該電源輸入/輸出層包含封裝凸塊。
  11. 一種半導體結構,包含: 一電源分配線路,包含: 一第一導電線;以及 一第二導電線; 一基材,包含一第一表面,其中該第一表面與該第一導電線接觸; 複數個背側導電柱,位於該基材中,且電耦接至該第一導電線; 一導電柱線路,形成於該基材的一第二表面上,其中該第二表面位於該第一表面的一相對側面上; 一層間介電質,位於該基材上; 一第一內連接層、一第二內連接層以及一第三內連接層,位於該層間介電質中,且彼此疊置; 複數個互連結構,與該第一內連接層以及該導電柱線路接觸; 一深度導電柱,位於該層間介電質中,其中該深度導電柱與該第一內連接層以及該第二內連接層接觸; 複數個導電柱,形成於該深度導電柱上方,且位於該第二內連接層與該第三內連接層之間;以及 一電源輸入/輸出層,位於該第三層間介電質上,且與該第三內連接層接觸。
  12. 如請求項11所述之半導體結構,其中該導電柱線路電耦接至該些背側導電柱。
  13. 如請求項11所述之半導體結構,進一步包含位於該第一內連接層與該第二內連接層之間的複數個額外內連接層。
  14. 如請求項11所述之半導體結構,進一步包含位於該層間介電質中的複數個半導體元件。
  15. 如請求項11所述之半導體結構,其中該深度導電柱的一深寬比介於約20與約30之間。
  16. 一種半導體結構的形成方法,包含: 在一基材的一第一表面上沉積一介電質層; 在該介電質層中形成一電源分配線路,其中形成該電源分配線路包含: 沉積一第一導電材料以形成一第一導電線,其中該第一導電材料與該基材的該第一表面實體接觸;以及 沉積一第二導電材料以形成一第二導電線; 在該基材中形成複數個背側導電柱,其中該些背側導電柱電耦接至該第一導電線; 在該基材的一第二表面上沉積一導電柱,其中該第二表面位於該第一表面的一相對側; 在該導電柱線路上及在該基材上沉積一第一層間介電質; 在該第一層間介電質上沉積一第二層間介電質; 在該第二層間介電質上沉積一第三層間介電質; 在該第三層間介電質中形成一第一內連接層; 蝕刻該層間介電質以形成開口,並曝光該第一內連接層的部分; 將導電材料沉積至多個開口中以在該層間介電質中形成複數個深度導電柱,其中該些深度導電柱連接至該第一內連接層,且電耦接至該導電柱線路; 在該些深度導電柱上以及在該第三層間介電質中形成一頂部內連接層,其中該頂部內連接層與該些深度導電柱接觸;以及 在該第三層間介電質上形成一電源輸入/輸出層,且與該頂部內連接層接觸。
  17. 如請求項16所述之方法,進一步包含: 將該第一導電線及該第二導電線分別連接至一電源電壓參考接線及一接地電壓參考接線。
  18. 如請求項16所述之方法,進一步包含: 在該第一層間介電質中形成複數個半導體元件。
  19. 如請求項18所述之方法,進一步包含: 在該第一內連接層與該些半導體元件之間形成複數個互連結構。
  20. 如請求項16所述之方法,進一步包含: 在該第三層間介電質中以及在該第一內連接層與該頂部內連接層之間形成一第二內連接層。
TW109130103A 2019-10-18 2020-09-02 半導體結構及其形成方法 TWI731782B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/656,715 2019-10-18
US16/656,715 US11158580B2 (en) 2019-10-18 2019-10-18 Semiconductor devices with backside power distribution network and frontside through silicon via

Publications (2)

Publication Number Publication Date
TW202123403A true TW202123403A (zh) 2021-06-16
TWI731782B TWI731782B (zh) 2021-06-21

Family

ID=75445373

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109130103A TWI731782B (zh) 2019-10-18 2020-09-02 半導體結構及其形成方法

Country Status (3)

Country Link
US (3) US11158580B2 (zh)
CN (1) CN112687659B (zh)
TW (1) TWI731782B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI817821B (zh) * 2022-08-24 2023-10-01 美商達爾科技股份有限公司 電子組件封裝件及其製造方法

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113192950A (zh) 2020-04-30 2021-07-30 台湾积体电路制造股份有限公司 集成电路及其制造方法
US11437998B2 (en) * 2020-04-30 2022-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit including back side conductive lines for clock signals
US11715755B2 (en) * 2020-06-15 2023-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for forming integrated high density MIM capacitor
US11444068B2 (en) * 2020-07-14 2022-09-13 Qualcomm Incorporated Three-dimensional (3D) integrated circuit device having a backside power delivery network
KR20220015599A (ko) * 2020-07-31 2022-02-08 삼성전자주식회사 반도체 소자 및 반도체 소자의 설계 방법
US11817392B2 (en) 2020-09-28 2023-11-14 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit
US20230020464A1 (en) * 2021-07-16 2023-01-19 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure
US11817394B2 (en) * 2021-10-11 2023-11-14 International Business Machines Corporation Semiconductor circuit power delivery
US20230128985A1 (en) * 2021-10-22 2023-04-27 International Business Machines Corporation Early backside first power delivery network
US20230230901A1 (en) * 2022-01-10 2023-07-20 International Business Machines Corporation TSV and Backside Power Distribution Structure

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4328530A (en) * 1980-06-30 1982-05-04 International Business Machines Corporation Multiple layer, ceramic carrier for high switching speed VLSI chips
JPH04361559A (ja) * 1991-06-10 1992-12-15 Ngk Spark Plug Co Ltd 集積回路用パッケージ
US6775150B1 (en) * 2000-08-30 2004-08-10 Intel Corporation Electronic assembly comprising ceramic/organic hybrid substrate with embedded capacitors and methods of manufacture
TW544882B (en) * 2001-12-31 2003-08-01 Megic Corp Chip package structure and process thereof
US20100103634A1 (en) * 2007-03-30 2010-04-29 Takuo Funaya Functional-device-embedded circuit board, method for manufacturing the same, and electronic equipment
JP5491383B2 (ja) * 2008-03-19 2014-05-14 出光興産株式会社 アントラセン誘導体、発光材料および有機エレクトロルミネッセンス素子
US8299583B2 (en) * 2009-03-05 2012-10-30 International Business Machines Corporation Two-sided semiconductor structure
US8362482B2 (en) * 2009-04-14 2013-01-29 Monolithic 3D Inc. Semiconductor device and structure
US8164171B2 (en) * 2009-05-14 2012-04-24 Megica Corporation System-in packages
KR101682662B1 (ko) * 2009-07-20 2016-12-06 삼성전자주식회사 3차원 메모리 장치 및 그것의 프로그램 방법
US8148728B2 (en) * 2009-10-12 2012-04-03 Monolithic 3D, Inc. Method for fabrication of a semiconductor device and structure
US8154128B2 (en) * 2009-10-14 2012-04-10 Macronix International Co., Ltd. 3D integrated circuit layer interconnect
US8383512B2 (en) * 2011-01-19 2013-02-26 Macronix International Co., Ltd. Method for making multilayer connection structure
US9293366B2 (en) * 2010-04-28 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Through-substrate vias with improved connections
US9406658B2 (en) 2010-12-17 2016-08-02 Advanced Semiconductor Engineering, Inc. Embedded component device and manufacturing methods thereof
US20120193785A1 (en) * 2011-02-01 2012-08-02 Megica Corporation Multichip Packages
US8803321B2 (en) * 2012-06-07 2014-08-12 International Business Machines Corporation Dual damascene dual alignment interconnect scheme
US8928149B2 (en) * 2013-03-12 2015-01-06 Macronix International Co., Ltd. Interlayer conductor and method for forming
US9059257B2 (en) * 2013-09-30 2015-06-16 International Business Machines Corporation Self-aligned vias formed using sacrificial metal caps
CN106463467B (zh) * 2014-06-16 2019-12-10 英特尔公司 不使用穿硅通孔(tsv)将存储器管芯直接集成到逻辑管芯的方法
KR20150144416A (ko) 2014-06-16 2015-12-28 한국전자통신연구원 적층 모듈 패키지 및 그 제조 방법
US10177032B2 (en) * 2014-06-18 2019-01-08 Taiwan Semiconductor Manufacturing Company, Ltd. Devices, packaging devices, and methods of packaging semiconductor devices
US9922956B2 (en) 2014-09-26 2018-03-20 Qualcomm Incorporated Microelectromechanical system (MEMS) bond release structure and method of wafer transfer for three-dimensional integrated circuit (3D IC) integration
WO2017054855A1 (en) * 2015-09-30 2017-04-06 Agile Power Switch 3D - Integration Apsi3D A semiconductor power device comprising additional tracks and method of manufacturing the semiconductor power device
US10032713B2 (en) 2016-01-27 2018-07-24 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
EP3465751B1 (en) 2016-06-03 2021-08-18 Intel Corporation Wireless module with antenna package and cap package
US10784204B2 (en) * 2016-07-02 2020-09-22 Intel Corporation Rlink—die to die channel interconnect configurations to improve signaling
US10276491B2 (en) * 2016-08-31 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure and methods thereof
US10515896B2 (en) * 2017-08-31 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure for semiconductor device and methods of fabrication thereof
US10608642B2 (en) * 2018-02-01 2020-03-31 iCometrue Company Ltd. Logic drive using standard commodity programmable logic IC chips comprising non-volatile radom access memory cells
US11393758B2 (en) * 2018-09-12 2022-07-19 Intel Corporation Power delivery for embedded interconnect bridge devices and methods

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI817821B (zh) * 2022-08-24 2023-10-01 美商達爾科技股份有限公司 電子組件封裝件及其製造方法

Also Published As

Publication number Publication date
TWI731782B (zh) 2021-06-21
US11158580B2 (en) 2021-10-26
CN112687659A (zh) 2021-04-20
US20230387035A1 (en) 2023-11-30
US20220045011A1 (en) 2022-02-10
US11842967B2 (en) 2023-12-12
US20210118805A1 (en) 2021-04-22
CN112687659B (zh) 2024-06-11

Similar Documents

Publication Publication Date Title
TWI731782B (zh) 半導體結構及其形成方法
TWI681466B (zh) 半導體結構及積體電路封裝的形成方法
US11211333B2 (en) Through silicon via optimization for three-dimensional integrated circuits
US20220359646A1 (en) Backside capacitor techniques
US9564420B2 (en) Functional block stacked 3DIC and method of making same
TWI734319B (zh) 鍵合的三維記憶體元件及其製作方法
US9530690B2 (en) Metal pad structure over TSV to reduce shorting of upper metal layer
US9691840B2 (en) Cylindrical embedded capacitors
KR20150012574A (ko) Tsv 구조 및 디커플링 커패시터를 구비한 집적회로 소자 및 그 제조 방법
KR20160130820A (ko) 기판의 웰에 근접하여 기판 내에 배치되는 열 비아
KR20080046115A (ko) 칩 스태킹을 위한 자기-정렬된 스루 비아
US11362069B2 (en) Three-dimensional stacking structure and manufacturing method thereof
US9553080B1 (en) Method and process for integration of TSV-middle in 3D IC stacks
US20230154894A1 (en) Three-dimensional integrated circuit structure and a method of fabricating the same
US9461017B1 (en) Electronic package that includes a plurality of integrated circuit devices bonded in a three-dimensional stack arrangement
KR20230090983A (ko) 관통 비아 구조체
CN112530899A (zh) 半导体器件及其制造方法
TWI842267B (zh) 半導體佈置及其形成方法及半導體結構
TWI842221B (zh) 半導體結構、半導體配置及其形成方法
TWI792433B (zh) 半導體裝置以及其製造方法
TW202329383A (zh) 半導體結構、半導體配置及其形成方法
KR20230086509A (ko) 반도체 장치, 반도체 패키지, 및 반도체 장치의 제조 방법
TW202403962A (zh) 半導體裝置及其形成方法
CN115995423A (zh) 半导体结构及其形成方法、堆叠结构及其形成方法