TW202036672A - 積體電路的製造方法 - Google Patents

積體電路的製造方法 Download PDF

Info

Publication number
TW202036672A
TW202036672A TW108138362A TW108138362A TW202036672A TW 202036672 A TW202036672 A TW 202036672A TW 108138362 A TW108138362 A TW 108138362A TW 108138362 A TW108138362 A TW 108138362A TW 202036672 A TW202036672 A TW 202036672A
Authority
TW
Taiwan
Prior art keywords
layer
gap filling
filling material
mask
patterned
Prior art date
Application number
TW108138362A
Other languages
English (en)
Inventor
張競予
許仲豪
王仁宏
李資良
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202036672A publication Critical patent/TW202036672A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0331Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers for lift-off processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

一種積體電路的製造方法,包括:於基板上圖案化複數個光遮罩層;利用原子層沉積將第一材料部分回填至圖案化的光遮罩層;利用原子層沉積將第二材料完全回填至圖案化的光遮罩層;移除光遮罩層以形成遮罩結構,其包括第一材料與第二材料至少其一;以及轉移遮罩結構所形成的圖案至基板,並移除遮罩結構。第一材料包括二氧化矽、碳化矽或碳材料,而第二材料包括金屬氧化物或金屬氮化物材料。

Description

積體電路的製造方法
本發明實施例是關於一種積體電路的製造方法,特別是關於一種積體電路的圖案化與沉積方法。
由於持續改良各種電子元件(例如電晶體、二極體、電阻、電容等)的積集密度,半導體產業經歷了快速成長。在大多數的情況下,此積集密度的改善是來自最小部件尺寸不斷地減少,而在給定的面積內得以納入更多元件。
微影化製程中使用的多重圖案化技術以先進的製程節點定義了積體電路的部件。多重圖案化技術使設計者能開發出利用目前的光學微影系統在次20nm(sub-20nm)製程節點上製造積體電路。對即將到來的10nm、7nm或更小節點的半導體製程而言,多重圖案化技術被認為是必要的。多重圖案化製程中,單一微影曝光可能不足以提供充足的解析度。因此,需要額外的曝光抑或是利用經蝕刻的部件側壁產生定位圖案,以提供所需解析度。
原子層沉積(atomic layer deposition, ALD)是基於依序使用氣相化學製程的薄膜沉積技術。原子層沉積為化學氣相沉積的一種子類別。大部分的原子層沉積反應使用兩種化學物質,一般稱為前驅物(precursor)。這些前驅物以連續、自限(self-limiting)的方式,一次一種前驅物與材料表面反應。重複曝露至各自的前驅物可緩慢地沉積薄膜。原子層沉積可用於製造密度增加的半導體裝置。
本發明實施例提供一種積體電路的製造方法,包括:於遮罩中形成開口,遮罩位於硬遮罩材料之上;沿著開口的側壁沉積第一間隙填充材料;於開口中沉積與第一間隙填充材料不同的第二間隙填充材料,其包括金屬氧化物或金屬氮化物;移除遮罩;蝕刻第一間隙填充材料;以及以第二間隙填充材料作為遮罩,圖案化硬遮罩材料。
本發明實施例提供一種積體電路的製造方法,包括:於基板上形成介電層;於介電層上形成光遮罩層;圖案化光遮罩層,以形成通過光遮罩層的間隙;利用第一材料部份填充間隙;利用與第一材料不同的第二材料填充間隙,第二材料包括金屬氧化物或金屬氮化物;移除光遮罩層與第一材料的至少一部分,以形成包括第二材料的遮罩結構;轉移遮罩結構所形成的圖案至介電層,並移除遮罩結構;以及轉移介電層所形成的圖案至基板。
本發明實施例提供一種積體電路的製造方法,包括:至少部分利用原子層沉積製程,沉積第一材料至遮罩層中的開口中,遮罩層位於基板之上;至少部分利用原子層沉積製程,沉積第二材料至開口中;移除遮罩層;以第二材料作為遮罩蝕刻該遮罩層,以形成遮罩結構,其包括第一材料與第二材料至少其一;以及轉移遮罩結構所形成的圖案至基板,並移除遮罩結構。
以下揭露提供了許多的實施例或範例,用於實施所提供的標的物之不同元件。各元件和其配置的具體範例描述如下,以簡化本發明實施例之說明。當然,這些僅僅是範例,並非用以限定本發明實施例。舉例而言,敘述中若提及第一元件形成在第二元件之上,可能包含第一和第二元件直接接觸的實施例,也可能包含額外的元件形成在第一和第二元件之間,使得它們不直接接觸的實施例。此外,本發明實施例可能在各種範例中重複參考數值以及∕或字母。如此重複是為了簡明和清楚之目的,而非用以表示所討論的不同實施例及∕或配置之間的關係。
再者,其中可能用到與空間相對用詞,例如「在……之下」、「下方」、「較低的」、「上方」、「較高的」等類似用詞,是為了便於描述圖式中一個(些)部件或特徵與另一個(些)部件或特徵之間的關係。空間相對用詞用以包括使用中或操作中的裝置之不同方位,以及圖式中所描述的方位。當裝置被轉向不同方位時(旋轉90度或其他方位),其中所使用的空間相對形容詞也將依轉向後的方位來解釋。
本發明實施例是在形成半導體裝置的背景下進行討論,且詳細而論,是在製造裝置時改善硬遮罩的蝕刻選擇性之背景下進行討論。
第1至3圖是根據本發明的一實施例,繪示出硬遮罩製程在製程的各種初始階段之透視圖,其相對於第一方向(x軸)與第二方向(y軸)而轉向。
第1圖繪示出半導體結構100的範例,其包括介電質、光遮罩、沉積於基板60上的下述其他膜層與欲圖案化層80。基板60可為半導體基板,例如塊狀(bulk)半導體或絕緣體上覆半導體(SOI)基板等,其可為摻雜(例如使用p型或n型摻質)或未摻雜。基板60可為晶圓如矽晶圓。一般而言,絕緣體上覆半導體基板包括形成於絕緣層上的一層半導體材料。例如,絕緣層可為埋入(buried)氧化物層或氧化矽層等。於基板上提供絕緣層,一般為矽或玻璃基板。也可使用其他基板如多層或梯度(gradient)基板。在一些實施例中,基板60的半導體材料可包括:矽、鍺;化合物半導體,包括碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦以及∕或銻化銦;合金半導體,包括SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP以及∕或GaInAsP;或前述之組合。
半導體基板更可包括主動(active)層(或裝置區),其可包括額外的半導體、金屬與絕緣或介電層,以形成主動元件與被動元件,主動元件如電晶體,其包括鰭狀場效電晶體(FinFET)而被動元件如電阻與電容。主動層(或裝置區)更可包括導電部件如接觸件(contacts,也稱為接觸插塞(plugs)),接觸件如閘極接觸件與源極∕汲極接觸件,分別電性耦接至下方電晶體的閘極與源極∕汲極區,而電晶體包括鰭狀場效電晶體。
欲圖案化層80可為金屬化層的介電層、可為一層半導體材料,也可為已形成有導電材料的金屬化層的上層,或甚至可為另一遮罩層,用以圖案化另一下方層。欲圖案化層80為金屬化層的介電層之實施例中,介電層可為具有約1至40介電常數的介電材料。在特定範例中,欲圖案化層80所使用的介電層可為氧化矽、氮化矽、氧化鋁、氧化鉿、氧化鑭、其他合適的低介電常數介電層等或前述之組合,且可利用如沉積或氧化等製程形成。
欲圖案化層80為金屬層的更另一實施例中,可先利用製程如鑲嵌(damascene)、雙鑲嵌、沉積與圖案化等,形成欲圖案化層80。在一特定實施例中,欲圖案化層80的導電材料包括至少一金屬、金屬合金、金屬氮化物、金屬硫化物、金屬硒化物、金屬氧化物或金屬矽化物。特定範例包括銅、鈦、鋁、鈷、銣、氮化鈦、氮化鎢(WN2 )與氮化鉭,然而可替換使用任何合適的材料。
欲圖案化層80為半導體材料的一實施例中,欲圖案化層80可為半導體基板,其具有或不具有介於其中的主動元件、金屬化層與介電材料。然而,本發明所屬技術領域具有通常知識者將理解,儘管本發明實施例敘述了材料、製程與其他細節,這些細節僅是為了說明本發明實施例,而並非以任何方式限制本發明實施例。確切而言,可替換使用任何合適的膜層,可利用任何合適的製程、使用任何合適的厚度與材料形成這些膜層。本發明實施例的範圍內完全包括這些所有膜層。
如第1圖所繪示,半導體結構100也可包括複數個介電層,包括第一介電層102、第二介電層104、第三介電層106與第四介電層108。在一實施例中,第一介電層102可由一或多種合適的介電材料所形成,包括低介電常數介電質如SiOCH、其他碳摻雜氧化物、超低介電常數介電質如多孔(porous)碳摻雜二氧化矽、氧化矽、氮化矽、聚合物如聚醯亞胺(polyimide)等或前述之組合。可利用製程如旋轉塗佈(spin-on)製程或化學氣相沉積(chemical vapor deposition, CVD)形成第一介電層102,然而也可使用任何合適的製程。第一介電層102可具有約400Å至約1000Å間的厚度,例如約600Å。
在一實施例中,第二介電層104可為抗反射塗層(anti-reflective coating, ARC),形成於第一介電層102之上。在一實施例中,第二介電層104可為無氮(nitrogen-free)抗反射塗層如SiOx 或SiOx Cy 、聚合物基(polymer based)介電質等或前述之組合。可利用化學氣相沉積、物理氣相沉積(physical vapor deposition, PVD)、原子層沉積、旋轉塗佈或浸塗(dip coating)等形成第二介電層104。
第二介電層104一旦形成後,於第二介電層104之上可形成第三介電層106,其作為硬遮罩。在一實施例中,第三介電層106可為遮罩材料如氮化鈦,然而可使用任何其他合適的材料如氧化鈦。可利用沉積製程如化學氣相沉積、物理氣相沉積、原子層沉積等或前述之組合,形成第三介電層106,其具有約50Å至約800Å間的厚度,例如約300Å。然而,可使用任何合適的厚度。
於第三介電層106之上可形成第四介電層108,其作為另一硬遮罩。在一實施例中,例如,第四介電層108可為由從四乙氧基矽烷(tetraethoxysilane, TEOS)、氮化矽、另一無氮抗反射材料等或前述之組合所形成的氧化物,然而可使用任何合適的介電材料。可利用電漿增強化學氣相沉積(plasma enhanced CVD, PECVD)製程形成第四介電層108,然而可利用其他合適的製程如物理氣相沉積或熱製程(thermal process)。
第1圖額外繪示出圖案化層110形成於第四介電層108之上。在一實施例中,圖案化層110可包括可圖案化材料如矽,可沉積並接著圖案化可圖案化材料。例如,使用矽的實施例中,可沉積並接著利用微影遮罩與蝕刻製程圖案化圖案化層110,藉此,沉積、曝光並顯影光阻層(可由其本身或三層光阻之一部分),並接著在非等向性(anisotropic)蝕刻製程時以光阻作為遮罩。然而,可使用任何合適的製造以及∕圖案化材料與方法。
第2圖繪示出於圖案化層110之上沉積遮罩層112,其形成部分的硬遮罩部件202(未繪示於第2圖,但繪示於第8A與13A圖並於以下描述)以定義在第一方向的部件。在一實施例中,硬遮罩層112可由如氧化鈦的材料所形成,也可利用如化學氣相沉積、物理氣相沉積、原子層沉積等或前述之組合的方法形成遮罩層112。然而,可使用任何合適的製造材料或方法。
第3圖繪示出於遮罩層112之上沉積第一光遮罩層114與第二光遮罩層116。在一實施例中,第一光遮罩層114可為多層光阻的底層,並可包括有機或無機材料,其對第二光遮罩層116具有蝕刻選擇性。因此,第二光遮罩層116可作為遮罩層以圖案化第一光遮罩層114。
第二光遮罩層116可包括由其自身形成的光敏材料或在其他實施例中,可為一光敏材料在另一光敏材料之上的雙層結構,與一中間層材料如氮化矽、氮氧化矽、碳氧化矽等一同形成三層光阻與第一光遮罩層114。可使用任何合適的沉積方法如物理氣相沉積、化學氣相沉積、旋轉塗佈等或前述之組合形成第二光遮罩層116。
第一光遮罩層114與第二光遮罩層116一旦形成後,於第二光遮罩層116中形成開口118(例如兩個或多個開口或溝槽(trench))。在一實施例中,例如,可通過如光罩(reticle)曝光第二光遮罩層116中的光敏材料至圖案化能源(例如光),以圖案化第二光遮罩層116。能量的撞擊在被圖案化能源撞擊的這些光敏材料部分中將造成化學反應,因而改變光阻露出部分的物理特性,使得第二光遮罩層116露出部分的物理特性與第二光遮罩層116未露出部分的物理特性不同。接著,可使用如顯影劑(並未各別繪示於第3圖中)顯影第二光遮罩層116,以分離第二光遮罩層116露出的部分與未露出的部分。
接著,可利用如一或多種非等向性蝕刻製程,通過底第一光遮罩層114(若有中間層時,或底第一光遮罩層114與中間層)延伸第二光遮罩層116中的開口118。在一實施例中,開口118完全通過第一光遮罩層114而延伸,以露出位於開口118底下遮罩層112的各部分。因此,開口118的延伸形成具有高深寬比(aspect ratio)的溝槽,例如在至少一方向具有約5至約50的深寬比。然而,可使用任何合適的深寬比。
第4A圖是沿著第3圖(包括位於遮罩層112之下的膜層,在第4A圖中為了簡明的目的而省略)中的剖線A-A,繪示出半導體結構100一部分的剖面圖,並包括遮罩層112與第一光遮罩層114,用以協助達到金屬線端臨界尺寸(critical dimension, CD)的收縮並增加圖案錯誤寬裕度(pattern fail window)。在一實施例中,在進一步處理前,利用如一或多種灰化(ashing)或蝕刻製程移除第二光遮罩層116。第二光遮罩層116一旦移除後,沉積第一間隙填充材料120至開口118中,以保護結構不受光阻或聚合物破壞以及不受後續電漿製程所導致的臨界尺寸收縮而影響。
在一實施例中,第一間隙填充材料120的組成可為SiOx 、SiCx Oy 、SiC或非晶碳(amorphous carbon)。可利用沉積製程如原子層沉積、化學氣相沉積或物理氣相沉積,沉積第一間隙填充材料120。在利用原子層沉積製程形成第一間隙填充材料120的實施例中,原子層沉積可使用一系列重複的循環,其中輸入第一前驅物至沉積腔(chamber)中與第一光遮罩層114反應;排去第一前驅物;輸入第二前驅物至沉積腔中與第一前驅物反應;排去第二前驅物;並重複循環。
在一實施例中,第一前驅物可為前驅物如三(二甲胺基)矽烷(tris(dimethylamino)silane, 3DMAS)、雙第三丁基胺基矽烷(bis(tertiary-butylamino)silane, BTBAS)、雙(二乙基氨基)矽烷(bis(diethylamino)silane, BDEAS)、甲烷、乙烯、乙炔等或前述之組合。第二前驅物可為對應的反應氣體如含氬、含氧、含氮氣體或二氧化碳,包括氬氣、氧氣、二氧化碳、一氧化二氮。使用這些前驅物,原子層沉積製程的每個循環形成單一層預期材料,而連續且重複的循環形成額外的單一層材料。
此外,在一些實施例中,第一間隙填充材料120的沉積製程溫度維持在足以避免聚合物基材料分解的低溫,聚合物基材料如第一光遮罩層114。例如,原子層沉積製程的溫度可維持低於300℃。在一實施例中,例如,可使用介於室溫至300℃之範圍的溫度。此外,維持200℃之下的溫度,如室溫至200℃間,也可避免非預期的排氣。然而,在分解不會造成問題的其他實施例中,可使用任何合適的溫度。
利用原子層沉積製程,沿著第一光遮罩層114的側壁,可一致地且精確地形成第一間隙填充材料120。然而,由於原子層沉積製程的反應性與形成第一間隙填充材料120時小數量的循環,第一間隙填充材料120並不會沿著開口118的底部沉積(因為第一前驅物與第二前驅物不會與遮罩層112的材料進行化學反應)。此外,在一實施例中,可利用足以使第一間隙填充材料120不覆蓋開口118的底部之厚度,沉積第一間隙填充材料120,例如具有介於10Å至100Å之範圍的厚度(於第一光遮罩層114的上表面上進行測量),例如約50Å。
第4A圖額外地繪示出在沉積第一間隙填充材料120之後,沉積第二間隙填充材料122以至少部分填充或完全填充開口118。應注意的是第一間隙填充材料120與第二間隙填充材料112兩者因此延伸超過第一光遮罩層114上表面所定義的平面。
在一實施例中,可利用與第一間隙填充材料120相同的沉積製程如原子層沉積、化學氣相沉積或物理氣相沉積,於原位(in-situ,例如在與第一間隙填充材料120相同的沉積腔中)沉積第二間隙填充材料122。在一實施例中,第二間隙填充材料122與第一間隙填充材料120可為不同的材料,使第二間隙填充材料122可用於增強蝕刻選擇性或熱選擇性。在特定實施例中,第二間隙填充材料122可為金屬氧化物如TiO或Al2 O3 等或金屬氮化物如TiN等。然而,可使用任何合適的材料。
在使用原子層沉積製程沉積第二間隙填充材料122的一特定實施例中,原子層沉積製程可使用第三前驅物如三甲基鋁(trimethylaluminum, TMA)、二乙基鋅(diethylzinc, DEZ)、四(二甲胺基)鈦(tetrakis(dimethylamino)titanium, TDMAT)、異丙醇鈦(titanium isopropoxide, TTIP)、四氯化鈦、四(二甲基胺基)鋯(tetrakis(dimethylamido)zirconium, Zr(NMe24 )、四氯化鋯或四(二甲氨基)錫(tetrakis-dimethyl-amine tin, TDMASn)。此外,沉積第二間隙填充材料122的原子層沉積製程也可使用由氧氣源而產生的第四前驅物如水、氧氣、臭氧、H2 O2 或其他化合物。然而,可使用任何合適的沉積前驅物或方法。
可使用足以填充至少開口118的底部部分之厚度,沉積第二間隙填充材料122。在一實施例中,可沉積第二間隙填充材料122至約300Å至約490Å間的厚度,例如約400 Å。然而,可使用任何合適的厚度。
此外,在一些實施例中,第二間隙填充材料122的沉積製程溫度維持在足以避免聚合物基材料分解的低溫,聚合物基材料如第一光遮罩層114。例如,原子層沉積製程的溫度可維持低於300℃。在一實施例中,例如,可使用介於室溫至300℃之範圍的溫度。此外,維持200℃之下的溫度,如室溫至200℃間,也可避免非預期的排氣。然而,在分解不會造成問題的其他實施例中,可使用任何合適的溫度。
最終,在利用原子層沉積製程沉積第二間隙填充材料122的實施例中,第二間隙填充材料122可具有介於開口118中間的缺口(indentation)。因此,第二間隙填充材料122的厚度由於開口118的幾何形狀而在開口118中線130達到最大厚度。然而,在完全過度填充開口118的實施例中,並不存在缺口。
第4B圖是沿著第3圖中的剖線B-B,繪示出半導體結構100一部分的剖面圖,而第4A圖沿著第4B圖中的剖線C-C繪示出第4B圖的結構,包括圖案化層110、遮罩層112與第一光遮罩層114(包括位於圖案化層110之下的結構,在第4B圖中為了簡明的目的而移除)。由此可見,且與第4A圖一致,如先前所述的第一間隙填充材料120與第二間隙填充材料122兩者皆用以填充開口118。詳細而論,在一實施例中,以第一間隙填充材料120部分填充開口118並覆蓋在第一原子層沉積步驟中的遮罩層112與第一光遮罩層114的側壁,然而開口118的底部並未被第一間隙填充材料120所覆蓋。接著,在一實施例中,以第二間隙填充材料122填充開口118的至少一底部部分。
由此可見,遮罩層112、第一間隙填充材料120與第二間隙填充材料122從第一光遮罩層114的頂表面之上延伸至開口118的一部分中,其延伸至第一光遮罩層114中。遮罩層112、第一間隙填充材料120與第二間隙填充材料122也延伸至開口中,而開口118延伸至圖案化層110中。
在第5A與5B圖中,進行移除製程以移除過多的第一間隙填充材料120與第二間隙填充材料122,其中第5A圖沿著第3圖中的剖線A-A繪示出半導體結構100一部分的剖面圖,而第5B圖沿著第3圖中的剖線B-B繪示出半導體結構100一部分的剖面圖,且第5A圖沿著第5B圖中的剖線C-C繪示出第5B圖的結構。在一實施例中,可利用化學機械平坦化(CMP)步驟或使用如Cx Fy ∕Cx Hy Fz 基氣體的蝕刻步驟進行移除製程,以平坦化遮罩層114的表面與第一間隙填充材料120及第二間隙填充材料122的上表面。然而,可利用任何合適的移除製程。
在第6A與6B圖中,可減少第一間隙填充材料120及第二間隙填充材料122的高度,以從上方的圖案化層110移除第一間隙填充材料120及第二間隙填充材料122,其中第6A圖沿著第3圖中的剖線A-A繪示出半導體結構100一部分的剖面圖,而第6B圖沿著第3圖中的剖線B-B繪示出半導體結構100一部分的剖面圖,且第6A圖沿著第6B圖中的剖線C-C繪示出第6B圖的結構。在一實施例中,可減少第一間隙填充材料120及第二間隙填充材料122的高度,使其至少與遮罩層112的頂表面共平面,可利用一或多種非等向性蝕刻製程減少第一間隙填充材料120及第二間隙填充材料122的高度,非等向性蝕刻製程使用如Cx Fy ∕Cx Hy Fz 基氣體的蝕刻劑。然而,可使用任何合適的縮減(reduction)製程。
在第7A、7B與7C圖中,移除第一光遮罩層114與第一間隙填充材料120兩者,其中第7B圖沿著第7C圖中的剖線A-A繪示出半導體結構100一部分的剖面圖,而第7B圖沿著第7C圖中的剖線B-B繪示出半導體結構100一部分的剖面圖,且第7A圖沿著第7B圖中的剖線C-C繪示出第7B圖的結構。在一實施例中,可利用一或多種使用蝕刻劑如氧氣基氣體的灰化或蝕刻步驟進行移除的步驟。在一特定實施例中,可利用灰化製程移除第一光遮罩層114,而可使用電漿基氧氣氣體作為蝕刻劑移除第一間隙填充材料120。然而,可利用任何合適的移除製程如濕式蝕刻製程。
由於第一間隙填充材料120與第二間隙填充材料間的高蝕刻選擇性,可一同移除第一間隙填充材料120與第一光遮罩層114。因此,在移除製程後僅保留第二間隙填充材料122。再者,第二間隙填充材料122與圖案化層110間的高蝕刻選擇性也足以防止圖案化層110受傷害,因而協助預防圖案化錯誤。
移除第一光遮罩層114與第一間隙填充材料120後,第二間隙填充材料122將具有與遮罩層112相交於第一角度126A的側壁。在一實施例中,第一角度126A在約75度至約90度間,例如約85度。然而,可使用任何合適的角度。
此外,如第7B圖中所繪示,移除第一光遮罩層114與第一間隙填充材料120也將在y軸方向產生第二間隙填充材料122與遮罩層112之間的間隙,其中遮罩層112位於圖案化層110的側壁上。儘管存在間隙,包括第二間隙填充材料122的硬遮罩部件202在接下來的製程步驟中仍將作為硬遮罩。
第7C圖繪示出第7A與7B圖的結構,位於前述的第一介電層102、第二介電層104、第三介電層106與第四介電層108之上。第7C圖也繪示出圖案化層110與遮罩層112,將用以圖案化下方的結構。第一介電層102、第二介電層104、第三介電層106、第四介電層108、圖案化層110與遮罩層112實質上與先前所述及繪示於第1至3圖中相同,除了第一光遮罩層114與第二光遮罩層116已被移除。然而,由此可見,現在於遮罩層112的溝槽中已形成第二間隙填充材料122。
第8A至8C圖繪示出使用第二間隙填充材料122作為遮罩圖案化遮罩層112,以形成硬遮罩部件202(包括第二間隙填充材料與遮罩層112兩者),其中第8A圖沿著第8C圖中的剖線A-A繪示出半導體結構一部分的剖面圖,而第8B圖沿著第8C圖的剖線B-B繪示出半導體結構一部分的剖面圖,且第8A圖沿著第8B圖中的剖線C-C繪示出第8B圖的結構。在一實施例中,可利用一或多種蝕刻製程如非等向性蝕刻製程圖案化遮罩層112,非等向性蝕刻製程使用如Cx Fy ∕Cx Hy Fz ∕Cx Hy Clz 基氣體的蝕刻劑。然而,可利用任何合適的蝕刻製程。
先填充開口118(參照第4A與4B圖),接著再移除外層的材料,可形成具有高深寬比開口118的硬遮罩部件202。因此,可形成具有約30nm的中間臨界尺寸124(MCD,例如硬遮罩部件202的寬度為高度的一半)與約50nm的一般高度之硬遮罩部件。然而,中間臨界尺寸可在約10nm至約30nm之範圍,而硬遮罩部件202的高度可在約20nm至約60nm之範圍。可使用任何合適的尺寸。
第8B圖繪示出蝕刻遮罩層112以形成硬遮罩部件202的另一示意圖。此外,如第8圖清楚地繪示,蝕刻硬遮罩層112時,遮罩層112與第二間隙填充材料112間的選擇性可能不夠高,且蝕刻製程也可同時以較低速率蝕刻第二間隙填充材料122,因而減少第二間隙填充材料122的高度。
第8C圖繪示出第8A與8B圖的結構,位於前述的第一介電層102、第二介電層104、第三介電層106與第四介電層108之上。由此可見,可完全形成硬遮罩部件202(位於部分圖案化層110之間)。此外,沿著圖案化層110的側壁保留部分的遮罩層112,而露出圖案化層110的上表面。
在接續所述製程前,相對於第9A至13C圖敘述第8A至8C圖中形成硬遮罩部件202的另一實施例。在此實施例中,敘述第二替代製程流程,其回填開口118(參見如第3圖)並接著只移除第一光遮罩層114,以保留第一間隙填充材料120與第二間隙填充材料122兩者。因此,在此實施例中,硬遮罩部件202包括第一間隙填充材料202、第二間隙填充材料與遮罩層112。
首先參照第9A圖,第9A圖沿著第3圖的剖線A-A繪示出半導體結構100一部分的剖面圖,其包括遮罩層112與第一光遮罩層114(具有位於遮罩層112之下的膜層,其為了簡明的目的在第9A圖中省略)。在一實施例中,利用相當於第4A圖所述之方法沉積第一間隙填充材料120,例如利用原子層沉積製程。然而,在此實施例中,持續進行原子層沉積以於第一光遮罩層114的側壁上生成第一間隙填充材料120,直到這些部分合而為一並覆蓋開口118的底部。
在一特定實施例中,可接續進行至少五次循環的原子層沉積(例如:導入第一前驅物、排出第一前驅物、導入第二前驅物、排出第二前驅物),例如介於五次循環至十次循環。因此,形成了第一間隙填充材料120,使得第一間隙填充材料120在第一光遮罩層114上表面上的開口118外具有在約50Å至約150Å範圍之厚度,例如約100Å。然而,可使用任何合適的厚度。
此外,儘管用於所述原子層沉積製程的前驅物並未與遮罩層112的露出部分反應,透過進行多於五次循環的原子層沉積製程,遮罩層112的一小部分仍將形成於遮罩層112的露出部分之上。詳細而論,儘管前驅物並未與遮罩層112的材料反應,遮罩層112的材料仍將吸收各個循環的前驅物。因此,在至少五次循環後,位於沿著遮罩層112表面的被吸收之前驅物在導入第二前驅物時仍可存在,因而沿著遮罩層112的頂表面開始進行前驅物之間的反應,即便遮罩層112的材料與前驅物間並未發生化學反應。
然而,透過此方法沿著遮罩層112的表面形成第一間隙填充材料120,沿著遮罩層112表面的第一間隙填充材料120相較於第一間隙填充材料120的剩餘部分具有較小的厚度。在一些實施例中,沿著遮罩層112表面的第一間隙填充材料120具有約10Å至約100Å間的厚度,例如約50Å。然而,可使任何合適的厚度。
一旦沉積第一間隙填充材料120後,可沉積第二間隙填充材料122。在一實施例中,可利用相當於第4A圖所述的方法沉積第二間隙填充材料122,例如利用原子層沉積製程填充開口118的至少一部分。在其他實施例中,沉積製程可完全填充開口118。在一特定實施例中,第二間隙填充材料122在開口118外的厚度在約250Å至約440Å之範圍,例如約350Å。
此外,在利用原子層沉積製程沉積第二間隙填充材料122的實施例中,第二間隙填充材料122具有介於開口118中間的缺口。因此,由於開口118的幾何形狀,第二間隙填充材料122的厚度在開口118的中線130達到最大厚度。 然而,在完全過度填充開口118的其他實施例中,可不存在缺口。
第9B圖沿著第3圖的剖線B-B繪示出半導體結構100一部分的剖面圖,而第9A圖沿著第9B圖中的剖線C-C繪示出第9B的結構,包括圖案化層110、遮罩層112與第一光遮罩層114(圖案化層110之下的結構在第9B圖為了簡明的目的而移除)。由此可見,且與第9A圖一致,如先前所述,第一間隙填充材料120與第二間隙填充材料122兩者皆用以填充開口118。詳細而論,在一實施例中,使用第一間隙填充材料120部分填充開口118,並在第一原子層沉積製程步驟中覆蓋第一光遮罩層114的側壁,同時也使用第一間隙填充材料120(儘管具有較小的厚度)覆蓋開口118的底部。接著,在一實施例中,使用第二間隙填充材料122填充開口118的至少底部部分。
在第10A與第10B圖中,進行移除製程以移除第一間隙填充材料與第二間隙填充材料122過多的材料,其中第10A圖沿著第3圖的剖線A-A繪示出半導體結構100一部分的剖面圖,而第10B圖沿著第3圖的剖線B-B繪示出半導體結構100一部分的剖面圖,且第10A圖沿著第10B圖中的剖線C-C繪示出第10B圖的結構。在一實施例中,可利用相當於第5A至5B圖的以上所述之方法進行移除製程,例如利用化學機械研磨步驟或使用如Cx Fy ∕Cx Hy Fz 基氣體的蝕刻步驟平坦化第一光遮罩層114的表面以及第一間隙填充材料120與第二間隙填充材料122的上表面。然而,可使用任何合適的移除製程。
在第11A與11B圖中,可減少第一隙填充材料120與第二間隙填充材料122的高度,以移除位於圖案化層110之上的這些材料,其中第11A圖沿著第3圖的剖線A-A繪示出半導體結構100一部分的剖面圖,而第11B圖沿著第3圖的剖線B-B繪示出半導體結構100一部分的剖面圖,且第11A圖沿著第11B圖中的剖線C-C繪示出第11B圖的結構。在一實施例中,可利用相當於第6A至6B圖的以上所述之方式減少第一隙填充材料120與第二間隙填充材料122的高度,例如利用一或多種蝕刻製程如非等向性蝕刻製程,減少第一隙填充材料120與第二間隙填充材料122的高度至與遮罩層112的頂表面至少共表面,其中非等向性蝕刻製程使用如Cx Fy ∕Cx Hy Fz 基氣體的蝕刻劑。然而,可利用任何合適的縮減製程。
在第12A與第12B圖中,移除第一光遮罩層114,其中第12A圖沿著第3圖的剖線A-A繪示出半導體結構100一部分的剖面圖,而第12B圖沿著第3圖的剖線B-B繪示出半導體結構100一部分的剖面圖,且第12A圖沿著第12B圖中的剖線C-C繪示出第12B圖的結構。在一實施例中,可利用一或多種灰化或蝕刻製程步驟移除第一光遮罩層114。然而,可利用任何合適的移除步驟。
此外,若需要的話,在移除光遮罩114後,可蝕刻第一間隙填充材料120以減少第一間隙填充材料120的厚度(因而減少第一間隙填充材料120與第二間隙填充材料122組合的寬度)。在一實施例中,可利用蝕刻製程減少第一間隙填充材料120的材料,其中蝕刻製程使用如氧氣基電漿的蝕刻劑。
然而,與相當於第4A至8C圖先前所述之實施例不同,在完全移除第一間隙填充材料120前,停止用以減少第一間隙填充材料120厚度的蝕刻製程。在一實施例中,可減少第一間隙填充材料120的厚度,使其具有約0Å至約50Å的厚度,例如約25Å。然而,可使用任何合適的厚度。
在移除第一光遮罩層114與任何後續蝕刻第一間隙填充材料120的步驟後,第一間隙填充材料120將具有與遮罩層112相交於第二角度126B的側壁。在一實施例中,第二角度126B在約75度至約90度之範圍,例如約85度。然而,可使用任何合適的角度。
第13A至13C圖繪示出使用第一間隙填充材料120與第二間隙填充材料122兩者作為遮罩圖案化遮罩層112,以形成硬遮罩部件202(包括各個第一間隙填充材料120、第二間隙填充材料122與遮罩層112),其中第13A圖沿著第13C圖的剖線A-A繪示出半導體結構100一部分的剖面圖,而第13B圖沿著第13C圖的剖線B-B繪示出半導體結構100一部分的剖面圖,且第13A圖沿著第13B圖中的剖線C-C繪示出第13B圖的結構。在一實施例中,可利用一或多種蝕刻製程如非等向性蝕刻製程圖案化遮罩層112,非等向性蝕刻製程使用如Cx Fy 、Cx Hy Fz 或Cx Hy Clz 基氣體的蝕刻劑。然而,可利用任何合適的蝕刻製程。
先填充開口118(參照第9A至9B圖)並接著移除第一光遮罩層114,可形成本發明實施例的硬遮罩部件202(包括第一間隙填充材料120),其具有高深寬比的開口118。此外,減少第一間隙填充材料120的厚度,可控制硬遮罩部件202整體的厚度。在一實施例中,硬遮罩部件202因此可具有與相當於8A圖中所述之硬遮罩部件202相似的尺寸。因此,可形成具有具有約30nm的中間臨界尺寸124(MCD,例如硬遮罩部件202的寬度為高度的一半)與約50nm的一般高度之硬遮罩部件202。然而,中間臨界尺寸可在約10nm至約30nm之範圍,而硬遮罩部件202的高度可在約20nm至約60nm之範圍。可使用任何合適的尺寸。
第13B圖繪示出蝕刻遮罩層112的另一示意圖,以形成本發明實施例包括第一間隙填充材料120的硬遮罩部件202。此外,如第13圖清楚地繪示,蝕刻遮罩層112時,遮罩層112、第一間隙填充材料120與第二間隙填充材料122間的選擇性可能不夠高,且蝕刻製程也可同時以較低速率蝕刻第一間隙填充材料120與第二間隙填充材料122兩者,因而減少第一間隙填充材料120與第二間隙填充材料122兩者的高度。
第13C圖繪示出第13A與13B圖的結構,位於前述的第一介電層102、第二介電層104、第三介電層106與第四介電層108之上。由此可見,可完全形成硬遮罩部件202(位於部分圖案化層110之間,且在此實施例中,包括第一間隙填充材料120、第二間隙填充材料122與遮罩層122的圖案化部分)。此外,沿著圖案化層110的側壁保留部分的遮罩層112。
第13C圖額外繪示出在此時的製程中,露出圖案化層110的頂表面。若有需要的話,為了移除的目的,可將圖案化層110的特定部分標示為可移除部分1101 ,使可移除部分1101 在後續蝕刻製程中無法作為遮罩(以下在第14圖將進一步敘述)。此外,圖案化層110的其他部分可標示為剩餘部分1102 ,使剩餘部分1102 在後續蝕刻製程中得以保留作為遮罩。
接著參照第14圖,移除圖案化層110的可移除部分1101 。在一實施例中,先設置並圖案化光阻(並未分別繪示於第14圖中)以移除可移除部分1101 ,使光阻得以覆蓋並保護剩餘部分1102 而露出可移除部分1101 。剩餘部分1102 一旦受到保護,接著利用如灰化製程移除光阻前,可利用一或多種蝕刻製程移除可移除部分1101 ,蝕刻製程如濕式蝕刻製程或乾式蝕刻製程。
第14圖也繪示出一旦移除圖案化層110的可移除部分1101 ,沿著側壁的剩餘部分1102 、硬遮罩部件202(可利用相當於第4A至8C圖所述的製程或相當於第9A至13B圖所述的製程形成)與遮罩層112可用以圖案化第四介電層108與第三介電層106。在一實施例中,可利用非等向性蝕刻製程如乾式蝕刻製程轉移圖案。然而,可利用任何合適的蝕刻製程。
一旦轉移硬遮罩部件202、圖案化層110的剩餘部分1102 與沿著側壁的遮罩層112之圖案後,可移除硬遮罩部件202、圖案化層110的剩餘部分1102 與遮罩層112。在一實施例中,可利用一或多種蝕刻製程如一或多種濕式蝕刻或乾式蝕刻,移除硬遮罩部件202、圖案化層110的剩餘部分1102 。然而,可利用任何合適的移除製程。
第15圖繪示出從第四介電層108與第三介電層106轉移圖案至第二介電層104、第一介電層102且最終至基板60之上的欲圖案化層80。在一實施例中,可利用一或多種非等向性蝕刻製程如乾式蝕刻製程轉移圖案。然而,可利用任何合適的蝕刻製程或蝕刻製程的組合。
此外,一旦轉移圖案與圖案化欲圖案化層80後,可移除一些上方的結構。在一實施例中,可各別移除第四介電層108、第三介電層106與第二介電層104,以露出第一介電層102的頂表面。在一實施例中,可利用一或多種蝕刻製程移除第四介電層108、第三介電層106與第二介電層104,蝕刻製程如一系列的濕式蝕刻製程或乾式蝕刻製程。然而,可利用任何合適的蝕刻製程組合。
第16圖繪示出一旦圖案化欲圖案化層80,可進行額外的製程。在欲圖案化層80為形成金屬化層的介電層之一實施例中,可放置導電材料128至欲圖案化層80的圖案中。在一實施例中,導電材料128可為材料如銅、鎢、鋁等或前述之組合,且可利用沉積製程如電鍍(electroplating)、無電電鍍(electroless plating)、化學氣相沉積、物理氣相沉積、原子層沉積等或前述之組合沉積導電材料128。然而,可利用任何合適的製造材料與方法。
可沉積導電材料128以填充以及∕或過度填充欲圖案化層80與第一介電層102的圖案。一旦過度填充後,可進行平坦化製程如化學機械平坦化製程,以從圖案外移除導電材料128過多的部分並平坦化導電材料128至欲圖案化層80,因而也移除了第一介電層102。然而,可利用任何合適的平坦化製程。
第17圖繪示出一實施例方法的流程圖1100,其中利用單一層間隙填充材料形成遮罩部件。在一實施例中,方法包括:第一步驟1102,如第1圖中所繪示,於欲圖案化層80之上形成第一介電層102、第二介電層104、第三介電層106與第四介電層108;第二步驟1104,如第2圖中所繪示,形成遮罩層112;第三步驟1106,如第3圖中所繪示,形成第一光遮罩層114與第二光遮罩層116;第四步驟1108,如第3圖中所繪示,圖案化光遮罩層;第五步驟1110,如第4A圖中所繪示,使用第一間隙填充材料120回填光遮罩層中的開口至第一厚度;第六步驟1112,如第4A圖所繪示,使用第二間隙填充材料122回填光遮罩層中的開口;第七步驟1114,如第5A圖中所繪示,進行化學機械平坦化製程或蝕刻步驟以平坦化遮罩層的表面;第八步驟1116,如第6A圖中所繪示,減少第一間隙填充材料120與第二間隙填充材料122的厚度;第九步驟1118,如第7A圖中所繪示,移除第一光遮罩層114與第一間隙填充材料120;第十步驟1120,如第8A圖中所繪示,蝕刻遮罩層112的頂部部分;第十一步驟1122,如第14圖中所繪示,轉移所製得圖案至下方的結構;第十二步驟1124,轉移圖案至欲圖案化層80;以及第十三步驟1126,使用如導電材料填充欲圖案化層80中的圖案。
在實施例中,第17圖的流程圖1100中可移除製程步驟或可增加額外的製程步驟。在實施例中,繪示於流程圖1100中的步驟順序也可重新排序。
第18圖繪示出一實施例方法的流程圖1200,其中使用第一間隙填充材料120與第二間隙填充材料122兩者。在一實施例中,方法包括:第一步驟1102,如第1圖中所繪示,於欲圖案化層80之上形成第一介電層102、第二介電層104、第三介電層106與第四介電層108;第二步驟1104,如第2圖中所繪示,於介電層之上形成遮罩層112;第三步驟1106,如第3圖中所繪示,形成第一光遮罩層114與第二光遮罩層116;第四步驟1108,如第3圖中所繪示,圖案化光遮罩層;第五步驟1110,如第9A圖中所繪示,使用第一間隙填充材料120回填開口至第二厚度;第六步驟1112,如第9A圖所繪示,使用第二間隙填充材料122回填光遮罩層中的開口;第七步驟1114,如第10A圖中所繪示,進行化學機械平坦化製程;第八步驟1116,如第11A圖中所繪示,減少第一間隙填充材料120與第二間隙填充材料122的厚度;第九步驟1118,如第12A圖中所繪示,灰化第一光遮罩層114並減少第一間隙填充材料120的厚度;第十步驟1120,如第13A圖中所繪示,蝕刻遮罩層112的頂部部分;第十一步驟1122,如第14圖中所繪示,轉移所製得圖案至第三介電層106與第四介電層108;第十二步驟1124,如第15圖中所繪示,轉移圖案至欲圖案化層80;以及第十三步驟1126,使用如導電材料填充欲圖案化層80中的圖案。
在實施例中,第18圖的流程圖1200中可移除製程步驟或可增加額外的製程步驟。在實施例中,繪示於流程圖1200中的步驟順序也可重新排序。
透過此述硬遮罩部件202的形成方法,在半導體裝置製程中可產生更小的部件。例如,在使用實施例於金屬化層中形成導線的實施例中,可減少線材間端點至端點距離(例如:切割金屬距離)而不產生缺陷,因而增加製程整體的寬裕度。
此外,在其他實施例中,上述先進的微影製程、方法與材料可用於許多其他的應用中,包括形成鰭狀場效電晶體。例如,可圖案化鰭片以在部件間產生相對近的空間,其中上述的發明實施例尤為適合產生這樣的效果。此外,可根據上述的發明實施例加工用於形成鰭狀場效電晶體鰭片的間隔物(也稱為心軸(mandrel))。
根據一實施例,一種積體電路的製造方法包括:於遮罩中形成開口,遮罩位於硬遮罩材料之上;沿著開口的側壁沉積第一間隙填充材料;於開口中沉積與第一間隙填充材料不同的第二間隙填充材料,其包括金屬氧化物或金屬氮化物;移除遮罩;蝕刻第一間隙填充材料;以及以第二間隙填充材料作為遮罩,圖案化硬遮罩材料。在一實施例中,蝕刻第一間隙填充材料的步驟完全移除第一間隙填充材料。在一實施例中,蝕刻第一間隙填充材料的步驟減少了第一間隙填充材料的厚度。在一實施例中,沉積第一間隙填充材料的步驟覆蓋了開口露出的硬遮罩材料。在一實施例中,至少部分利用原子層沉積製程進行沉積第一間隙填充材料的步驟。在一實施例中,沉積第一間隙填充材料的步驟並未完全覆蓋開口露出的硬遮罩材料。在一實施例中,硬遮罩材料包括氧化鈦。
根據另一實施例,一種積體電路的製造方法包括:於基板上形成介電層;於介電層上形成光遮罩層;圖案化光遮罩層,以形成通過光遮罩層的間隙;利用第一材料部份填充間隙;利用與第一材料不同的第二材料填充間隙,第二材料包括金屬氧化物或金屬氮化物;移除光遮罩層與第一材料的至少一部分,以形成包括第二材料的遮罩結構;轉移遮罩結構所形成的圖案至介電層,並移除遮罩結構;以及轉移介電層所形成的圖案至基板。在一實施例中,移除光遮罩層與第一材料的至少一部分之步驟移除了所有第一材料。在一實施例中,移除光遮罩層與第一材料的至少一部分之步驟保留鄰近於第二材料的第一材料之一部分。在一實施例中,利用第一材料部份填充間隙的步驟包括利用SiOx 、SiCx Oy 、SiC或非晶碳部分填充間隙。在一實施例中,利用第二材料填充間隙的步驟包括利用TiO、Al2 O3 或TiN填充間隙。在一實施例中,至少部分利用原子層沉積製程進行利用第一材料部分填充間隙的步驟中,原子層沉積製程使用第一前驅物,包括:三(二甲胺基)矽烷、雙第三丁基胺基矽烷、雙(二乙基氨基)矽烷、甲烷、乙烯或乙炔。在一實施例中,原子層沉積製程使用第二前驅物,包括氧氣、二氧化碳或一氧化二氮。在一實施例中,至少部分利用原子層沉積製程形成第二材料的步驟中,原子層沉積製程使用第一前驅物,包括:三甲基鋁、二乙基鋅、四(二甲胺基)鈦、異丙醇鈦、四氯化鈦、四(二甲基胺基)鋯、四氯化鋯或四(二甲氨基)錫。
根據另一實施例,一種積體電路的製造方法包括:至少部分利用原子層沉積製程,沉積第一材料至遮罩層中的開口中,遮罩層位於基板之上;至少部分利用原子層沉積製程,沉積第二材料至開口中;移除遮罩層;以第二材料作為遮罩蝕刻該遮罩層,以形成遮罩結構,其包括第一材料與第二材料至少其一;以及轉移遮罩結構所形成的圖案至基板,並移除遮罩結構。在一實施例中,基板包括金屬化層的介電層。在一實施例中,一種積體電路的製造方法更包括沉積導電材料至金屬化層的介電層中之圖案中。在一實施例中,一種積體電路的製造方法更包括在移除遮罩層後薄化(thinning)第一材料。在一實施例中,第一材料包括二氧化矽、碳化矽或碳材料,且其中第二材料包括金屬氧化物或金屬氮化物材料。
以上概述數個實施例之部件,以便在本發明所屬技術領域中具有通常知識者可更易理解本發明實施例的觀點。在本發明所屬技術領域中具有通常知識者應理解,他們能以本發明實施例為基礎,設計或修改其他製程和結構,以達到與在此介紹的實施例相同之目的及/或優勢。在本發明所屬技術領域中具有通常知識者也應理解到,此類等效的製程和結構並無悖離本發明的精神與範圍,且他們能在不違背本發明之精神和範圍之下,做各式各樣的改變、取代和替換。
60:半導體基板 80:欲圖案化層 100:半導體結構 102:第一介電層 104:第二介電層 106:第三介電層 108:第四介電層 110:圖案化層 1101:可移除部分 1102:剩餘部分 112:遮罩層 114:第一光遮罩層 116:第二光遮罩層 118:開口 120:第一間隙填充材料 122:第二間隙填充材料 124、124A:中間臨界尺寸 126A:第一角度 126B:第二角度 130:中線 202:硬遮罩部件 1100、1200:流程 1102-1126:步驟 A-A、B-B、C-C:剖線
以下將配合所附圖式詳述本發明實施例。應注意的是,依據在業界的標準做法,各種特徵並未按照比例繪製且僅用以說明例示。事實上,可任意地放大或縮小元件的尺寸,以清楚地表現出本發明實施例的特徵。 第1至3圖是根據一實施例,繪示出硬遮罩在製程的各種初始階段之透視圖。 第4A-4B、5A-5B、6A-6B、7A-7C、8A-8C圖是根據一實施例,繪示出硬遮罩在製程的各種中間階段之剖面圖與透視圖。 第9A-9B、10A-10B、11A-11B、12A-12B、13A-13C圖是根據另一實施例,繪示出硬遮罩在製程的各種中間階段之剖面圖。 第14至16圖是根據一實施例,繪示出硬遮罩在製程的各種最終階段之透視圖。 第17至18圖是根據一些實施例,繪示出製造半導體裝置製造方法的流程圖。
1100:流程
1102-1126:步驟

Claims (1)

  1. 一種積體電路的製造方法,包括: 於一遮罩中形成一開口,該遮罩位於一硬遮罩材料之上; 沿著該開口的多個側壁沉積一第一間隙填充材料; 於該開口中沉積與該第一間隙填充材料不同的一第二間隙填充材料,其包括一金屬氧化物或一金屬氮化物; 移除該遮罩; 蝕刻該第一間隙填充材料;以及 以該第二間隙填充材料作為一遮罩,圖案化該硬遮罩材料。
TW108138362A 2018-10-31 2019-10-24 積體電路的製造方法 TW202036672A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862753184P 2018-10-31 2018-10-31
US62/753,184 2018-10-31
US16/583,949 US11069528B2 (en) 2018-10-31 2019-09-26 Semiconductor device and method
US16/583,949 2019-09-26

Publications (1)

Publication Number Publication Date
TW202036672A true TW202036672A (zh) 2020-10-01

Family

ID=70327205

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108138362A TW202036672A (zh) 2018-10-31 2019-10-24 積體電路的製造方法

Country Status (3)

Country Link
US (2) US11069528B2 (zh)
CN (1) CN111128862A (zh)
TW (1) TW202036672A (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11482411B2 (en) 2020-06-30 2022-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5698923B2 (ja) * 2009-06-26 2015-04-08 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. 自己整合型スペーサー多重パターニング方法
US9257274B2 (en) * 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9698015B2 (en) * 2013-10-21 2017-07-04 Applied Materials, Inc. Method for patterning a semiconductor substrate
US10832908B2 (en) * 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10304744B1 (en) * 2018-05-15 2019-05-28 International Business Machines Corporation Inverse tone direct print EUV lithography enabled by selective material deposition

Also Published As

Publication number Publication date
US11948798B2 (en) 2024-04-02
US20200135462A1 (en) 2020-04-30
CN111128862A (zh) 2020-05-08
US11069528B2 (en) 2021-07-20
US20210343529A1 (en) 2021-11-04

Similar Documents

Publication Publication Date Title
TWI735934B (zh) 半導體裝置的形成方法
US10464959B2 (en) Inherently selective precursors for deposition of second or third row transition metal thin films
US9932671B2 (en) Precursor and process design for photo-assisted metal atomic layer deposition (ALD) and chemical vapor deposition (CVD)
US11488861B2 (en) Method for manufacturing an interconnect structure having a selectively formed bottom via
US9768031B2 (en) Semiconductor device manufacturing methods
US20220102207A1 (en) Bottom-up fill dielectric materials for semiconductor structure fabrication and their methods of fabrication
US20130034963A1 (en) Methods of forming fine patterns for semiconductor device
TW202002076A (zh) 半導體裝置的圖案化方法
KR20160123127A (ko) 반도체 장치 제조 방법
US10867794B2 (en) Patterning method for semiconductor devices and structures resulting therefrom
CN109585280B (zh) 半导体装置的形成方法
US20240112905A1 (en) Semiconductor Device and Method
US8871604B2 (en) Methods of manufacturing semiconductor devices that include forming a capacitor using a cap layer
US11948798B2 (en) Semiconductor device and method
KR102628731B1 (ko) 실리콘-함유 층을 포함한 재료 패터닝 및 반도체 디바이스 제조 방법
CN113594089B (zh) 半导体装置的形成方法及其用于制造集成电路的方法
CN113053740A (zh) 半导体器件及其制造方法
TWI809404B (zh) 微影圖案化的方法與製造半導體裝置的方法
TWI832437B (zh) 半導體裝置及其形成方法
KR100764452B1 (ko) 반도체 소자 및 이의 제조 방법
TWI594366B (zh) 具有虛擬閘極結構之半導體裝置與其製造方法