CN111128862A - 集成电路的制造方法 - Google Patents

集成电路的制造方法 Download PDF

Info

Publication number
CN111128862A
CN111128862A CN201911051180.5A CN201911051180A CN111128862A CN 111128862 A CN111128862 A CN 111128862A CN 201911051180 A CN201911051180 A CN 201911051180A CN 111128862 A CN111128862 A CN 111128862A
Authority
CN
China
Prior art keywords
layer
mask
gap fill
mask layer
fill material
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201911051180.5A
Other languages
English (en)
Inventor
张竞予
许仲豪
王仁宏
李资良
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN111128862A publication Critical patent/CN111128862A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0331Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers for lift-off processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

一种集成电路的制造方法,包括:于基板上图案化多个光遮罩层;利用原子层沉积将第一材料部分回填至图案化的光遮罩层;利用原子层沉积将第二材料完全回填至图案化的光遮罩层;移除光遮罩层以形成遮罩结构,其包括第一材料与第二材料至少其一;以及转移遮罩结构所形成的图案至基板,并移除遮罩结构。第一材料包括二氧化硅、碳化硅或碳材料,而第二材料包括金属氧化物或金属氮化物材料。

Description

集成电路的制造方法
技术领域
本发明实施例涉及一种集成电路的制造方法,特别涉及一种集成电路的图案化与沉积方法。
背景技术
由于持续改良各种电子元件(例如晶体管、二极管、电阻、电容等) 的积集密度,半导体产业经历了快速成长。在大多数的情况下,此积集密度的改善是来自最小部件尺寸不断地减少,而在给定的面积内得以纳入更多元件。
光刻化工艺中使用的多重图案化技术以先进的工艺节点定义了集成电路的部件。多重图案化技术使设计者能开发出利用目前的光学光刻系统在次20nm(sub-20nm)工艺节点上制造集成电路。对即将到来的10nm、7nm 或更小节点的半导体工艺而言,多重图案化技术被认为是必要的。多重图案化工艺中,单一光刻曝光可能不足以提供充足的分辨率。因此,需要额外的曝光抑或是利用经蚀刻的部件侧壁产生定位图案,以提供所需分辨率。
原子层沉积(atomic layer deposition,ALD)是基于按序使用气相化学工艺的薄膜沉积技术。原子层沉积为化学气相沉积的一种子类别。大部分的原子层沉积反应使用两种化学物质,一般称为前驱物(precursor)。这些前驱物以连续、自限(self-limiting)的方式,一次一种前驱物与材料表面反应。重复曝露至各自的前驱物可缓慢地沉积薄膜。原子层沉积可用于制造密度增加的半导体装置。
发明内容
本发明实施例提供一种集成电路的制造方法,包括:于遮罩中形成开口,遮罩位于硬遮罩材料之上;沿着开口的侧壁沉积第一间隙填充材料;于开口中沉积与第一间隙填充材料不同的第二间隙填充材料,其包括金属氧化物或金属氮化物;移除遮罩;蚀刻第一间隙填充材料;以及以第二间隙填充材料作为遮罩,图案化硬遮罩材料。
本发明实施例提供一种集成电路的制造方法,包括:于基板上形成介电层;于介电层上形成光遮罩层;图案化光遮罩层,以形成通过光遮罩层的间隙;利用第一材料部分填充间隙;利用与第一材料不同的第二材料填充间隙,第二材料包括金属氧化物或金属氮化物;移除光遮罩层与第一材料的至少一部分,以形成包括第二材料的遮罩结构;转移遮罩结构所形成的图案至介电层,并移除遮罩结构;以及转移介电层所形成的图案至基板。
本发明实施例提供一种集成电路的制造方法,包括:至少部分利用原子层沉积工艺,沉积第一材料至遮罩层中的开口中,遮罩层位于基板之上;至少部分利用原子层沉积工艺,沉积第二材料至开口中;移除遮罩层;以第二材料作为遮罩蚀刻该遮罩层,以形成遮罩结构,其包括第一材料与第二材料至少其一;以及转移遮罩结构所形成的图案至基板,并移除遮罩结构。
附图说明
以下将配合说明书附图详述本发明实施例。应注意的是,依据在业界的标准做法,各种特征并未按照比例绘制且仅用以说明例示。事实上,可任意地放大或缩小元件的尺寸,以清楚地表现出本发明实施例的特征。
图 1至3是根据一实施例,示出硬遮罩在工艺的各种初始阶段的透视图。
图 4A-4B、图 5A-5B、图 6A-6B、图 7A-7C、图 8A-8C是根据一实施例,示出硬遮罩在工艺的各种中间阶段的剖面图与透视图。
图 9A-9B、图 10A-10B、图 11A-11B、图 12A-12B、图 13A-13C图是根据另一实施例,示出硬遮罩在工艺的各种中间阶段的剖面图。
图 14至16图是根据一实施例,示出硬遮罩在工艺的各种最终阶段的透视图。
图 17至18图是根据一些实施例,示出制造半导体装置制造方法的流程图。
附图标记说明:
60~半导体基板
80~欲图案化层
100~半导体结构
102~第一介电层
104~第二介电层
106~第三介电层
108~第四介电层
110~图案化层
1101-~可移除部分
1102~剩余部分
112~遮罩层
114~第一光遮罩层
116~第二光遮罩层
118~开口
120~第一间隙填充材料
122~第二间隙填充材料
124、124A~中间临界尺寸
126A~第一角度
126B~第二角度
130~中线
202~硬遮罩部件
1100、1200~流程
1102-1126~步骤
A-A、B-B、C-C~剖线
具体实施方式
以下公开提供了许多的实施例或范例,用于实施所提供的标的物的不同元件。各元件和其配置的具体范例描述如下,以简化本发明实施例的说明。当然,这些仅仅是范例,并非用以限定本发明实施例。举例而言,叙述中若提及第一元件形成在第二元件之上,可能包含第一和第二元件直接接触的实施例,也可能包含额外的元件形成在第一和第二元件之间,使得它们不直接接触的实施例。此外,本发明实施例可能在各种范例中重复参考数值以及/或字母。如此重复是为了简明和清楚的目的,而非用以表示所讨论的不同实施例及/或配置之间的关系。
再者,其中可能用到与空间相对用词,例如“在……之下”、“下方”、“较低的”、“上方”、“较高的”等类似用词,是为了便于描述附图中一个 (些)部件或特征与另一个(些)部件或特征之间的关系。空间相对用词用以包括使用中或操作中的装置的不同方位,以及附图中所描述的方位。当装置被转向不同方位时(旋转90度或其他方位),其中所使用的空间相对形容词也将依转向后的方位来解释。
本发明实施例是在形成半导体装置的背景下进行讨论,且详细而论,是在制造装置时改善硬遮罩的蚀刻选择性的背景下进行讨论。
第1至3图是根据本发明的一实施例,示出硬遮罩工艺在工艺的各种初始阶段的透视图,其相对于第一方向(x轴)与第二方向(y轴)而转向。
图1示出半导体结构100的范例,其包括介电质、光遮罩、沉积于基板60上的下述其他膜层与欲图案化层80。基板60可为半导体基板,例如块状(bulk)半导体或绝缘体上覆半导体(SOI)基板等,其可为掺杂(例如使用p型或n型掺质)或未掺杂。基板60可为晶圆如硅晶圆。一般而言,绝缘体上覆半导体基板包括形成于绝缘层上的一层半导体材料。例如,绝缘层可为埋入(buried)氧化物层或氧化硅层等。于基板上提供绝缘层,一般为硅或玻璃基板。也可使用其他基板如多层或梯度(gradient)基板。在一些实施例中,基板60的半导体材料可包括:硅、锗;化合物半导体,包括碳化硅、砷化镓、磷化镓、磷化铟、砷化铟以及/或锑化铟;合金半导体,包括SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP以及/或GaInAsP;或前述的组合。
半导体基板还可包括主动(active)层(或装置区),其可包括额外的半导体、金属与绝缘或介电层,以形成主动元件与无源元件,主动元件如晶体管,其包括鳍状场效晶体管(FinFET)而无源元件如电阻与电容。主动层(或装置区)还可包括导电部件如接触件(contacts,也称为接触插塞 (plugs)),接触件如栅极接触件与源极/漏极接触件,分别电性耦接至下方晶体管的栅极与源极/漏极区,而晶体管包括鳍状场效晶体管。
欲图案化层80可为金属化层的介电层、可为一层半导体材料,也可为已形成有导电材料的金属化层的上层,或甚至可为另一遮罩层,用以图案化另一下方层。欲图案化层80为金属化层的介电层的实施例中,介电层可为具有约1至40介电常数的介电材料。在特定范例中,欲图案化层80所使用的介电层可为氧化硅、氮化硅、氧化铝、氧化铪、氧化镧、其他合适的低介电常数介电层等或前述的组合,且可利用如沉积或氧化等工艺形成。
欲图案化层80为金属层的还另一实施例中,可先利用工艺如镶嵌 (damascene)、双镶嵌、沉积与图案化等,形成欲图案化层80。在一特定实施例中,欲图案化层80的导电材料包括至少一金属、金属合金、金属氮化物、金属硫化物、金属硒化物、金属氧化物或金属硅化物。特定范例包括铜、钛、铝、钴、铷、氮化钛、氮化钨(WN2)与氮化钽,然而可替换使用任何合适的材料。
欲图案化层80为半导体材料的一实施例中,欲图案化层80可为半导体基板,其具有或不具有介于其中的主动元件、金属化层与介电材料。然而,本发明所属技术领域技术人员将理解,尽管本发明实施例叙述了材料、工艺与其他细节,这些细节仅是为了说明本发明实施例,而并非以任何方式限制本发明实施例。确切而言,可替换使用任何合适的膜层,可利用任何合适的工艺、使用任何合适的厚度与材料形成这些膜层。本发明实施例的范围内完全包括这些所有膜层。
如图1所示出,半导体结构100也可包括多个介电层,包括第一介电层102、第二介电层104、第三介电层106与第四介电层108。在一实施例中,第一介电层102可由一或多种合适的介电材料所形成,包括低介电常数介电质如SiOCH、其他碳掺杂氧化物、超低介电常数介电质如多孔 (porous)碳掺杂二氧化硅、氧化硅、氮化硅、聚合物如聚酰亚胺(polyimide)等或前述的组合。可利用工艺如旋转涂布(spin-on)工艺或化学气相沉积 (chemicalvapor deposition,CVD)形成第一介电层102,然而也可使用任何合适的工艺。第一介电层102可具有约
Figure BDA0002255368390000051
至约
Figure BDA0002255368390000052
间的厚度,例如约
Figure BDA0002255368390000053
在一实施例中,第二介电层104可为抗反射涂层(anti-reflective coating,ARC),形成于第一介电层102之上。在一实施例中,第二介电层104可为无氮(nitrogen-free)抗反射涂层如SiOx或SiOxCy、聚合物基(polymer based) 介电质等或前述的组合。可利用化学气相沉积、物理气相沉积(physical vapor deposition,PVD)、原子层沉积、旋转涂布或浸涂(dip coating)等形成第二介电层104。
第二介电层104一旦形成后,于第二介电层104之上可形成第三介电层106,其作为硬遮罩。在一实施例中,第三介电层106可为遮罩材料如氮化钛,然而可使用任何其他合适的材料如氧化钛。可利用沉积工艺如化学气相沉积、物理气相沉积、原子层沉积等或前述的组合,形成第三介电层 106,其具有约
Figure BDA0002255368390000061
至约
Figure BDA0002255368390000062
间的厚度,例如约
Figure BDA0002255368390000063
然而,可使用任何合适的厚度。
于第三介电层106之上可形成第四介电层108,其作为另一硬遮罩。在一实施例中,例如,第四介电层108可为由从四乙氧基硅烷(tetraethoxysilane, TEOS)、氮化硅、另一无氮抗反射材料等或前述的组合所形成的氧化物,然而可使用任何合适的介电材料。可利用等离子体增强化学气相沉积 (plasma enhanced CVD,PECVD)工艺形成第四介电层108,然而可利用其他合适的工艺如物理气相沉积或热工艺(thermal process)。
图1额外示出图案化层110形成于第四介电层108之上。在一实施例中,图案化层110可包括可图案化材料如硅,可沉积并接着图案化可图案化材料。例如,使用硅的实施例中,可沉积并接着利用光刻遮罩与蚀刻工艺图案化图案化层110,因此,沉积、曝光并显影光刻胶层(可由其本身或三层光刻胶的一部分),并接着在非等向性(anisotropic)蚀刻工艺时以光刻胶作为遮罩。然而,可使用任何合适的制造以及/图案化材料与方法。
图2示出于图案化层110之上沉积遮罩层112,其形成部分的硬遮罩部件202(未示出于图2,但示出于第8A与13A图并于以下描述)以定义在第一方向的部件。在一实施例中,硬遮罩层112可由如氧化钛的材料所形成,也可利用如化学气相沉积、物理气相沉积、原子层沉积等或前述的组合的方法形成遮罩层112。然而,可使用任何合适的制造材料或方法。
图3示出于遮罩层112之上沉积第一光遮罩层114与第二光遮罩层116。在一实施例中,第一光遮罩层114可为多层光刻胶的底层,并可包括有机或无机材料,其对第二光遮罩层116具有蚀刻选择性。因此,第二光遮罩层116可作为遮罩层以图案化第一光遮罩层114。
第二光遮罩层116可包括由其自身形成的光敏材料或在其他实施例中,可为一光敏材料在另一光敏材料之上的双层结构,与一中间层材料如氮化硅、氮氧化硅、碳氧化硅等一同形成三层光刻胶与第一光遮罩层114。可使用任何合适的沉积方法如物理气相沉积、化学气相沉积、旋转涂布等或前述的组合形成第二光遮罩层116。
第一光遮罩层114与第二光遮罩层116一旦形成后,于第二光遮罩层 116中形成开口118(例如两个或多个开口或沟槽(trench))。在一实施例中,例如,可通过如掩模(reticle)曝光第二光遮罩层116中的光敏材料至图案化能源(例如光),以图案化第二光遮罩层116。能量的撞击在被图案化能源撞击的这些光敏材料部分中将造成化学反应,因而改变光刻胶露出部分的物理特性,使得第二光遮罩层116露出部分的物理特性与第二光遮罩层116未露出部分的物理特性不同。接着,可使用如显影剂(并未各别示出于图3中)显影第二光遮罩层116,以分离第二光遮罩层116露出的部分与未露出的部分。
接着,可利用如一或多种非等向性蚀刻工艺,通过底第一光遮罩层114 (若有中间层时,或底第一光遮罩层114与中间层)延伸第二光遮罩层116 中的开口118。在一实施例中,开口118完全通过第一光遮罩层114而延伸,以露出位于开口118底下遮罩层112的各部分。因此,开口118的延伸形成具有高深宽比(aspect ratio)的沟槽,例如在至少一方向具有约5至约50 的深宽比。然而,可使用任何合适的深宽比。
图4A是沿着图3(包括位于遮罩层112之下的膜层,在图4A中为了简明的目的而省略)中的剖线A-A,示出半导体结构100一部分的剖面图,并包括遮罩层112与第一光遮罩层114,用以协助达到金属线端临界尺寸 (critical dimension,CD)的收缩并增加图案错误宽裕度(pattern fail window)。在一实施例中,在进一步处理前,利用如一或多种灰化(ashing) 或蚀刻工艺移除第二光遮罩层116。第二光遮罩层116一旦移除后,沉积第一间隙填充材料120至开口118中,以保护结构不受光刻胶或聚合物破坏以及不受后续等离子体工艺所导致的临界尺寸收缩而影响。
在一实施例中,第一间隙填充材料120的组成可为SiOx、SiCxOy、SiC 或非晶碳(amorphous carbon)。可利用沉积工艺如原子层沉积、化学气相沉积或物理气相沉积,沉积第一间隙填充材料120。在利用原子层沉积工艺形成第一间隙填充材料120的实施例中,原子层沉积可使用一系列重复的循环,其中输入第一前驱物至沉积腔(chamber)中与第一光遮罩层114反应;排去第一前驱物;输入第二前驱物至沉积腔中与第一前驱物反应;排去第二前驱物;并重复循环。
在一实施例中,第一前驱物可为前驱物如三(二甲胺基)硅烷 (tris(dimethylamino)silane,3DMAS)、双第三丁基胺基硅烷 (bis(tertiary-butylamino)silane,BTBAS)、双(二乙基氨基)硅烷 (bis(diethylamino)silane,BDEAS)、甲烷、乙烯、乙炔等或前述的组合。第二前驱物可为对应的反应气体如含氩、含氧、含氮气体或二氧化碳,包括氩气、氧气、二氧化碳、一氧化二氮。使用这些前驱物,原子层沉积工艺的每个循环形成单一层预期材料,而连续且重复的循环形成额外的单一层材料。
此外,在一些实施例中,第一间隙填充材料120的沉积工艺温度维持在足以避免聚合物基材料分解的低温,聚合物基材料如第一光遮罩层114。例如,原子层沉积工艺的温度可维持低于300℃。在一实施例中,例如,可使用介于室温至300℃的范围的温度。此外,维持200℃之下的温度,如室温至200℃间,也可避免非预期的排气。然而,在分解不会造成问题的其他实施例中,可使用任何合适的温度。
利用原子层沉积工艺,沿着第一光遮罩层114的侧壁,可一致地且精确地形成第一间隙填充材料120。然而,由于原子层沉积工艺的反应性与形成第一间隙填充材料120时小数量的循环,第一间隙填充材料120并不会沿着开口118的底部沉积(因为第一前驱物与第二前驱物不会与遮罩层112 的材料进行化学反应)。此外,在一实施例中,可利用足以使第一间隙填充材料120不覆盖开口118的底部的厚度,沉积第一间隙填充材料120,例如具有介于
Figure BDA0002255368390000081
Figure BDA0002255368390000082
的范围的厚度(于第一光遮罩层114的上表面上进行测量),例如约
Figure BDA0002255368390000083
图4A额外地示出在沉积第一间隙填充材料120之后,沉积第二间隙填充材料122以至少部分填充或完全填充开口118。应注意的是第一间隙填充材料120与第二间隙填充材料112两者因此延伸超过第一光遮罩层114上表面所定义的平面。
在一实施例中,可利用与第一间隙填充材料120相同的沉积工艺如原子层沉积、化学气相沉积或物理气相沉积,于原位(in-situ,例如在与第一间隙填充材料120相同的沉积腔中)沉积第二间隙填充材料122。在一实施例中,第二间隙填充材料122与第一间隙填充材料120可为不同的材料,使第二间隙填充材料122可用于增强蚀刻选择性或热选择性。在特定实施例中,第二间隙填充材料122可为金属氧化物如TiO或Al2O3等或金属氮化物如TiN等。然而,可使用任何合适的材料。
在使用原子层沉积工艺沉积第二间隙填充材料122的一特定实施例中,原子层沉积工艺可使用第三前驱物如三甲基铝(trimethylaluminum,TMA)、二乙基锌(diethylzinc,DEZ)、四(二甲胺基)钛 (tetrakis(dimethylamino)titanium,TDMAT)、异丙醇钛(titaniumisopropoxide, TTIP)、四氯化钛、四(二甲基胺基)锆(tetrakis(dimethylamido)zirconium, Zr(NMe2)4)、四氯化锆或四(二甲氨基)锡(tetrakis-dimethyl-amine tin,TDMASn)。此外,沉积第二间隙填充材料122的原子层沉积工艺也可使用由氧气源而产生的第四前驱物如水、氧气、臭氧、H2O2或其他化合物。然而,可使用任何合适的沉积前驱物或方法。
可使用足以填充至少开口118的底部部分的厚度,沉积第二间隙填充材料122。在一实施例中,可沉积第二间隙填充材料122至约
Figure BDA0002255368390000091
至约
Figure BDA0002255368390000092
间的厚度,例如约
Figure BDA0002255368390000093
然而,可使用任何合适的厚度。
此外,在一些实施例中,第二间隙填充材料122的沉积工艺温度维持在足以避免聚合物基材料分解的低温,聚合物基材料如第一光遮罩层114。例如,原子层沉积工艺的温度可维持低于300℃。在一实施例中,例如,可使用介于室温至300℃的范围的温度。此外,维持200℃之下的温度,如室温至200℃间,也可避免非预期的排气。然而,在分解不会造成问题的其他实施例中,可使用任何合适的温度。
最终,在利用原子层沉积工艺沉积第二间隙填充材料122的实施例中,第二间隙填充材料122可具有介于开口118中间的缺口(indentation)。因此,第二间隙填充材料122的厚度由于开口118的几何形状而在开口118 中线130达到最大厚度。然而,在完全过度填充开口118的实施例中,并不存在缺口。
图4B是沿着图3中的剖线B-B,示出半导体结构100一部分的剖面图,而图4A沿着图4B中的剖线C-C示出图4B的结构,包括图案化层110、遮罩层112与第一光遮罩层114(包括位于图案化层110之下的结构,在图 4B中为了简明的目的而移除)。由此可见,且与图4A一致,如先前所述的第一间隙填充材料120与第二间隙填充材料122两者皆用以填充开口118。详细而论,在一实施例中,以第一间隙填充材料120部分填充开口118并覆盖在第一原子层沉积步骤中的遮罩层112与第一光遮罩层114的侧壁,然而开口118的底部并未被第一间隙填充材料120所覆盖。接着,在一实施例中,以第二间隙填充材料122填充开口118的至少一底部部分。
由此可见,遮罩层112、第一间隙填充材料120与第二间隙填充材料 122从第一光遮罩层114的顶表面之上延伸至开口118的一部分中,其延伸至第一光遮罩层114中。遮罩层112、第一间隙填充材料120与第二间隙填充材料122也延伸至开口中,而开口118延伸至图案化层110中。
在第5A与5B图中,进行移除工艺以移除过多的第一间隙填充材料120 与第二间隙填充材料122,其中图5A沿着图3中的剖线A-A示出半导体结构100一部分的剖面图,而图5B沿着图3中的剖线B-B示出半导体结构 100一部分的剖面图,且图5A沿着图5B中的剖线C-C示出图5B的结构。在一实施例中,可利用化学机械平坦化(CMP)步骤或使用如CxFy/CxHyFz基气体的蚀刻步骤进行移除工艺,以平坦化遮罩层114的表面与第一间隙填充材料120及第二间隙填充材料122的上表面。然而,可利用任何合适的移除工艺。
在第6A与6B图中,可减少第一间隙填充材料120及第二间隙填充材料122的高度,以从上方的图案化层110移除第一间隙填充材料120及第二间隙填充材料122,其中图6A沿着图3中的剖线A-A示出半导体结构 100一部分的剖面图,而图6B沿着图3中的剖线B-B示出半导体结构100 一部分的剖面图,且图6A沿着图6B中的剖线C-C示出图6B的结构。在一实施例中,可减少第一间隙填充材料120及第二间隙填充材料122的高度,使其至少与遮罩层112的顶表面共平面,可利用一或多种非等向性蚀刻工艺减少第一间隙填充材料120及第二间隙填充材料122的高度,非等向性蚀刻工艺使用如CxFy/CxHyFz基气体的蚀刻剂。然而,可使用任何合适的缩减(reduction)工艺。
在第7A、7B与7C图中,移除第一光遮罩层114与第一间隙填充材料 120两者,其中图7B沿着图7C中的剖线A-A示出半导体结构100一部分的剖面图,而图7B沿着图7C中的剖线B-B示出半导体结构100一部分的剖面图,且图7A沿着图7B中的剖线C-C示出图7B的结构。在一实施例中,可利用一或多种使用蚀刻剂如氧气基气体的灰化或蚀刻步骤进行移除的步骤。在一特定实施例中,可利用灰化工艺移除第一光遮罩层114,而可使用等离子体基氧气气体作为蚀刻剂移除第一间隙填充材料120。然而,可利用任何合适的移除工艺如湿式蚀刻工艺。
由于第一间隙填充材料120与第二间隙填充材料间的高蚀刻选择性,可一同移除第一间隙填充材料120与第一光遮罩层114。因此,在移除工艺后仅保留第二间隙填充材料122。再者,第二间隙填充材料122与图案化层 110间的高蚀刻选择性也足以防止图案化层110受伤害,因而协助预防图案化错误。
移除第一光遮罩层114与第一间隙填充材料120后,第二间隙填充材料122将具有与遮罩层112相交于第一角度126A的侧壁。在一实施例中,第一角度126A在约75度至约90度间,例如约85度。然而,可使用任何合适的角度。
此外,如图7B中所示出,移除第一光遮罩层114与第一间隙填充材料 120也将在y轴方向产生第二间隙填充材料122与遮罩层112之间的间隙,其中遮罩层112位于图案化层110的侧壁上。尽管存在间隙,包括第二间隙填充材料122的硬遮罩部件202在接下来的工艺步骤中仍将作为硬遮罩。
图7C示出第7A与7B图的结构,位于前述的第一介电层102、第二介电层104、第三介电层106与第四介电层108之上。图7C也示出图案化层 110与遮罩层112,将用以图案化下方的结构。第一介电层102、第二介电层104、第三介电层106、第四介电层108、图案化层110与遮罩层112实质上与先前所述及示出于第1至3图中相同,除了第一光遮罩层114与第二光遮罩层116已被移除。然而,由此可见,现在于遮罩层112的沟槽中已形成第二间隙填充材料122。
第8A至8C图示出使用第二间隙填充材料122作为遮罩图案化遮罩层 112,以形成硬遮罩部件202(包括第二间隙填充材料与遮罩层112两者),其中图8A沿着图8C中的剖线A-A示出半导体结构一部分的剖面图,而图 8B沿着图8C的剖线B-B示出半导体结构一部分的剖面图,且图8A沿着图8B中的剖线C-C示出图8B的结构。在一实施例中,可利用一或多种蚀刻工艺如非等向性蚀刻工艺图案化遮罩层112,非等向性蚀刻工艺使用如 CxFy/CxHyFz/CxHyClz基气体的蚀刻剂。然而,可利用任何合适的蚀刻工艺。
先填充开口118(参照第4A与4B图),接着再移除外层的材料,可形成具有高深宽比开口118的硬遮罩部件202。因此,可形成具有约30nm的中间临界尺寸124(MCD,例如硬遮罩部件202的宽度为高度的一半)与约50nm的一般高度的硬遮罩部件。然而,中间临界尺寸可在约10nm至约 30nm的范围,而硬遮罩部件202的高度可在约20nm至约60nm的范围。可使用任何合适的尺寸。
图8B示出蚀刻遮罩层112以形成硬遮罩部件202的另一示意图。此外,如图8清楚地示出,蚀刻硬遮罩层112时,遮罩层112与第二间隙填充材料112间的选择性可能不够高,且蚀刻工艺也可同时以较低速率蚀刻第二间隙填充材料122,因而减少第二间隙填充材料122的高度。
图8C示出第8A与8B图的结构,位于前述的第一介电层102、第二介电层104、第三介电层106与第四介电层108之上。由此可见,可完全形成硬遮罩部件202(位于部分图案化层110之间)。此外,沿着图案化层110 的侧壁保留部分的遮罩层112,而露出图案化层110的上表面。
在继续所述工艺前,相对于第9A至13C图叙述第8A至8C图中形成硬遮罩部件202的另一实施例。在此实施例中,叙述第二替代工艺流程,其回填开口118(参见如图3)并接着只移除第一光遮罩层114,以保留第一间隙填充材料120与第二间隙填充材料122两者。因此,在此实施例中,硬遮罩部件202包括第一间隙填充材料202、第二间隙填充材料与遮罩层112。
首先参照图9A,图9A沿着图3的剖线A-A示出半导体结构100一部分的剖面图,其包括遮罩层112与第一光遮罩层114(具有位于遮罩层112 之下的膜层,其为了简明的目的在图9A中省略)。在一实施例中,利用相当于图4A所述的方法沉积第一间隙填充材料120,例如利用原子层沉积工艺。然而,在此实施例中,持续进行原子层沉积以于第一光遮罩层114的侧壁上生成第一间隙填充材料120,直到这些部分合而为一并覆盖开口118 的底部。
在一特定实施例中,可继续进行至少五次循环的原子层沉积(例如:导入第一前驱物、排出第一前驱物、导入第二前驱物、排出第二前驱物),例如介于五次循环至十次循环。因此,形成了第一间隙填充材料120,使得第一间隙填充材料120在第一光遮罩层114上表面上的开口118外具有在约
Figure BDA0002255368390000131
Figure BDA0002255368390000132
范围的厚度,例如约
Figure BDA0002255368390000133
然而,可使用任何合适的厚度。
此外,尽管用于所述原子层沉积工艺的前驱物并未与遮罩层112的露出部分反应,通过进行多于五次循环的原子层沉积工艺,遮罩层112的一小部分仍将形成于遮罩层112的露出部分之上。详细而论,尽管前驱物并未与遮罩层112的材料反应,遮罩层112的材料仍将吸收各个循环的前驱物。因此,在至少五次循环后,位于沿着遮罩层112表面的被吸收的前驱物在导入第二前驱物时仍可存在,因而沿着遮罩层112的顶表面开始进行前驱物之间的反应,即便遮罩层112的材料与前驱物间并未发生化学反应。
然而,通过此方法沿着遮罩层112的表面形成第一间隙填充材料120,沿着遮罩层112表面的第一间隙填充材料120相较于第一间隙填充材料120 的剩余部分具有较小的厚度。在一些实施例中,沿着遮罩层112表面的第一间隙填充材料120具有约
Figure BDA0002255368390000134
至约
Figure BDA0002255368390000135
间的厚度,例如约
Figure BDA0002255368390000136
然而,可使任何合适的厚度。
一旦沉积第一间隙填充材料120后,可沉积第二间隙填充材料122。在一实施例中,可利用相当于图4A所述的方法沉积第二间隙填充材料122,例如利用原子层沉积工艺填充开口118的至少一部分。在其他实施例中,沉积工艺可完全填充开口118。在一特定实施例中,第二间隙填充材料122 在开口118外的厚度在约
Figure BDA0002255368390000137
至约
Figure BDA0002255368390000138
的范围,例如约
Figure BDA0002255368390000139
此外,在利用原子层沉积工艺沉积第二间隙填充材料122的实施例中,第二间隙填充材料122具有介于开口118中间的缺口。因此,由于开口118 的几何形状,第二间隙填充材料122的厚度在开口118的中线130达到最大厚度。然而,在完全过度填充开口118的其他实施例中,可不存在缺口。
图9B沿着图3的剖线B-B示出半导体结构100一部分的剖面图,而图 9A沿着图9B中的剖线C-C示出第9B的结构,包括图案化层110、遮罩层 112与第一光遮罩层114(图案化层110之下的结构在图9B为了简明的目的而移除)。由此可见,且与图9A一致,如先前所述,第一间隙填充材料 120与第二间隙填充材料122两者皆用以填充开口118。详细而论,在一实施例中,使用第一间隙填充材料120部分填充开口118,并在第一原子层沉积工艺步骤中覆盖第一光遮罩层114的侧壁,同时也使用第一间隙填充材料120(尽管具有较小的厚度)覆盖开口118的底部。接着,在一实施例中,使用第二间隙填充材料122填充开口118的至少底部部分。
在第10A与图10B中,进行移除工艺以移除第一间隙填充材料与第二间隙填充材料122过多的材料,其中图10A沿着图3的剖线A-A示出半导体结构100一部分的剖面图,而图10B沿着图3的剖线B-B示出半导体结构100一部分的剖面图,且图10A沿着图10B中的剖线C-C示出图10B的结构。在一实施例中,可利用相当于第5A至5B图的以上所述的方法进行移除工艺,例如利用化学机械研磨步骤或使用如CxFy/CxHyFz基气体的蚀刻步骤平坦化第一光遮罩层114的表面以及第一间隙填充材料120与第二间隙填充材料122的上表面。然而,可使用任何合适的移除工艺。
在第11A与11B图中,可减少第一隙填充材料120与第二间隙填充材料122的高度,以移除位于图案化层110之上的这些材料,其中图11A沿着图3的剖线A-A示出半导体结构100一部分的剖面图,而图11B沿着图 3的剖线B-B示出半导体结构100一部分的剖面图,且图11A沿着图11B 中的剖线C-C示出图11B的结构。在一实施例中,可利用相当于第6A至 6B图的以上所述的方式减少第一隙填充材料120与第二间隙填充材料122 的高度,例如利用一或多种蚀刻工艺如非等向性蚀刻工艺,减少第一隙填充材料120与第二间隙填充材料122的高度至与遮罩层112的顶表面至少共表面,其中非等向性蚀刻工艺使用如CxFy/CxHyFz基气体的蚀刻剂。然而,可利用任何合适的缩减工艺。
在第12A与图12B中,移除第一光遮罩层114,其中图12A沿着图3 的剖线A-A示出半导体结构100一部分的剖面图,而图12B沿着图3的剖线B-B示出半导体结构100一部分的剖面图,且图12A沿着图12B中的剖线C-C示出图12B的结构。在一实施例中,可利用一或多种灰化或蚀刻工艺步骤移除第一光遮罩层114。然而,可利用任何合适的移除步骤。
此外,若需要的话,在移除光遮罩114后,可蚀刻第一间隙填充材料 120以减少第一间隙填充材料120的厚度(因而减少第一间隙填充材料120 与第二间隙填充材料122组合的宽度)。在一实施例中,可利用蚀刻工艺减少第一间隙填充材料120的材料,其中蚀刻工艺使用如氧气基等离子体的蚀刻剂。
然而,与相当于第4A至8C图先前所述的实施例不同,在完全移除第一间隙填充材料120前,停止用以减少第一间隙填充材料120厚度的蚀刻工艺。在一实施例中,可减少第一间隙填充材料120的厚度,使其具有约
Figure BDA0002255368390000151
至约
Figure BDA0002255368390000152
的厚度,例如约
Figure BDA0002255368390000153
然而,可使用任何合适的厚度。
在移除第一光遮罩层114与任何后续蚀刻第一间隙填充材料120的步骤后,第一间隙填充材料120将具有与遮罩层112相交于第二角度126B的侧壁。在一实施例中,第二角度126B在约75度至约90度的范围,例如约 85度。然而,可使用任何合适的角度。
第13A至13C图示出使用第一间隙填充材料120与第二间隙填充材料 122两者作为遮罩图案化遮罩层112,以形成硬遮罩部件202(包括各个第一间隙填充材料120、第二间隙填充材料122与遮罩层112),其中图13A 沿着图13C的剖线A-A示出半导体结构100一部分的剖面图,而图13B沿着图13C的剖线B-B示出半导体结构100一部分的剖面图,且图13A沿着图13B中的剖线C-C示出图13B的结构。在一实施例中,可利用一或多种蚀刻工艺如非等向性蚀刻工艺图案化遮罩层112,非等向性蚀刻工艺使用如 CxFy、CxHyFz或CxHyClz基气体的蚀刻剂。然而,可利用任何合适的蚀刻工艺。
先填充开口118(参照第9A至9B图)并接着移除第一光遮罩层114,可形成本发明实施例的硬遮罩部件202(包括第一间隙填充材料120),其具有高深宽比的开口118。此外,减少第一间隙填充材料120的厚度,可控制硬遮罩部件202整体的厚度。在一实施例中,硬遮罩部件202因此可具有与相当于8A图中所述的硬遮罩部件202相似的尺寸。因此,可形成具有具有约30nm的中间临界尺寸124(MCD,例如硬遮罩部件202的宽度为高度的一半)与约50nm的一般高度的硬遮罩部件202。然而,中间临界尺寸可在约10nm至约30nm的范围,而硬遮罩部件202的高度可在约20nm至约60nm的范围。可使用任何合适的尺寸。
图13B示出蚀刻遮罩层112的另一示意图,以形成本发明实施例包括第一间隙填充材料120的硬遮罩部件202。此外,如图13清楚地示出,蚀刻遮罩层112时,遮罩层112、第一间隙填充材料120与第二间隙填充材料 122间的选择性可能不够高,且蚀刻工艺也可同时以较低速率蚀刻第一间隙填充材料120与第二间隙填充材料122两者,因而减少第一间隙填充材料 120与第二间隙填充材料122两者的高度。
图13C示出第13A与13B图的结构,位于前述的第一介电层102、第二介电层104、第三介电层106与第四介电层108之上。由此可见,可完全形成硬遮罩部件202(位于部分图案化层110之间,且在此实施例中,包括第一间隙填充材料120、第二间隙填充材料122与遮罩层122的图案化部分)。此外,沿着图案化层110的侧壁保留部分的遮罩层112。
图13C额外示出在此时的工艺中,露出图案化层110的顶表面。若有需要的话,为了移除的目的,可将图案化层110的特定部分标示为可移除部分1101,使可移除部分1101在后续蚀刻工艺中无法作为遮罩(以下在图 14将进一步叙述)。此外,图案化层110的其他部分可标示为剩余部分1102,使剩余部分1102在后续蚀刻工艺中得以保留作为遮罩。
接着参照图14,移除图案化层110的可移除部分1101。在一实施例中,先设置并图案化光刻胶(并未分别示出于图14中)以移除可移除部分1101,使光刻胶得以覆盖并保护剩余部分1102而露出可移除部分1101。剩余部分 1102一旦受到保护,接着利用如灰化工艺移除光刻胶前,可利用一或多种蚀刻工艺移除可移除部分1101,蚀刻工艺如湿式蚀刻工艺或干式蚀刻工艺。
图14也示出一旦移除图案化层110的可移除部分1101,沿着侧壁的剩余部分1102、硬遮罩部件202(可利用相当于第4A至8C图所述的工艺或相当于第9A至13B图所述的工艺形成)与遮罩层112可用以图案化第四介电层108与第三介电层106。在一实施例中,可利用非等向性蚀刻工艺如干式蚀刻工艺转移图案。然而,可利用任何合适的蚀刻工艺。
一旦转移硬遮罩部件202、图案化层110的剩余部分1102与沿着侧壁的遮罩层112的图案后,可移除硬遮罩部件202、图案化层110的剩余部分 1102与遮罩层112。在一实施例中,可利用一或多种蚀刻工艺如一或多种湿式蚀刻或干式蚀刻,移除硬遮罩部件202、图案化层110的剩余部分1102。然而,可利用任何合适的移除工艺。
图15示出从第四介电层108与第三介电层106转移图案至第二介电层 104、第一介电层102且最终至基板60之上的欲图案化层80。在一实施例中,可利用一或多种非等向性蚀刻工艺如干式蚀刻工艺转移图案。然而,可利用任何合适的蚀刻工艺或蚀刻工艺的组合。
此外,一旦转移图案与图案化欲图案化层80后,可移除一些上方的结构。在一实施例中,可各别移除第四介电层108、第三介电层106与第二介电层104,以露出第一介电层102的顶表面。在一实施例中,可利用一或多种蚀刻工艺移除第四介电层108、第三介电层106与第二介电层104,蚀刻工艺如一系列的湿式蚀刻工艺或干式蚀刻工艺。然而,可利用任何合适的蚀刻工艺组合。
图16示出一旦图案化欲图案化层80,可进行额外的工艺。在欲图案化层80为形成金属化层的介电层的一实施例中,可放置导电材料128至欲图案化层80的图案中。在一实施例中,导电材料128可为材料如铜、钨、铝等或前述的组合,且可利用沉积工艺如电镀(electroplating)、无电电镀 (electroless plating)、化学气相沉积、物理气相沉积、原子层沉积等或前述的组合沉积导电材料128。然而,可利用任何合适的制造材料与方法。
可沉积导电材料128以填充以及/或过度填充欲图案化层80与第一介电层102的图案。一旦过度填充后,可进行平坦化工艺如化学机械平坦化工艺,以从图案外移除导电材料128过多的部分并平坦化导电材料128至欲图案化层80,因而也移除了第一介电层102。然而,可利用任何合适的平坦化工艺。
图17示出一实施例方法的流程图1100,其中利用单一层间隙填充材料形成遮罩部件。在一实施例中,方法包括:第一步骤1102,如图1中所示出,于欲图案化层80之上形成第一介电层102、第二介电层104、第三介电层106与第四介电层108;第二步骤1104,如图2中所示出,形成遮罩层112;第三步骤1106,如图3中所示出,形成第一光遮罩层114与第二光遮罩层116;第四步骤1108,如图3中所示出,图案化光遮罩层;第五步骤1110,如图4A中所示出,使用第一间隙填充材料120回填光遮罩层中的开口至第一厚度;第六步骤1112,如图4A所示出,使用第二间隙填充材料122回填光遮罩层中的开口;第七步骤1114,如图5A中所示出,进行化学机械平坦化工艺或蚀刻步骤以平坦化遮罩层的表面;第八步骤1116,如图6A中所示出,减少第一间隙填充材料120与第二间隙填充材料122的厚度;第九步骤1118,如图7A中所示出,移除第一光遮罩层114与第一间隙填充材料120;第十步骤1120,如图8A中所示出,蚀刻遮罩层112的顶部部分;第十一步骤1122,如图14中所示出,转移所制得图案至下方的结构;第十二步骤1124,转移图案至欲图案化层80;以及第十三步骤1126,使用如导电材料填充欲图案化层80中的图案。
在实施例中,图17的流程图1100中可移除工艺步骤或可增加额外的工艺步骤。在实施例中,示出于流程图1100中的步骤顺序也可重新排序。
图18示出一实施例方法的流程图1200,其中使用第一间隙填充材料 120与第二间隙填充材料122两者。在一实施例中,方法包括:第一步骤 1102,如图1中所示出,于欲图案化层80之上形成第一介电层102、第二介电层104、第三介电层106与第四介电层108;第二步骤1104,如图2中所示出,于介电层之上形成遮罩层112;第三步骤1106,如图3中所示出,形成第一光遮罩层114与第二光遮罩层116;第四步骤1108,如图3中所示出,图案化光遮罩层;第五步骤1210,如图9A中所示出,使用第一间隙填充材料120回填开口至第二厚度;第六步骤1212,如图9A所示出,使用第二间隙填充材料122回填光遮罩层中的开口;第七步骤1114,如图10A 中所示出,进行化学机械平坦化工艺;第八步骤1116,如图11A中所示出,减少第一间隙填充材料120与第二间隙填充材料122的厚度;第九步骤 1118,如图12A中所示出,灰化第一光遮罩层114并减少第一间隙填充材料120的厚度;第十步骤1120,如图13A中所示出,蚀刻遮罩层112的顶部部分;第十一步骤1122,如图14中所示出,转移所制得图案至第三介电层106与第四介电层108;第十二步骤1124,如图15中所示出,转移图案至欲图案化层80;以及第十三步骤1126,使用如导电材料填充欲图案化层 80中的图案。
在实施例中,图18的流程图1200中可移除工艺步骤或可增加额外的工艺步骤。在实施例中,示出于流程图1200中的步骤顺序也可重新排序。
通过此述硬遮罩部件202的形成方法,在半导体装置工艺中可产生更小的部件。例如,在使用实施例于金属化层中形成导线的实施例中,可减少线材间端点至端点距离(例如:切割金属距离)而不产生缺陷,因而增加工艺整体的宽裕度。
此外,在其他实施例中,上述先进的光刻工艺、方法与材料可用于许多其他的应用中,包括形成鳍状场效晶体管。例如,可图案化鳍片以在部件间产生相对近的空间,其中上述的发明实施例尤为适合产生这样的效果。此外,可根据上述的发明实施例加工用于形成鳍状场效晶体管鳍片的间隔物(也称为心轴(mandrel))。
根据一实施例,一种集成电路的制造方法包括:于遮罩中形成开口,遮罩位于硬遮罩材料之上;沿着开口的侧壁沉积第一间隙填充材料;于开口中沉积与第一间隙填充材料不同的第二间隙填充材料,其包括金属氧化物或金属氮化物;移除遮罩;蚀刻第一间隙填充材料;以及以第二间隙填充材料作为遮罩,图案化硬遮罩材料。在一实施例中,蚀刻第一间隙填充材料的步骤完全移除第一间隙填充材料。在一实施例中,蚀刻第一间隙填充材料的步骤减少了第一间隙填充材料的厚度。在一实施例中,沉积第一间隙填充材料的步骤覆盖了开口露出的硬遮罩材料。在一实施例中,至少部分利用原子层沉积工艺进行沉积第一间隙填充材料的步骤。在一实施例中,沉积第一间隙填充材料的步骤并未完全覆盖开口露出的硬遮罩材料。在一实施例中,硬遮罩材料包括氧化钛。
根据另一实施例,一种集成电路的制造方法包括:于基板上形成介电层;于介电层上形成光遮罩层;图案化光遮罩层,以形成通过光遮罩层的间隙;利用第一材料部分填充间隙;利用与第一材料不同的第二材料填充间隙,第二材料包括金属氧化物或金属氮化物;移除光遮罩层与第一材料的至少一部分,以形成包括第二材料的遮罩结构;转移遮罩结构所形成的图案至介电层,并移除遮罩结构;以及转移介电层所形成的图案至基板。在一实施例中,移除光遮罩层与第一材料的至少一部分的步骤移除了所有第一材料。在一实施例中,移除光遮罩层与第一材料的至少一部分的步骤保留邻近于第二材料的第一材料的一部分。在一实施例中,利用第一材料部分填充间隙的步骤包括利用SiOx、SiCxOy、SiC或非晶碳部分填充间隙。在一实施例中,利用第二材料填充间隙的步骤包括利用TiO、Al2O3或TiN 填充间隙。在一实施例中,至少部分利用原子层沉积工艺进行利用第一材料部分填充间隙的步骤中,原子层沉积工艺使用第一前驱物,包括:三(二甲胺基)硅烷、双第三丁基胺基硅烷、双(二乙基氨基)硅烷、甲烷、乙烯或乙炔。在一实施例中,原子层沉积工艺使用第二前驱物,包括氧气、二氧化碳或一氧化二氮。在一实施例中,至少部分利用原子层沉积工艺形成第二材料的步骤中,原子层沉积工艺使用第一前驱物,包括:三甲基铝、二乙基锌、四(二甲胺基)钛、异丙醇钛、四氯化钛、四(二甲基胺基) 锆、四氯化锆或四(二甲氨基)锡。
根据另一实施例,一种集成电路的制造方法包括:至少部分利用原子层沉积工艺,沉积第一材料至遮罩层中的开口中,遮罩层位于基板之上;至少部分利用原子层沉积工艺,沉积第二材料至开口中;移除遮罩层;以第二材料作为遮罩蚀刻该遮罩层,以形成遮罩结构,其包括第一材料与第二材料至少其一;以及转移遮罩结构所形成的图案至基板,并移除遮罩结构。在一实施例中,基板包括金属化层的介电层。在一实施例中,一种集成电路的制造方法还包括沉积导电材料至金属化层的介电层中的图案中。在一实施例中,一种集成电路的制造方法还包括在移除遮罩层后薄化 (thinning)第一材料。在一实施例中,第一材料包括二氧化硅、碳化硅或碳材料,且其中第二材料包括金属氧化物或金属氮化物材料。
以上概述数个实施例的部件,以便在本发明所属技术领域中技术人员可更易理解本发明实施例的观点。在本发明所属技术领域中技术人员应理解,他们能以本发明实施例为基础,设计或修改其他工艺和结构,以达到与在此介绍的实施例相同的目的及/或优势。在本发明所属技术领域中技术人员也应理解到,此类等效的工艺和结构并无悖离本发明的构思与范围,且他们能在不违背本发明的构思和范围之下,做各式各样的改变、取代和替换。

Claims (1)

1.一种集成电路的制造方法,包括:
于一遮罩中形成一开口,该遮罩位于一硬遮罩材料之上;
沿着该开口的多个侧壁沉积一第一间隙填充材料;
于该开口中沉积与该第一间隙填充材料不同的一第二间隙填充材料,其包括一金属氧化物或一金属氮化物;
移除该遮罩;
蚀刻该第一间隙填充材料;以及
以该第二间隙填充材料作为一遮罩,图案化该硬遮罩材料。
CN201911051180.5A 2018-10-31 2019-10-31 集成电路的制造方法 Pending CN111128862A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862753184P 2018-10-31 2018-10-31
US62/753,184 2018-10-31
US16/583,949 US11069528B2 (en) 2018-10-31 2019-09-26 Semiconductor device and method
US16/583,949 2019-09-26

Publications (1)

Publication Number Publication Date
CN111128862A true CN111128862A (zh) 2020-05-08

Family

ID=70327205

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201911051180.5A Pending CN111128862A (zh) 2018-10-31 2019-10-31 集成电路的制造方法

Country Status (3)

Country Link
US (2) US11069528B2 (zh)
CN (1) CN111128862A (zh)
TW (1) TW202036672A (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113594089A (zh) * 2020-06-30 2021-11-02 台湾积体电路制造股份有限公司 半导体装置的形成方法及其用于制造集成电路的方法

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5698923B2 (ja) * 2009-06-26 2015-04-08 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. 自己整合型スペーサー多重パターニング方法
US9257274B2 (en) * 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9698015B2 (en) * 2013-10-21 2017-07-04 Applied Materials, Inc. Method for patterning a semiconductor substrate
US10832908B2 (en) * 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10304744B1 (en) * 2018-05-15 2019-05-28 International Business Machines Corporation Inverse tone direct print EUV lithography enabled by selective material deposition

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113594089A (zh) * 2020-06-30 2021-11-02 台湾积体电路制造股份有限公司 半导体装置的形成方法及其用于制造集成电路的方法
US11854798B2 (en) 2020-06-30 2023-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
CN113594089B (zh) * 2020-06-30 2024-06-11 台湾积体电路制造股份有限公司 半导体装置的形成方法及其用于制造集成电路的方法

Also Published As

Publication number Publication date
US11069528B2 (en) 2021-07-20
US11948798B2 (en) 2024-04-02
US20200135462A1 (en) 2020-04-30
US20210343529A1 (en) 2021-11-04
TW202036672A (zh) 2020-10-01

Similar Documents

Publication Publication Date Title
TWI698929B (zh) 半導體裝置的圖案化方法
TWI590380B (zh) 一種製造半導體元件內連線結構的方法
US11488861B2 (en) Method for manufacturing an interconnect structure having a selectively formed bottom via
TWI735934B (zh) 半導體裝置的形成方法
US11854798B2 (en) Semiconductor device and method
TW201917775A (zh) 用於利用放大的epe窗口切割圖案流程的遮罩方案
US10867794B2 (en) Patterning method for semiconductor devices and structures resulting therefrom
TW201926548A (zh) 半導體結構的製造方法
TW201946100A (zh) 半導體裝置之製造方法
TW201921498A (zh) 選擇性氧化鋁蝕刻的使用
US11948798B2 (en) Semiconductor device and method
TWI829013B (zh) 形成半導體裝置的方法
KR102628731B1 (ko) 실리콘-함유 층을 포함한 재료 패터닝 및 반도체 디바이스 제조 방법
CN113053740A (zh) 半导体器件及其制造方法
US20180138050A1 (en) Topographic planarization method for lithography process
TWI844106B (zh) 半導體裝置的製造方法
US20230154753A1 (en) Patterned Semiconductor Device and Method
CN113948448A (zh) 包括含碳层的图案化材料和用于半导体器件制造的方法
CN115346916A (zh) 互连结构及其形成方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
WD01 Invention patent application deemed withdrawn after publication
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20200508