TW202030885A - 包含垂直電晶體之裝置及電子系統及相關方法 - Google Patents

包含垂直電晶體之裝置及電子系統及相關方法 Download PDF

Info

Publication number
TW202030885A
TW202030885A TW108136503A TW108136503A TW202030885A TW 202030885 A TW202030885 A TW 202030885A TW 108136503 A TW108136503 A TW 108136503A TW 108136503 A TW108136503 A TW 108136503A TW 202030885 A TW202030885 A TW 202030885A
Authority
TW
Taiwan
Prior art keywords
oxide
semiconductor material
indium
oxide semiconductor
semiconductor
Prior art date
Application number
TW108136503A
Other languages
English (en)
Other versions
TWI774998B (zh
Inventor
杜拉 維斯哈克 尼爾摩 拉瑪斯瓦米
史考特 E 西利士
Original Assignee
美商美光科技公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商美光科技公司 filed Critical 美商美光科技公司
Publication of TW202030885A publication Critical patent/TW202030885A/zh
Application granted granted Critical
Publication of TWI774998B publication Critical patent/TWI774998B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78642Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/70Bipolar devices
    • H01L29/72Transistor-type devices, i.e. able to continuously respond to applied control signals
    • H01L29/73Bipolar junction transistors
    • H01L29/732Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66666Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66969Multistep manufacturing processes of devices having semiconductor bodies not comprising group 14 or group 13/15 materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/7869Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising an oxide semiconductor material, e.g. zinc oxide, copper aluminium oxide, cadmium stannate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01031Gallium [Ga]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01049Indium [In]

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Thin Film Transistor (AREA)
  • Semiconductor Memories (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

一種裝置包括垂直定向之電晶體。該裝置包括:一支柱,其包括至少一種氧化物半導體材料,該支柱在一第一橫向方向上在其之一上部分處比在其之一下部分處更寬;一閘極介電材料,其在該支柱之側壁上方且在該第一橫向方向上延伸;及至少一閘極電極,其鄰近於該閘極介電材料之至少一部分。亦揭示相關裝置、電子系統及方法。

Description

包含垂直電晶體之裝置及電子系統及相關方法
本發明之實施例係關於半導體裝置設計及製造之領域。更明確言之,本發明之實施例係關於形成包含氧化物半導體通道區域之垂直電晶體之方法,及關於相關半導體裝置及電子系統。
製造包含垂直電晶體及垂直記憶體胞元之半導體裝置通常包含使最終將形成包含源極接觸件及汲極接觸件、通道區域及閘極電極材料之垂直電晶體之材料彼此堆疊。接著圖案化該堆疊之材料以形成包含材料堆疊之支柱。
垂直電晶體之通道區域包含意欲回應於施加一臨限電壓而傳導一電流且在不存在該臨限電壓之情況下阻礙電流流動之一半導體材料。形成通道區域之半導體材料之支柱可包含諸如藉由使半導體材料曝露至一或多個蝕刻化學物質而圖案化半導體材料。然而,使半導體材料曝露至該一或多個蝕刻化學物質可對半導體材料之電及材料性質產生負面影響。例如,使半導體材料曝露至蝕刻化學物質(諸如含氫電漿)可影響半導體材料之電性質,從而影響電流流動通過由半導體材料形成之一通道材料,且最終影響相關聯電晶體之效能。
另外,在圖案化個別垂直電晶體之前形成形成垂直電晶體之材料可能不利於定製垂直電晶體之電性質。例如,當垂直電晶體之材料堆疊成一堆疊結構時,可難以針對最佳效能定製垂直電晶體之通道區域之電性質。此外,垂直電晶體之許多習知通道區域展現一高截止電流(Ioff ) (即,一高截止狀態洩漏)、低電子載子遷移率及在垂直電晶體之閘極氧化物材料與通道區域之間的一介面處之散射。
在一些實施例中,一種裝置包括:至少一支柱,其包括至少一種氧化物半導體材料,該至少一支柱在一第一橫向方向上在其之一上部分處比在其之一下部分處更寬;一閘極介電材料,其鄰近於該至少一支柱之側壁且在該第一橫向方向上延伸;及至少一閘極電極,其鄰近於該閘極介電材料之至少一部分。
在額外實施例中,一種形成一裝置之方法包括:在一電絕緣材料中形成第一溝槽;在該等第一溝槽內形成一犧牲材料;在該電絕緣材料及該犧牲材料中形成第二溝槽,該等第二溝槽在不同於該等第一溝槽之一方向上橫向延伸;在該等第二溝槽內形成一閘極介電材料;形成鄰近於該閘極介電材料之至少一部分之一閘極電極材料;用一電絕緣材料填充該等第二溝槽之剩餘部分;移除該犧牲材料之剩餘部分以形成開口;及在該等開口內形成一種氧化物半導體材料。
在又額外實施例中,一種形成一裝置之方法包括:在一導電材料上方形成支柱,該等支柱之各者包括正交於該導電材料垂直延伸之一犧牲材料;形成鄰近於該等支柱之側壁之一閘極介電材料;形成鄰近於該閘極氧化物材料之一閘極電極材料;移除該等支柱以形成開口;及在該等開口內形成一種氧化物半導體材料。
在進一步實施例中,一種裝置包括:一垂直定向之支柱,其在一導電線上方,該垂直定向之支柱包括一未經蝕刻之氧化物半導體材料;一閘極介電材料,其鄰近於該垂直定向之支柱;至少一閘極電極,其鄰近於該閘極介電材料;及一導電接觸件,其在該垂直定向之支柱上方。
在又進一步實施例中,一種電子系統包括:至少一輸入裝置;至少一輸出裝置;至少一處理器裝置,其可操作地耦合至該至少一輸入裝置及該至少一輸出裝置;及一裝置,其可操作地耦合至該至少一處理器裝置。該裝置包括:支柱,其等各包括至少一種氧化物半導體材料,該等支柱在其之一上部分處比在其之一下部分處更寬;一閘極介電材料,其鄰近於各支柱之側壁,該閘極介電材料在該支柱之該較寬上部分之一方向上延伸;及至少一閘極電極,其鄰近於該閘極介電材料之至少一部分。
在額外實施例中,一種形成一裝置之方法包括:形成鄰近於一犧牲材料之一閘極介電材料;在鄰近於該閘極介電材料之材料上方形成一閘極電極;移除該犧牲材料以形成一開口;及在該開口中形成包括氧化物半導體材料之一複合結構。形成該複合結構包括:在該開口之至少一部分內形成至少一第一氧化物半導體材料;及在該開口內形成鄰近於該第一氧化物半導體材料之至少一第二氧化物半導體材料。
相關申請案之交叉參考 本申請案主張於2018年10月9日針對「Devices and Electronic Systems Including Vertical Transistors, and Related Methods」申請之美國臨時專利申請案第62/743,089號之申請日期之權利。
本文所包含之圖解並不意欲為任何特定系統、半導體結構或半導體裝置之實際視圖,而僅為用於描述本文中之實施例之理想化表示。圖中共有之元件及特徵可保持相同數字標識,惟以下情況除外:為便於遵循描述,在大多數情況下,元件符號以其上引入或最充分描述元件之圖式之編號開始。
以下描述提供特定細節(諸如材料類型、材料厚度及處理條件)以便提供本文中所描述之實施例之一透徹描述。然而,一般技術人員將理解,可在不採用此等特定細節之情況下實踐本文中所揭示之實施例。實際上,可結合半導體行業中所採用之習知製造技術實踐實施例。另外,本文中所提供之描述並未形成包含包括一個氧化物半導體通道區域之一垂直電晶體之一半導體裝置、包括一個氧化物半導體通道區域之一垂直電晶體之一完整描述,或用於製造此一半導體裝置或一垂直電晶體之一程序流程之一完整描述。下文描述之結構並未形成完整半導體裝置。下文僅詳細描述理解本文中所描述之實施例所需之該等程序動作及結構。形成一完整半導體裝置之額外動作可藉由習知技術來執行。
如本文中所使用,術語「縱向」、「垂直」、「橫向」及「水平」係關於在其中或其上形成一或多個結構及/或特徵之一基板(例如,基底材料、基底結構、基底構造等)之一主平面且並不一定藉由地球引力場予以定義。一「橫向」或「水平」方向係實質上平行於該基板之主平面之一方向,而一「縱向」或「垂直」方向係實質上垂直於該基板之主平面之一方向。基板之主平面係藉由基板之相較於基板之其他表面具有一相對較大面積之一表面予以界定。
如本文中所使用,關於一給定參數、性質或條件之術語「實質上」意謂及包含達到一般技術人員將理解該給定參數、性質或條件符合一差異度(諸如在可接受容限內)之一程度。藉由實例,取決於實質上滿足之特定參數、性質或條件,該參數、性質或條件可滿足至少90.0%、滿足至少95.0%、滿足至少99.0%、滿足至少99.9%或甚至滿足100.0%。
如本文中所使用,關於一特定參數之一數值之「大約」或「近似」包含該數值及一般技術人員將理解之在該特定參數之可接受容限內之與該數值之一差異度。例如,關於一數值之「大約」或「近似」可包含在該數值之90.0%至110.0%之一範圍內之額外數值,諸如在數值之95.0%至105.0%之一範圍內、在數值之97.5%至102.5%之一範圍內、在數值之99.0%至101.0%之一範圍內、在數值之99.5%至100.5%之一範圍內或在數值之99.9%至100.1%之一範圍內。
如本文中所使用,空間關係術語(諸如「在…下面」、「在…下方」、「下」、「底部」、「上方」、「上」、「頂部」、「前」、「後」、「左」、「右」及類似者)可為易於描述而用於描述如圖中所繪示之一元件或特徵與另一(些)元件或特徵之關係。除非另有指定,否則該等空間關係術語旨在涵蓋除如圖中所描繪之定向之外之不同材料定向。例如,若將圖中之材料反轉,則描述為在其他元件或特徵「下方」或「下面」或「之下」或「底部上」之元件將接著定向於其他元件或特徵之「上方」或「頂部上」。因此,取決於使用術語之背景內容,術語「下方」可涵蓋上方及下方兩種定向,此對於一般技術人員係顯而易見的。材料可以其他方式定向(例如,旋轉90度、反轉、翻轉等)且相應地解釋本文中所使用之空間關係描述符。
根據本文中所描述之實施例,可用氧化物半導體材料製造一垂直電晶體,該氧化物半導體材料構成該垂直電晶體之通道區域。可諸如透過使用一鑲嵌(例如,無蝕刻)程序形成通道區域而無需使氧化物半導體材料實質上曝露至蝕刻化學物質。因為氧化物半導體材料未實質上曝露至蝕刻化學物質,所以氧化物半導體材料可相對於習知電晶體之通道區域展現改良之性質。例如,氧化物半導體材料可展現高於習知氧化物半導體材料之電子載子遷移率。另外,包含氧化物半導體材料之垂直電晶體相較於習知垂直電晶體可展現一較低截止電流(Ioff )、一減少之截止狀態洩漏,且可展現一減少之電流洩漏量。另外,垂直電晶體可展現一增加(即,較小負值)之臨限電壓Vt 。氧化物半導體材料可藉由原子層沈積(ALD)形成且可形成為一複合結構,該複合結構可進一步促進具有展現一增加之電子載子遷移率、增加之臨限電壓(Vt )、一較低截止電流(Ioff )及一減少之對應截止狀態洩漏量之一通道區域之一電晶體之形成。可改變複合結構之材料以定製複合結構及相關聯垂直電晶體之電性質。
圖1A係包含可上覆一基板102之垂直電晶體110之一陣列之一半導體裝置100的一簡化部分透視圖。圖1B係沿著圖1A之截面線B-B獲取之半導體裝置100的一簡化部分橫截面視圖。圖1C係沿著圖1B之截面線C-C獲取之半導體裝置100的一簡化部分橫截面視圖。共同參考圖1A至圖1C,垂直電晶體110可包含配置成在一第一橫向方向(例如,圖1A至圖1C中所展示之x方向)上延伸之列及在不同於該第一橫向方向之一第二橫向方向(例如,圖1A至圖1C中所展示之y方向)上延伸之行的支柱。該第二橫向方向可垂直於第一橫向方向。在其他實施例中,垂直電晶體110之列及垂直電晶體110之行可不彼此垂直。藉由非限制性實例,垂直電晶體110可以一六角密集堆積定向配置以增加垂直電晶體110之一密度。
垂直電晶體110之陣列可包含在一基板102上方延伸之導電線112。基板102可為其上形成額外材料之一基底材料或一構造。基板102可為一半導體基板、一支撐結構上之一基底半導體層、一金屬電極或其上形成有一或多個層、結構或區域之一半導體基板。基板102可為一習知矽基板或包括一半導電材料層之其他塊體基板。如本文中所使用,術語「塊體基板」不僅意謂及包含矽晶圓,而且意謂及包含絕緣體上矽(「SOI」)基板(諸如藍寶石上矽(「SOS」)基板及玻璃上矽(「SOG」)基板)、一基底半導體基座上之矽之磊晶層及其他半導體或光電子材料(諸如矽鍺、鍺、砷化鎵、氮化鎵及磷化銦)。基板102可經摻雜或未摻雜。
導電線112可包含一導電材料,舉例而言,諸如鎢、鈦、鎳、鉑、銠、釕、鋁、銅、鉬、銥、銀、金、金屬合金;含金屬材料(例如,金屬氮化物、金屬矽化物、金屬碳化物、金屬氧化物);包含氮化鈦(TiN)、氮化鉭(TaN)、氮化鎢(WN)、氮化鈦鋁(TiAlN)、氧化銥(IrOx )、氧化釕(RuOx )、其等之合金之至少一者之材料;導電摻雜半導體材料(例如,導電摻雜矽、導電摻雜鍺、導電摻雜矽鍺等);多晶矽;展現導電性之其他材料或其等之組合。在一些實施例中,導電線112包括鎢。在額外實施例中,導電線112包括釕。
在一些實施例中,導電線112包括半導體裝置100之源極線。在額外實施例中,導電線112包括半導體裝置100之數位線(例如,位元線、資料線)。然而,本發明並不受限於此且導電線112可包括半導體裝置100之除上文所描述者以外之一組件或結構。導電線112可各在一第一橫向方向(例如,x方向)上延伸。
垂直電晶體110亦包含在導電線112上方之支柱104。支柱104可自一導電線112延伸至一上導電接觸件116。一垂直電晶體110之上導電接觸件116可與(例如)相關聯於垂直電晶體110之一記憶體胞元之一電極(一底部電極)電連通。
上導電接觸件116可包含上文參考導電線112所描述之材料之任一者。在一些實施例中,上導電接觸件116包含與導電線112相同之材料。在其他實施例中,上導電接觸件116包含不同於導電線112之一材料。在一些實施例中,上導電接觸件116包括鎢。在其他實施例中,上導電接觸件116包括釕。
垂直電晶體110可包含與導電線112電連通之一下導電接觸件113。下導電接觸件113可包括(例如)一源極接觸件及一汲極接觸件之一者。在一些實施例中,下導電接觸件113包括一源極接觸件。下導電接觸件113可包含一導電材料。上導電接觸件116可包括(例如)一源極接觸件或一汲極接觸件之一者(下導電接觸件113包括該源極接觸件或該汲極接觸件之另一者)。在一些實施例中,上導電接觸件116包括與下導電接觸件113相同之材料。另外,垂直電晶體110可包含介於上導電接觸件116與半導體材料114之間的一導電材料117。然而,本發明並不受限於此且在一些實施例中,上導電接觸件116直接上覆於並接觸半導體材料114。
繼續參考圖1A至圖1C,垂直電晶體110之支柱104可包含安置於下導電接觸件113與上導電接觸件116之間的一半導體材料114。半導體材料114可構成垂直電晶體110之一通道區域,如下文進一步詳細描述。半導體材料114在本文中亦被稱為一「通道區域」或一「通道材料」。
垂直電晶體110之各者進一步包含鄰近於其之半導體材料114之至少一部分之側壁(例如,上覆於該等側壁、在該等側壁上方、在該等側壁上)延伸之一閘極介電材料122 (圖1B),及鄰近於閘極介電材料122之至少一部分(例如,上覆於該至少一部分、在該至少一部分上方、在該至少一部分上)之至少一閘極電極124 (例如,字線板)。為清楚起見在圖1A中未繪示閘極介電材料122,但將理解,閘極介電材料122係至少定位於半導體材料114與閘極電極124之間。
閘極介電材料122可包含一或多個電絕緣材料,諸如磷矽酸鹽玻璃、硼矽酸鹽玻璃、硼磷矽酸鹽玻璃(BPSG)、氟矽酸鹽玻璃、二氧化矽、二氧化鈦、二氧化鋯、二氧化鉿、氧化鉭、氧化鎂、氧化鋁、氧化鈮、氧化鉬、氧化鍶、氧化鋇、氧化釔、氮化物材料(例如,氮化矽(Si3 N4 ))、氮氧化物(例如,氮氧化矽)、另一閘極介電材料、介電碳氮化物材料(例如,碳氮化矽(SiCN))、介電碳氮氧化物材料(例如,碳氮氧化矽(SiOCN))、另一材料或其等之組合。在一些實施例中,閘極介電材料122包括二氧化矽。
閘極電極124可包含一導電材料,舉例而言,諸如鎢、鈦、鎳、鉑、銠、釕、鋁、銅、鉬、銥、銀、金、金屬合金;含金屬材料(例如,金屬氮化物、金屬矽化物、金屬碳化物、金屬氧化物);包含氮化鈦(TiN)、氮化鉭(TaN)、氮化鎢(WN)、氮化鈦鋁(TiAlN)、氧化銥(IrOx )、氧化釕(RuOx )、其等之合金之至少一者之材料;導電摻雜半導體材料(例如,導電摻雜矽、導電摻雜鍺、導電摻雜矽鍺等);多晶矽;展現導電性之其他材料或其等之組合。
在一些實施例中,閘極電極124在圖1A中所展示之y方向上沿著垂直電晶體110延伸。各閘極電極124可與陣列中之垂直電晶體110之一特定列或一特定行相關聯。在一些實施例中,閘極電極124在實質上正交於導電線112延伸之方向之一方向上延伸。
閘極電極124之一長度L可在自約30奈米(nm)至約200 nm (諸如自約30 nm至約50 nm、自約50 nm至約100 nm或自約100 nm至約200 nm)之一範圍內。在一些實施例中,閘極電極124之長度L係在自約60 nm至約65 nm之一範圍內。閘極電極124之一厚度t可在自約5 nm至約15 nm (諸如自約5 nm至約10 nm或自約10 nm至約15 nm)之一範圍內。在一些實施例中,厚度t係約6 nm。
半導體材料114可具有在自約30 nm至約200 nm (諸如自約30 nm至約50 nm、自約50 nm至約75 nm、自約75 nm至約100 nm、自約100 nm至約150 nm或自約150 nm至約200 nm)之一範圍內之一高度H。在一些實施例中,半導體材料114之高度H係在自約50 nm至約100 nm之一範圍內。在一些實施例中,半導體材料114具有約70 nm之一高度H。半導體材料114可具有在y方向上沿著閘極電極124之一長度之一寬度W (圖1C),寬度W在自約20 nm至約200 nm(諸如自約20 nm至約50 nm、自約50 nm至約100 nm、自約100 nm至約150 nm或自約150 nm至約200 nm)之一範圍內。半導體材料114可具有在x方向上之一厚度T (圖1B),該厚度T在自約10 nm至約50 nm (諸如自約10 nm至約20 nm、自約20 nm至約30 nm或自約30 nm至約50 nm)之一範圍內。在一些實施例中,厚度T係約15 nm。儘管已描述半導體材料114及閘極電極124之特定範圍,但本發明並不限於此等尺寸,且半導體材料114之尺寸之一或多者(例如,高度H、寬度W及厚度T之一或多者)及/或閘極電極124之尺寸之一或多者(例如,厚度t及長度L之一或多者)可不同於上文所描述之尺寸。
參考圖1B,閘極電極124與上導電接觸件116之間的一距離(間隔) D1 可在自約0 nm至約50 nm (諸如自約0 nm至約5 nm、自約5 nm至約10 nm、自約10 nm至約20 nm、自約20 nm至約30 nm或自約30 nm至約50 nm)之一範圍內。在一些實施例中,距離D1 係約5 nm。閘極電極124與導電線112之間的一距離(間隔) D2 可在自約0 nm至約20 nm (諸如自約0 nm至約5 nm、自約5 nm至約10 nm或自約10 nm至約20 nm)之一範圍內。在一些實施例中,距離D2 係約5 nm。距離D2 可相同於或可不同於距離D1 。在一些實施例中,閘極介電材料122之一厚度對應於(例如,相同於)距離D2 。距離D1 、D2 可被稱為一所謂之「閘極至接觸件」間隔。
參考圖1B及圖1C,半導體材料114之鄰近閘極電極124之間的空間可包含一電絕緣材料126。電絕緣材料126可包括一或多個電絕緣材料,諸如磷矽酸鹽玻璃、硼矽酸鹽玻璃、硼磷矽酸鹽玻璃(BPSG)、氟矽酸鹽玻璃、二氧化矽、氮化物材料(例如,氮化矽(Si3 N4 ))、氮氧化物(例如,氮氧化矽)、另一介電材料、介電碳氮化物材料(例如,碳氮化矽(SiCN))、介電碳氮氧化物材料(例如,碳氮氧化矽(SiOCN))或其等之組合。在一些實施例中,電絕緣材料126包括一低k介電材料,舉例而言,諸如二氧化矽。在一些實施例中,電絕緣材料126包括與閘極介電材料122相同之材料。
半導體材料114可包括經配製以回應於將一合適電壓(例如,一閘極電壓、一設定偏壓電壓、一讀取偏壓電壓)施加至垂直電晶體110而傳導電流之一材料。半導體材料114可包括具有大於多晶矽之一帶隙(諸如大於1.65電子伏特(eV)之一帶隙)之一半導電材料。例如,半導體材料114可包括氧化物半導體材料,諸如以下一或多者:氧化鋅錫(ZTO;Znx Sny Oz )、氧化銦鋅(IZO;Inx Zy Oz )、氧化鋅(ZnOx )、銦鎵鋅氧化物(IGZO;Inx Gay Znz Oa )、銦鎵矽氧化物(IGSO)、氧化銦(InOx 、In2 O3 )、氧化錫(SnO2 )、氧化鈦(TiOx )、氮氧化鋅(Znx Oy Nz )、氧化鎂鋅(Mgx Zny Oz )、氧化銦鋅(Inx Zny Oz )、鋯銦鋅氧化物(Zrx Iny Znz Oa )、鉿銦鋅氧化物(Hfx Iny Znz Oa )、錫銦鋅氧化物(Snx Iny Znz Oa )、鋁錫銦鋅氧化物(Alx Sny Inz Zna Od )、矽銦鋅氧化物(Six Iny Znz Oa )、氧化鋅錫(Znx Sny Oz )、鋁鋅錫氧化物(Alx Zny Snz Oa )、鎵鋅錫氧化物(Gax Zny Snz Oa )、鋯鋅錫氧化物(Zrx Zny Snz Oa )、銦鎵矽氧化物(InGaSiO)、氧化銦鎢(IWO;Inx Wy Oz )及其他類似材料。在一些實施例中,半導體材料114包括IGZO。在一些實施例中,半導體材料114可具有1:1:1:4之一In:Ga:Zn:O比,可具有2:2:1之一In2 O3 :Ga2 O3 :ZnO比,或可藉由式InGaO3 (ZnO)5 表示。在額外實施例中,半導體材料114包括IGZO及IGSO。半導體材料114可包括包含兩種不同元素之原子以及氧原子之三元氧化物。在其他實施例中,半導體材料114包括包含三種不同元素之原子及氧原子之四元氧化物。如本文中將描述,在一些實施例中,半導體材料114可包含包括上文所描述之材料之一或多者之一或多個離散部分的一複合結構。
在一些實施例中,基於非氧化物元素(即,基於半導體材料114之其他元素(即,不包含氧原子)),鎵可構成半導體材料114之約20原子百分比至約60原子百分比(諸如自約35原子百分比至約55原子百分比)。基於半導體材料114之非氧化物元素,鋅可構成半導體材料114之約20原子百分比至約60原子百分比(諸如自約20原子百分比至約40原子百分比)。基於半導體材料114之非氧化物元素,銦可構成半導體材料114之約20原子百分比至約60原子百分比(諸如自約20原子百分比至約40原子百分比)。
如本文中將描述,半導體材料114可透過一鑲嵌(例如,一非蝕刻)程序形成。在一些此等實施例中,半導體材料114未實質上曝露至蝕刻化學物質(例如,濕式蝕刻化學物質;乾式蝕刻化學物質,諸如電漿蝕刻化學物質)。因為半導體材料114可未曝露至各種蝕刻化學物質,所以半導體材料可被稱為「原始的」,或包括一「經沈積(as-deposited)」材料。因此,半導體材料114可相對於使用一或多個蝕刻程序形成之習知通道區域展現改良之電性質。在一些實施例中,半導體材料114相較於習知通道區域材料具有增加之電子載子遷移率。因為垂直電晶體110係在無需蝕刻半導體材料114之情況下形成,所以半導體材料114 (及因此,垂直電晶體110)之電性質可優於習知半導體材料114 (諸如曝露至各種蝕刻化學物質之半導體材料114)。例如,在一些實施例中,半導體材料114具有改良之臨限電壓Vt (一增加(較小負值及較大正值)之Vt )且垂直電晶體110可相較於習知垂直電晶體展現一較低截止電流(Ioff )及一減小之截止狀態電流。
因此,根據本發明之實施例,一種半導體裝置包括:一垂直定向之支柱,其在一導電線上方,該垂直定向之支柱包括一未經蝕刻之氧化物半導體材料;一閘極介電材料,其鄰近於該垂直定向之支柱之側;至少一閘極電極,其鄰近於該閘極介電材料;及一導電接觸件,其在該垂直定向之支柱上方。
圖2A至圖2H係繪示根據本發明之實施例之製造圖1A至圖1C中所展示之半導體裝置100之一方法的簡化部分橫截面視圖。圖2A係包含一材料堆疊205之一半導體裝置200之一簡化部分橫截面視圖,材料堆疊205包含一基板202、在基板材料202上方之一導電材料204、在導電材料204上方之一下導電接觸件材料213及在下導電接觸件材料213上方之一犧牲材料206。基板材料202、導電材料204及下導電接觸件材料213可分別包含上文參考基板102、導電線112及下導電接觸件113所描述之相同材料。儘管圖2A至圖2H係描述為包含下導電接觸件材料213,但本發明並不受限於此且堆疊205可不包含下導電接觸件材料213。在一些此等實施例中,犧牲材料206直接上覆於並接觸導電材料204。
參考圖2B,可在一第一方向上圖案化堆疊205 (圖2A)以形成堆疊205之材料之在第一方向(例如,y方向)上延伸之線207,線207藉由溝槽209分離。溝槽209可經形成通過犧牲材料206及導電材料204以形成犧牲材料206及導電材料204之線207。
可諸如藉由濕式蝕刻、乾式蝕刻或其等之一組合來移除及圖案化犧牲材料206。藉由非限制性實例,可藉由在堆疊205上方形成一遮罩且使犧牲材料206透過該遮罩曝露至一或多個蝕刻化學物質,而圖案化犧牲材料206以形成溝槽209。在一些實施例中,藉由乾式蝕刻來圖案化犧牲材料206。合適乾式蝕刻劑可包含(例如)包含氯、四氟化碳(CF6 )、三氟甲烷(CHF3 )、六氟乙烷(C2 F6 )、六氟化硫(SF6 )、另一化學物質或其等之組合之電漿。
在一些實施例中,圖案化犧牲材料206可包含形成待藉由實質上垂直側壁211界定之溝槽209。在一些此等實施例中,可選擇犧牲材料206使得可在無需相對於基板202形成實質上錐形(例如,成角度)側壁211之情況下圖案化犧牲材料206。在一些實施例中,實質上垂直側壁211可以相對於基板202成約90° (諸如自約90°至約89°、自約89°至約88°或自約88°至約89°)之一角度延伸。
犧牲材料206可包含矽(例如,單晶矽)、多晶矽、氮化矽、含碳材料(例如,SiOCN)、碳、光阻劑材料或另一材料。在一些實施例中,犧牲材料206包括矽。
參考圖2C,可用一電絕緣材料212填充溝槽209 (圖2B)。電絕緣材料212可包含(例如)自旋介電質、磷矽酸鹽玻璃、硼矽酸鹽玻璃、硼磷矽酸鹽玻璃(BPSG)、氟矽酸鹽玻璃、二氧化矽、氮化物材料(例如,氮化矽(Si3 N4 ))、氮氧化物(例如,氮氧化矽)、另一介電材料、介電碳氮化物材料(例如,碳氮化矽(SiCN))、介電碳氮氧化物材料(例如,碳氮氧化矽(SiOCN))或其等之組合。在一些實施例中,電絕緣材料212包括二氧化矽。
參考圖2D,可在一第二方向上圖案化半導體裝置200以形成包含犧牲材料206及下導電接觸件材料213之支柱215。形成支柱215可包含移除線207 (圖2B、圖2C)之各者之犧牲材料206之部分。在一些實施例中,因為犧牲材料206包括可在未形成實質上錐形側壁之情況下圖案化之一材料,所以支柱215之側壁係實質上垂直的。
在一些實施例中,在第二方向上圖案化半導體裝置200期間,並未移除導電材料204之下伏於犧牲材料206之線207 (圖2C)之部分使得導電材料204包括導電材料204之在y方向上延伸之線。在一些實施例中,下導電接觸件材料213 (若存在)可形成y方向上之線。
參考圖2E,可在支柱215之表面上方形成一閘極介電材料222。閘極介電材料222可經形成鄰近於支柱215之至少側壁(例如,在至少側壁上方、在至少側壁上)。在一些實施例中,閘極介電材料222係形成於導電材料204之在鄰近支柱215之間的經曝露表面上。在一些實施例中,閘極介電材料222係保形地形成於半導體裝置200上方且隨後諸如藉由一化學機械平坦化(CMP)自犧牲材料206之上表面移除。閘極介電材料222可包含上文參考閘極介電材料122 (圖1B)所描述之相同材料。
在形成閘極介電材料222之後,一閘極電極材料224可經形成鄰近於閘極介電材料222之至少一部分(例如,在該至少一部分上方、在該至少一部分上)。在一些實施例中,閘極電極材料224可僅形成於閘極介電材料222之上覆於支柱215之側壁211之一部分上方。在一些實施例中,閘極電極材料224係保形地形成於半導體裝置200上方。閘極電極材料224可諸如藉由一CMP程序自犧牲材料206之上表面移除且可諸如用一各向異性間隔件蝕刻自閘極介電材料222之下部分移除。在其他實施例中,圖案化閘極電極材料224使得其之一上表面定位於犧牲材料206之一上表面下方。閘極電極材料224可包含上文參考閘極電極124 (圖1A、圖1B)所描述之相同材料。
參考圖2F,在形成及圖案化閘極電極材料224之後,可在鄰近支柱215之間的空間中安置一電絕緣材料226。電絕緣材料226可包括上文參考電絕緣材料126 (圖1B)所描述之相同材料。在一些實施例中,電絕緣材料226包括與閘極介電材料222相同之材料。
可平坦化電絕緣材料226使得電絕緣材料226之一上曝露表面係實質上與支柱215之一上曝露表面共面。在一些實施例中,可藉由一CMP程序移除上覆於支柱215之電絕緣材料226。
參考圖2G,可選擇性地移除犧牲材料206之支柱215以在電絕緣材料226中形成開口228及曝露開口228內之下導電接觸件材料213,或在不包含下導電接觸件材料213之實施例中之導電材料204。可藉由使半導體裝置200曝露至一蝕刻化學物質來移除犧牲材料206,該蝕刻化學物質對犧牲材料206具選擇性以便不實質上移除電絕緣材料226及導電接觸件材料213。在一些實施例中,藉由使犧牲材料206曝露至一濕式蝕刻化學物質、一乾式蝕刻化學物質或其等之組合來移除犧牲材料206。在一些實施例中,犧牲材料206係曝露至包含氫之一電漿。開口228可藉由實質上垂直側壁229界定。
參考圖2H,可用一半導體材料230填充開口228 (圖2G)。半導體材料230可與上文參考圖1A至圖1C所描述之半導體材料114實質上相同。例如,半導體材料230可包含氧化鋅錫(ZTO)、氧化銦鋅(IZO)、氧化鋅(ZnOx )、銦鎵鋅氧化物(IGZO)、銦鎵矽氧化物(IGSO)、氧化銦(InOx 、In2 O3 )、氧化錫(SnO2 )、氧化鈦(TiOx )、氮氧化鋅(Znx Oy Nz )、氧化鎂鋅(Mgx Zny Oz )、氧化銦鋅(Inx Zny Oz )、銦鎵鋅氧化物(Inx Gay Znz Oa )、鋯銦鋅氧化物(Zrx Iny Znz Oa )、鉿銦鋅氧化物(Hfx Iny Znz Oa )、錫銦鋅氧化物(Snx Iny Znz Oa )、鋁錫銦鋅氧化物(Alx Sny Inz Zna Od )、矽銦鋅氧化物(Six Iny Znz Oa )、氧化鋅錫(Znx Sny Oz )、鋁鋅錫氧化物(Alx Zny Snz Oa )、鎵鋅錫氧化物(Gax Zny Snz Oa )、鋯鋅錫氧化物(Zrx Zny Snz Oa )、銦鎵矽氧化物(InGaSiO)、氧化銦鎢(IWO)及其他類似材料。在一些實施例中,半導體材料230包括包含上文所描述之材料之一或多者之一或多個離散部分的一複合結構。
繼續參考圖2H,可諸如藉由一CMP程序移除半導體材料230之上覆於電絕緣材料226之上表面之部分。在一些實施例中,半導體材料230之一上曝露表面可實質上與電絕緣材料226之一上曝露表面共面。
在一些實施例中,可藉由原子層沈積(ALD)形成半導體材料230。藉由原子層沈積形成半導體材料230可實質上用半導體材料230填充開口228 (圖2G),而未在藉由開口228界定且用半導體材料230填充之體積內形成實質開口或空隙。在一些實施例中,半導體材料230可實質上連續的且可不包含任何實質空隙。在其他實施例中,半導體材料230可包含開口228 (圖2G)中之至少一些空隙(例如,氣隙或氣穴,諸如一淚滴狀結構)。
因為犧牲材料206 (圖2F)係由允許支柱215 (圖2D)之間的溝槽209 (圖2B)展現實質上垂直側壁211之一材料形成,所以開口228 (圖2G)亦可展現實質上垂直側壁229。在一些實施例中,側壁229 (圖2G)可實質上相對於基板202之主表面垂直(例如,以約90°之一角度延伸)。換言之,側壁229可不展現一實質上錐形。相比而言,一些氧化物半導體材料當前不能夠以此一角度加以蝕刻。因此,可難以在不形成錐形側壁之情況下圖案化氧化物半導體材料。相比而言,本文中所描述之方法在具有實質上垂直側壁211、229之預成形開口內形成半導體材料230。
在一些實施例中,側壁229相對於基板202之主表面具有在自約80°至約90°(諸如自約80°至約85°、自約85°至約87°、自約87°至約88°、自約88°至約89°或自約89°至約90°)之一範圍內之一角度。
因為在一些實施例中側壁211、229係實質上垂直的,所以半導體材料230可不展現所謂的「夾斷(pinch-off)」,其中在半導體材料230之形成期間在半導體材料230內形成一氣隙。相比而言,其中側壁211、229並非實質上垂直的且開口228之一頂部分展現小於其之一底部分之一橫截面積,半導體材料230可經形成具有一氣隙。換言之,在半導體材料230填充開口228時,在填充藉由開口228界定之總體積之前開口228之頂部分之橫截面積夾斷(即,閉合),從而留下界定於開口228中之半導體材料230內之一間隙。
因為開口228係用半導體材料230填充且半導體材料230並未用一蝕刻化學物質圖案化,所以半導體材料230可為一所謂的「原始」材料,此意謂半導體材料230可未曝露至蝕刻化學物質(諸如基於氫之電漿蝕刻化學物質)。換言之,在一最終垂直電晶體結構(例如,圖1A至圖1C中所展示之垂直電晶體110)中之半導體材料230可包括經沈積材料。如上文所描述,在一些此等實施例中,半導體材料230可相對於用作電晶體結構之通道區域之習知半導體材料展現改良之性質。
在形成半導體材料230之後,一上導電接觸件材料(例如,上導電接觸件116 (圖1B、圖1C))可經形成與半導體材料230電連通。例如,在一些實施例中,可諸如藉由使半導體材料230曝露至一反應離子蝕刻或一各向異性間隔件蝕刻而使半導體材料230相對於電絕緣材料226凹入以形成凹入部分。上導電接觸件材料可形成於該等凹入部分中以形成上導電接觸件。在一些實施例中,如參考圖3A及圖3B所描述,在形成上導電接觸件材料之前,一通道接觸件可保形地形成在凹入部分內且在半導體材料230上方。在凹入部分中形成上導電接觸件材料之後,可諸如藉由CMP平坦化半導體裝置以形成如上文參考圖1A至圖1C所描述之一半導體裝置。在其他實施例中,上導電接觸件材料可使用(例如)一遮罩形成,可在形成上導電接觸件材料之後移除該遮罩。可用一介電材料填充上導電接觸件之間的空間且諸如藉由CMP平坦化半導體裝置。
儘管半導體裝置100、200在本文中已描述及繪示為包含包括所謂的雙閘極電晶體(例如,包含兩個閘極電極124)之垂直電晶體110,但本發明並不受限於此。在其他實施例中,垂直電晶體110可各包含圍繞半導體材料114之至少一部分安置之一單一閘極電極(例如,僅一個)使得垂直電晶體110包括所謂的單閘極電晶體。在其他實施例中,垂直電晶體110可包含圍繞垂直電晶體110之實質上所有側安置之一閘極電極以形成所謂的環繞式閘極垂直電晶體。
圖3A係包含包括單閘極垂直電晶體之垂直電晶體110′之一半導體裝置100′的一簡化部分橫截面視圖。圖3B係沿著圖3A之截面線B-B獲取之半導體裝置100′的一簡化部分橫截面視圖。半導體裝置100′可與上文參考圖1A至圖1C所描述之半導體裝置100實質上相同,惟半導體裝置100′包含各個別具有一單一(例如,唯一)閘極電極124′之垂直電晶體110′除外。半導體裝置100′之形成可實質上類似於上文參考圖2A至圖2H所描述之半導體裝置200之形成,惟以下除外:在形成閘極電極224時,可遮蔽支柱215之一部分使得一單一閘極電極124′形成於半導體裝置100′之僅一側上。
圖4A係包括所謂的環繞式閘極垂直電晶體110′′之一半導體裝置100′′之一簡化部分橫截面視圖。圖4B係沿著圖4A之截面線B-B獲取之半導體裝置100′′之一簡化部分橫截面視圖。半導體裝置100′′可實質上類似於上文參考圖1A至圖1C所描述之半導體裝置100,惟垂直電晶體110′′可包含圍繞半導體材料114之實質上所有側安置之一閘極介電材料122′′及圍繞半導體材料114之實質上所有側上之閘極介電材料122′′之至少一部分安置之一閘極電極124′′除外。在一些實施例中,半導體裝置100′′係以類似於上文參考圖2A至圖2H所描述之半導體裝置200之一方式形成,惟在形成溝槽209 (圖2B)之後未用一電絕緣材料212 (圖2C)填充溝槽209除外。實情係,在形成溝槽209之後,可圖案化半導體裝置100′′以形成支柱215 (圖2D)且閘極介電材料122′′可形成於犧牲材料206之實質上所有側壁上使得閘極電極124′′上覆於犧牲材料206之實質上所有側壁(例如,側表面、橫向表面)。閘極電極124′′可形成於閘極介電材料122′′上方且可圍繞(例如,包封、外接)犧牲材料206之實質上所有側壁(例如,側表面、橫向表面)。因此,在用半導體材料114代替犧牲材料206之後,閘極電極124′′可圍繞半導體材料114之實質上所有側壁(例如,側表面、橫向表面)。
因此,根據本發明之實施例,一種形成一半導體裝置之方法包括:在一導電材料上方形成支柱,該等支柱之各者包括正交於該導電材料垂直延伸之一犧牲材料;形成鄰近於該等支柱之側壁之一閘極介電材料;形成鄰近於該閘極氧化物材料之一閘極電極材料;移除該等支柱以形成開口;及在該等開口內形成氧化物半導體材料。
圖5A至圖5L係繪示根據本發明之其他實施例之形成一半導體裝置之一方法的簡化部分透視圖(圖5A、圖5C、圖5E、圖5G、圖5H)及簡化部分橫截面視圖(圖5B、圖5D、圖5F、圖5I、圖5J、圖5K、圖5L)。圖5A係一半導體裝置300之一簡化部分透視圖,且圖5B係沿著圖5A之截面線B-B獲取之半導體裝置300的一簡化部分橫截面視圖。共同參考圖5A及圖5B,半導體裝置300可包含定位於界定在一電絕緣材料306中之溝槽內之在一第一橫向方向(例如,x方向)上延伸之一導電材料304。導電材料304可包括在該第一方向上延伸之導電線。
一下導電接觸件材料313可上覆於導電材料304且一犧牲材料308可上覆於溝槽內之下導電接觸件材料313。下導電接觸件材料313可與上文參考圖1A至圖1C所描述之下導電接觸件113實質上相同。在其他實施例中,半導體裝置300可不包含下導電接觸件材料313且犧牲材料308可直接上覆於並接觸導電材料304。
犧牲材料308可與上文參考圖2A至圖2F所描述之犧牲材料206實質上相同。犧牲材料308可相對於電絕緣材料306展現一蝕刻選擇性。在一些實施例中,犧牲材料308包括矽。在其他實施例中,犧牲材料308包括碳或含碳材料(例如,SiOCN)。在又其他實施例中,犧牲材料308可包含多晶矽、氮化物材料(例如,氮化矽)、光阻劑材料、另一材料或其等之組合。
電絕緣材料306可與上文參考圖1B及圖1C所描述之電絕緣材料126實質上相同。在一些實施例中,電絕緣材料306包括二氧化矽。
基板302可與上文參考圖1A至圖1C所描述之基板102實質上相同。導電材料304可與上文參考圖1A至圖1C所描述之導電線112實質上相同。在一些實施例中,導電材料304包括鎢。在其他實施例中,導電材料304包括釕。
形成圖5A及圖5B中所繪示之半導體裝置300可包含在基板302上方形成電絕緣材料306,及在電絕緣材料306中形成在一第一橫向方向(例如,x方向)上延伸之溝槽。形成該等溝槽可包含使電絕緣材料306曝露至一濕式蝕刻化學物質、一乾式蝕刻化學物質或其等之一組合。在一些實施例中,由於蝕刻程序,溝槽展現錐形側壁309。如圖5B中所展示,錐形側壁309可界定犧牲材料308之至少一部分使得在犧牲材料308之一上部分處相對錐形側壁309之間的一距離D3 大於在犧牲材料308之一下部分處相對錐形側壁309之間的一距離D4
錐形側壁309可自基板302以除實質上垂直以外之一角度延伸。錐形側壁309可相對於垂直於基板302之主表面之一平面成角度。該角度可在自約0°至約20°(諸如自約0°至約2°、自約2°至約5°、自約5°至約10°、自約10°至約15°或自約15°至約20°)之一範圍內。
在電絕緣材料306中形成溝槽之後,可用導電材料304填充溝槽之至少一部分,且可在導電材料304上方形成下導電接觸件材料313。接著可用犧牲材料308填充溝槽之一剩餘部分。可(例如,藉由一CMP程序)平坦化犧牲材料308,使得犧牲材料308之一上表面實質上與電絕緣材料306之一上表面共面。
圖5C係在圖案化半導體裝置300中之溝槽310之後之半導體裝置300的一簡化部分透視圖。圖5D係沿著圖5C之截面線D-D獲取之半導體裝置300的一簡化部分橫截面視圖。溝槽310可分離犧牲材料308之經隔離支柱321。例如,可藉由在半導體裝置300上方形成一遮罩且使半導體裝置300透過該遮罩曝露至一或多個蝕刻化學物質以移除透過遮罩曝露之電絕緣材料306之部分及犧牲材料308之部分而形成溝槽310。在一些實施例中,溝槽310可自半導體裝置300之一上表面延伸至下導電接觸件材料313之一表面。
在一些實施例中,形成溝槽310形成用於犧牲材料308之錐形側壁319。換言之,犧牲材料308之經隔離支柱321可至少部分藉由錐形側壁319界定。錐形側壁319可自基板302以除實質上垂直以外之一角度延伸。錐形側壁319可相對於垂直於基板302之主表面之一平面成角度。該角度可在自約0°至約20°(諸如自約0°至約2°、自約2°至約5°、自約5°至約10°、自約10°至約15°或自約15°至約20°)之一範圍內。
繼續參考圖5B及圖5D,經隔離支柱321可在一橫向方向(例如,圖5D中之x方向)上藉由錐形側壁319界定且在另一橫向方向(例如,圖5B中之Y方向)上藉由錐形側壁309界定。在一些實施例中,錐形側壁319界定犧牲材料308之經隔離支柱321使得犧牲材料308展現在其下部分處的相對側壁319之間的距離D6 大於在其上部分處的相對側壁319之間的距離D5 。因此,犧牲材料308可包含比其上部分處之x方向上的相對側壁319之間的尺寸更大之其上部分處之y方向上的相對側壁之間的尺寸。
在形成溝槽310之後,可鄰近於半導體裝置300之表面(例如,在該等表面上方、在該等表面上)形成一閘極介電材料312。在一些實施例中,鄰近於半導體裝置300之表面(例如,在該等表面上方、在該等表面上)保形地形成閘極介電材料312,且接著(例如,藉由一CMP程序)移除閘極介電材料312之定位於溝槽310外部之部分。如圖5C中所展示,閘極介電材料312可在溝槽310內在y方向上延伸。
閘極介電材料312可形成為在自約5 nm至約20 nm (諸如自約5 nm至約10 nm或自約10 nm至約20 nm)之一範圍內之一厚度。在一些實施例中,閘極介電材料312之厚度係約5 nm。
閘極介電材料312可包含上文參考閘極介電材料122 (圖1B)所描述之相同材料。在一些實施例中,閘極介電材料312包括二氧化矽。
參考圖5E,可鄰近於閘極介電材料312之部分(例如,在該等部分上方、在該等部分上)形成閘極電極314。圖5F係沿著圖5E之截面線F-F獲取之半導體裝置300的一簡化部分橫截面視圖。閘極電極314可在溝槽310中在y方向上延伸。
閘極電極314可由與上文(圖1A、圖1B)所描述之閘極電極124相同之材料形成且包含與上文(圖1A、圖1B)所描述之閘極電極124相同之材料。在一些實施例中,閘極電極314包括氮化鈦。
閘極電極314之一長度可大約相同於上文參考圖1A至圖1C所描述之閘極電極124之長度L。在一些實施例中,閘極電極材料314之長度係在自約30 nm至約200 nm(諸如自約30 nm至約50 nm、自約50 nm至約100 nm或自約100 nm至約200 nm)之一範圍內。在一些實施例中,閘極電極材料314之長度L係在自約60 nm至約65 nm之一範圍內。閘極電極材料314之一厚度可大約相同於上文參考圖1A至圖1C所描述之閘極電極124之厚度t。在一些實施例中,閘極電極材料314之厚度係在自約5 nm至約15 nm (諸如自約5 nm至約10 nm或自約10 nm至約15 nm)之一範圍內。
在一些實施例中,閘極電極314延伸至溝槽310之下部分內之閘極介電材料312,如圖5F中所繪示。在其他實施例中,閘極電極314並不接觸溝槽310之下部分處之閘極介電材料312。在一些此等實施例中,用一介電材料(例如,一旋塗介電材料)填充溝槽310之一部分且在犧牲材料308及電絕緣材料306之側壁上鄰近於閘極介電材料312形成閘極電極314。因此,閘極電極314之下部分可不接觸閘極介電材料312之橫向延伸部分(即,閘極介電材料312之在x方向上延伸之部分)。
參考圖5G,可用一電絕緣材料316填充溝槽310 (圖5E、圖5F)之剩餘(例如,未填充)部分。電絕緣材料316可包括上文參考電絕緣材料306所描述之相同材料。在一些實施例中,電絕緣材料316包括與閘極介電材料312相同之材料。在一些實施例中,電絕緣材料316包括二氧化矽。
在形成(例如,沈積)電絕緣材料316之後,可諸如藉由一CMP程序移除電絕緣材料316在半導體裝置300之上表面上之部分。在一些實施例中,移除足夠電絕緣材料316以曝露犧牲材料308之上表面。
參考圖5H,可選擇性地移除犧牲材料308 (圖5G)以形成開口,接著可用一半導體材料318填充該等開口。可藉由使犧牲材料308曝露至一合適蝕刻化學物質以在未實質上移除電絕緣材料306之情況下實質上移除犧牲材料308來移除犧牲材料308。圖5I係沿著圖5H之截面線I-I獲取之半導體裝置300的一簡化部分橫截面視圖。圖5J係沿著圖5H之截面線J-J獲取之半導體裝置300的一簡化橫截面視圖。
在一些實施例中,半導體材料318係透過一ALD程序形成於開口之剩餘部分中。然而,本發明並不受限於此且半導體材料318可藉由其他方法形成於開口中,諸如化學氣相沈積(CVD)、物理氣相沈積(PVD)、低壓化學氣相沈積(LPCVD)、電漿增強型化學氣相沈積(PECVD)、另一沈積方法或其等之組合。
可諸如藉由一CMP程序移除半導體材料318在半導體裝置300之上表面上之部分。半導體材料318可包括與半導體材料114 (圖1A至圖1C)相同之材料。在一些實施例中,半導體材料318包括IGZO。在額外實施例中,半導體材料318包括包含上文所描述之材料之一或多者之一或多個離散部分的一複合結構。
參考圖5K及圖5L,在平坦化半導體裝置300之表面之後,可在半導體材料318上方形成一上導電接觸件材料317。一電絕緣材料322可電隔離電晶體結構330之上導電接觸件材料317。電絕緣材料322可為與電絕緣材料306、316相同之材料。
繼續參考圖5K及圖5L,半導體材料318可形成電晶體結構330之一通道區域。電晶體結構330可各個別包括一源極區域(例如,導電材料304)、一通道區域(例如,半導體材料318)、上導電接觸件材料317,其等可與一汲極區域、一閘極介電材料(例如,閘極介電材料312)及至少一(例如,一個、兩個)閘極電極(例如,閘極電極314)電連通。
如上文所描述,因為側壁309 (圖5B)呈錐形,所以在一第一橫截面(例如,圖5L之橫截面視圖)中,在半導體材料318之一上部分處的相對側壁309之間的距離D3 可大於在半導體材料318之一下部分處的相對側壁309之間的距離D4 。在一第二橫截面(例如,圖5K之橫截面視圖)中在半導體材料318之一上部分處的相對側壁319之間的距離D5 可小於在一第二橫截面(例如,圖5K之橫截面視圖)中在半導體材料318之一下部分處的相對側壁319之間的距離D6 。因此,在半導體材料318之一上部分處的相對側壁之間的尺寸在一第一方向(例如,y方向)上可大於在一第二方向(例如,x方向)上。在一些此等實施例中,半導體材料318可包含在該第一方向及該第二方向上之錐形側壁,錐形(例如,角度)在第一方向與第二方向上係不同的。
因為相對側壁309之間的距離D3 大於距離D4 ,所以半導體材料318可實質上填充在移除犧牲材料308之後留下之開口而未夾斷(例如,在用半導體材料318實質上完全填充開口之前閉合開口之頂部分)。
因此,根據本發明之實施例,包含垂直薄膜電晶體之一半導體裝置可藉由一鑲嵌程序形成。具有在其之上部分處比在其之下部分處更寬之一開口之溝槽中形成一犧牲材料。移除該犧牲材料且在其中安置通道材料。通道材料可實質上填充開口而未夾斷,因為在移除犧牲材料之後之開口展現在第一方向上在其上部分處的寬度大於在其下部分處的寬度。
因此,在至少一些實施例中,一種形成一半導體裝置之方法包括:在一電絕緣材料中形成第一溝槽;在該等第一溝槽內形成一犧牲材料;在該電絕緣材料及該犧牲材料中形成第二溝槽,該等第二溝槽在不同於該等第一溝槽之一方向上橫向延伸;在該等第二溝槽內形成一閘極介電材料;形成鄰近於該閘極介電材料之至少一部分之一閘極電極材料;用一電絕緣材料填充該等第二溝槽之剩餘部分;移除該犧牲材料之剩餘部分以形成開口;及在該等開口內形成氧化物半導體材料。
因此,在一些實施例中,一種半導體裝置包括:至少一支柱,其包括至少一種氧化物半導體材料,該至少一支柱在一第一橫向方向上在其之一上部分處比在其之一下部分處更寬;一閘極介電材料,其鄰近於該至少一支柱之側壁且在該第一橫向方向上延伸;及至少一閘極電極,其鄰近於該閘極介電材料之至少一部分。
因此,在一些實施例中,一種形成一半導體裝置之方法包括:形成鄰近於一犧牲材料之一閘極介電材料;在鄰近於該閘極介電材料之材料上方形成一閘極電極;移除該犧牲材料以形成一開口;及在該開口中形成包括氧化物半導體材料之一複合結構。形成該複合結構包括:在該開口之至少一部分內形成至少一第一氧化物半導體材料;及在該開口內形成鄰近於該第一氧化物半導體材料之至少一第二氧化物半導體材料。
如先前所論述,在一些實施例中,半導體材料114、230、318之一或多者包括一複合結構,該複合結構包含不同於該複合結構之至少另一半導體材料之至少一半導體材料。圖6係可用作上文所描述之半導體材料114、230、318之一或多者之一半導體結構400的一簡化部分橫截面視圖。例如,半導體結構400可包括一垂直電晶體(例如,垂直電晶體110、110′、110′′、330之一或多者)之一通道區域。半導體結構400包含一第一半導體材料402、在第一半導體材料402上之一第二半導體材料404及在第二半導體材料404上之一第三半導體材料406。第二半導體材料404可介於第一半導體材料402與第三半導體材料406之間。
第一半導體材料402、第二半導體材料404及第三半導體材料406可各個別包括氧化鋅錫(ZTO)、氧化銦鋅(IZO)、氧化鋅(ZnOx )、銦鎵鋅氧化物(IGZO)、銦鎵矽氧化物(IGSO)、氧化銦(InOx 、In2 O3 )、氧化錫(SnO2 )、氧化鈦(TiOx )、氮氧化鋅(Znx Oy Nz )、氧化鎂鋅(Mgx Zny Oz )、氧化銦鋅(Inx Zny Oz )、銦鎵鋅氧化物(Inx Gay Znz Oa )、鋯銦鋅氧化物(Zrx Iny Znz Oa )、鉿銦鋅氧化物(Hfx Iny Znz Oa )、錫銦鋅氧化物(Snx Iny Znz Oa )、鋁錫銦鋅氧化物(Alx Sny Inz Zna Od )、矽銦鋅氧化物(Six Iny Znz Oa )、氧化鋅錫(Znx Sny Oz )、鋁鋅錫氧化物(Alx Zny Snz Oa )、鎵鋅錫氧化物(Gax Zny Snz Oa )、鋯鋅錫氧化物(Zrx Zny Snz Oa )、銦鎵矽氧化物(InGaSiO)或其等之組合。
在一些實施例中,第一半導體材料402及第三半導體材料406包括相同材料。在其他實施例中,第一半導體材料402、第二半導體材料404及第三半導體材料406之各者包括不同材料。在額外實施例中,第一半導體材料402及第三半導體材料406各包括IGSO,且第二半導體材料404包括IGZO。因此,半導體結構400可包括一IGSO/IGZO/IGSO複合結構。在一些實施例中,半導體結構400包括第一半導體材料402及第二半導體材料404。第一半導體材料402及第二半導體材料404可包括IGZO,但可具有一不同組合物。例如,第一半導體材料402可展現不同於第二半導體材料404之銦、鎵及鋯之一或多者之一原子百分比。換言之,第二半導體材料404可包括與第一半導體材料402相同之元素,但可展現不同於第一半導體材料402之一化學計量比(及組合物)。
使半導體材料114、230、318之一或多者形成為一複合結構可促進展現一或多個所要性質(舉例而言,諸如氫耐受性)之通道區域之形成。作為一個實例,包括一IGSO/IGZO/IGSO複合結構之一半導體結構400可展現對氫之耐受性且減少或防止氫擴散至該複合結構中,該氫可對半導體結構400之電性質產生負面影響。在一些實施例中,由多種半導體材料形成半導體結構400可促進展現一所要臨限電壓(Vt )之半導體結構400之形成。換言之,半導體結構400 (及因此,對應垂直電晶體之通道材料)之臨限電壓可藉由形成半導體結構400以包括一或多種半導體材料而加以控制。在一些實施例中,半導體結構400可包括藉由選擇適當半導體材料而在半導體結構400之閘極氧化物材料與半導體材料之一介面處展現減少之散射之一量子阱。在一些此等實施例中,用半導體結構400形成之垂直電晶體可相較於習知通道材料展現減少之洩漏量。因此,與垂直電晶體之習知通道材料相比,半導體結構400可展現增加之電子載子遷移率、一較低截止電流及一較高臨限電壓。
因此,本發明之半導體裝置(例如,半導體裝置100、100′、100′′、200、300)可經形成具有原始通道區域(例如,在未蝕刻其之半導體材料之情況下形成之通道區域)。本發明之半導體材料(例如,半導體材料114、230、318)相對於習知垂直電晶體之習知半導體材料可展現改良之性質。例如,本發明之半導體材料相較於習知半導體材料可展現一較高臨限電壓、一減小之截止電流及一較大電子載子遷移率。本發明之半導體材料可不具有界定於其中之實質空隙或間隙,此係因為半導體材料係形成於在至少一方向上在相對側壁之一上部分之間具有一更大尺寸之開口中。
根據本發明之實施例之包含半導體材料(例如,半導體材料114、230、318)之半導體裝置(例如,半導體裝置100、100′、100′′、200、300)可用於本發明之電子系統之實施例中。例如,圖5係根據本發明之實施例之一闡釋性電子系統503的一方塊圖。例如,電子系統503可包括(例如)一電腦或電腦硬體組件、一伺服器或其他網路連結硬體組件、一蜂巢式電話、一數位相機、一個人數位助理(PDA)、可攜式媒體(例如,音樂)播放器、一Wi-Fi或具蜂巢式功能之平板電腦(舉例而言,諸如iPAD®或SURFACE®平板電腦)、一電子書、一導航裝置等。電子系統503包含至少一記憶體裝置505。記憶體裝置505可包含(例如)本文中先前所描述之一半導體裝置(例如,半導體裝置100、100′、100′′、200、300)之一實施例,其中通道區域(例如,半導體材料114、230、318)包括原始經沈積材料。通道區域可包含實質上垂直側壁。在其他實施例中,在至少一方向上,通道區域在其上部分處的相對側壁之間的尺寸大於在其下部分處的相對側壁之間的尺寸。
電子系統503可進一步包含至少一電子信號處理器裝置507 (通常被稱為一「微處理器」)。電子信號處理器裝置507可視需要包含本文中先前所描述之一半導體裝置(例如,半導體裝置100、100′、100′′、200、300)之一實施例。電子系統503可進一步包含用於由一使用者將資訊輸入至電子系統503中之一或多個輸入裝置509,舉例而言,諸如一滑鼠或其他指標裝置、一鍵盤、一觸控墊、一按鈕或一控制面板。電子系統503可進一步包含用於向一使用者輸出資訊(例如,視覺或音訊輸出)之一或多個輸出裝置511,舉例而言,諸如一監視器、一顯示器、一印表機、一音訊輸出插孔、一揚聲器等。在一些實施例中,輸入裝置509及輸出裝置511可包括既可用於將資訊輸入至電子系統503亦可向一使用者輸出視覺資訊之一單個觸控螢幕裝置。輸入裝置509及輸出裝置511可與記憶體裝置505及電子信號處理器裝置507之一或多者電通信。
因此,根據本發明之實施例,一種電子系統包括:至少一輸入裝置;至少一輸出裝置;至少一處理器裝置,其可操作地耦合至該至少一輸入裝置及該至少一輸出裝置;及一半導體裝置,其可操作地耦合至該至少一處理器裝置。該半導體裝置包括:支柱,其等各包括至少一種氧化物半導體材料,該等支柱在其之一上部分處比在其之一下部分處更寬;一閘極介電材料,其鄰近於各支柱之側壁,該閘極介電材料在該支柱之該較寬上部分之一方向上延伸;及至少一閘極電極,其鄰近於該閘極介電材料之至少一部分。
下文闡述本發明之額外非限制實例性實施例。
實施例1:一種裝置,其包括:至少一支柱,其包括至少一種氧化物半導體材料,該至少一支柱在一第一橫向方向上在其之一上部分處比在其之一下部分處更寬;一閘極介電材料,其鄰近於該至少一支柱之側壁且在該第一橫向方向上延伸;及至少一閘極電極,其鄰近於該閘極介電材料之至少一部分。
實施例2:如實施例1之裝置,其中該至少一支柱在一第二、不同橫向方向上在其之下部分處比在其之上部分處更寬。
實施例3:如實施例1或實施例2之裝置,其中該氧化物半導體材料包括以下一或多者:氧化鋅錫、氧化銦鋅、氧化鋅、銦鎵鋅氧化物、銦鎵矽氧化物、氧化銦、氧化錫、氧化鈦、氮氧化鋅、氧化鎂鋅、氧化銦鋅、鋯銦鋅氧化物、鉿銦鋅氧化物、錫銦鋅氧化物、鋁錫銦鋅氧化物、矽銦鋅氧化物、氧化鋅錫、鋁鋅錫氧化物、鎵鋅錫氧化物、鋯鋅錫氧化物、銦鎵矽氧化物及氧化銦鎢。
實施例4:如實施例1或實施例2之裝置,其中該氧化物半導體材料包括銦鎵鋅氧化物。
實施例5:如實施例1至4中任一項之裝置,其中該氧化物半導體材料包括一未經蝕刻材料。
實施例6:如實施例1至5中任一項之裝置,其中該氧化物半導體材料包括包含至少兩種不同氧化物半導體材料之一複合結構。
實施例7:如實施例1至6中任一項之裝置,其中該至少一閘極電極包括在該至少一支柱之兩個相對表面上方之兩個閘極電極。
實施例8:一種形成一裝置之方法,其包括:在一電絕緣材料中形成第一溝槽;在該等第一溝槽內形成一犧牲材料;在該電絕緣材料及該犧牲材料中形成第二溝槽,該等第二溝槽在不同於該等第一溝槽之一方向上橫向延伸;在該等第二溝槽內形成一閘極介電材料;形成鄰近於該閘極介電材料之至少一部分之一閘極電極材料;用一電絕緣材料填充該等第二溝槽之剩餘部分;移除該犧牲材料之剩餘部分以形成開口;及在該等開口內形成一種氧化物半導體材料。
實施例9:如實施例8之方法,其中在該等第一溝槽內形成一犧牲材料包括用碳填充該等第一溝槽。
實施例10:如實施例8之方法,其中在該等第一溝槽內形成一犧牲材料包括用多晶矽填充該等第一溝槽。
實施例11:如實施例8至10中任一項之方法,其中用一種氧化物半導體材料填充該等開口包括用銦鎵鋅氧化物填充該等開口。
實施例12:如實施例8至10中任一項之方法,其中用一種氧化物半導體材料填充該等開口包括用以下一或多者填充該等開口:氧化鋅錫、氧化銦鋅、氧化鋅、銦鎵鋅氧化物、銦鎵矽氧化物、氧化銦、氧化錫、氧化鈦、氮氧化鋅、氧化鎂鋅、氧化銦鋅、鋯銦鋅氧化物、鉿銦鋅氧化物、錫銦鋅氧化物、鋁錫銦鋅氧化物、矽銦鋅氧化物、氧化鋅錫、鋁鋅錫氧化物、鎵鋅錫氧化物、鋯鋅錫氧化物、銦鎵矽氧化物及氧化銦鎢。
實施例13:如實施例8至12中任一項之方法,其中用一種氧化物半導體材料填充該等開口包括透過原子層沈積形成該氧化物半導體材料。
實施例14:如實施例8至13中任一項之方法,其中形成開口包括使該等開口之上部分形成為在一第一橫向方向上比在一第二、不同橫向方向上更寬。
實施例15:如實施例8至14中任一項之方法,其中用一種氧化物半導體材料填充該等開口包括在無需蝕刻該氧化物半導體材料之情況下形成包括該氧化物半導體材料之垂直電晶體結構。
實施例16:一種形成一裝置之方法,其包括:在一導電材料上方形成支柱,該等支柱之各者包括正交於該導電材料垂直延伸之一犧牲材料;形成鄰近於該等支柱之側壁之一閘極介電材料;形成鄰近於該閘極氧化物材料之一閘極電極材料;移除該等支柱以形成開口;及在該等開口內形成一種氧化物半導體材料。
實施例17:如實施例16之方法,其中用一種氧化物半導體材料填充該等開口包括用銦鎵鋅氧化物填充該等開口。
實施例18:如實施例16或實施例17之方法,其中用一種氧化物半導體材料填充該等開口包括在該等開口內形成複合結構,該等複合結構之各者包括介於銦鎵矽氧化物之兩個部分之間的銦鎵鋅氧化物。
實施例19:如實施例16之方法,其中用一種氧化物半導體材料填充該等開口包括用以下一或多者填充該等開口:氧化鋅錫、氧化銦鋅、氧化鋅、銦鎵鋅氧化物、銦鎵矽氧化物、氧化銦、氧化錫、氧化鈦、氮氧化鋅、氧化鎂鋅、氧化銦鋅、鋯銦鋅氧化物、鉿銦鋅氧化物、錫銦鋅氧化物、鋁錫銦鋅氧化物、矽銦鋅氧化物、氧化鋅錫、鋁鋅錫氧化物、鎵鋅錫氧化物、鋯鋅錫氧化物、銦鎵矽氧化物及氧化銦鎢。
實施例20:如實施例16至19中任一項之方法,其中在一導電材料上方形成支柱包括在該導電材料上方形成多晶矽支柱或碳支柱。
實施例21:如實施例16至20中任一項之方法,其中用一種氧化物半導體材料填充該等開口包括藉由原子層沈積形成該氧化物半導體材料。
實施例22:如實施例16至21中任一項之方法,其進一步包括使該氧化物半導體材料之一部分凹入以形成凹入部分,及在該等凹入部分中之該氧化物半導體材料上方形成另一導電材料。
實施例23:如實施例22之方法,其進一步包括在該氧化物半導體材料上方形成該另一導電材料之後在該半導體裝置上執行化學機械平坦化。
實施例24:如實施例16至23中任一項之方法,其中在該閘極氧化物材料上方形成一閘極電極材料包括在該等支柱之各者之兩個相對側表面上方形成該閘極電極材料。
實施例25:一種裝置,其包括:一垂直定向之支柱,其在一導電線上方,該垂直定向之支柱包括一未經蝕刻之氧化物半導體材料;一閘極介電材料,其鄰近於該垂直定向之支柱;至少一閘極電極,其鄰近於該閘極介電材料;及一導電接觸件,其在該垂直定向之支柱上方。
實施例26:如實施例25之裝置,其中該氧化物半導體材料在其中展現至少一開口。
實施例27:如實施例25之裝置,其中該氧化物半導體材料係連續的且在其中實質上無開口。
實施例28:如實施例25至27中任一項之裝置,其中該至少一閘極電極包括在該垂直定向之支柱之兩個相對側表面上方之兩個閘極電極。
實施例29:如實施例25至27中任一項之裝置,其中該至少一閘極電極包括圍繞在該垂直定向之支柱之所有側表面周圍之一單一閘極電極。
實施例30:一種電子系統,其包括:至少一輸入裝置;至少一輸出裝置;至少一處理器裝置,其可操作地耦合至該至少一輸入裝置及該至少一輸出裝置;及一裝置,其可操作地耦合至該至少一處理器裝置,該裝置包括:支柱,其等各包括至少一種氧化物半導體材料,該等支柱在其之一上部分處比在其之一下部分處更寬;一閘極介電材料,其鄰近於各支柱之側壁,該閘極介電材料在該支柱之該較寬上部分之一方向上延伸;及至少一閘極電極,其鄰近於該閘極介電材料之至少一部分。
實施例31:一種形成一裝置之方法,該方法包括:形成鄰近於一犧牲材料之一閘極介電材料;在鄰近於該閘極介電材料之材料上方形成一閘極電極;移除該犧牲材料以形成一開口;及在該開口中形成包括氧化物半導體材料之一複合結構,形成該複合結構包括:在該開口之至少一部分內形成至少一第一氧化物半導體材料;及在該開口內形成鄰近於該第一氧化物半導體材料之至少一第二氧化物半導體材料。
實施例32:如實施例31之方法,其中形成至少一第一氧化物半導體材料包括藉由原子層沈積形成該第一氧化物半導體材料。
實施例33:如實施例31或實施例32之方法,其中:形成至少一第一氧化物半導體材料包括在該開口內形成包括一第一組合物之一第一氧化物半導體材料;且形成鄰近於該第一氧化物半導體材料之至少一第二氧化物半導體材料包括在該開口內形成包括與該第一氧化物半導體材料相同之元素及與該第一氧化物半導體材料不同之一化學計量比之一第二氧化物半導體材料。
雖然已結合圖描述特定闡釋性實施例,然一般技術人員將認知及瞭解,本發明所涵蓋之實施例並不限於本文中明確展示及描述之該等實施例。實情係,可在不脫離本發明所涵蓋之實施例之範疇(諸如下文所主張之範疇,包含合法等效物)之情況下做出本文中所描述之實施例之許多添加、刪除及修改。另外,來自一項所揭示實施例之特徵可與另一所揭示實施例之特徵組合同時仍涵蓋於本發明之範疇內。
100:半導體裝置 100′:半導體裝置 100″:半導體裝置 102:基板 104:支柱 110:垂直電晶體 110′:垂直電晶體 110″:垂直電晶體 112:導電線 113:導電接觸件 114:半導體材料 116:上導電接觸件 117:導電材料 122:閘極介電材料 122″:閘極介電材料 124:閘極電極 124′:單一閘極電極 124″:閘極電極 126:電絕緣材料 200:半導體裝置 202:基板 204:導電材料 205:堆疊 206:犧牲材料 207:線 209:溝槽 211:實質上垂直側壁 212:電絕緣材料 213:導電接觸件材料 215:支柱 222:閘極介電材料 224:閘極電極材料 226:電絕緣材料 228:開口 229:側壁 230:半導體材料 300:半導體裝置 302:基板 304:導電材料 306:電絕緣材料 308:犧牲材料 309:錐形側壁 310:溝槽 312:閘極介電材料 313:導電接觸件材料 314:閘極電極 316:電絕緣材料 317:上導電接觸件材料 318:半導體材料 319:錐形側壁 321:支柱 322:電絕緣材料 330:電晶體結構 400:半導體結構 402:第一半導體材料 404:第二半導體材料 406:第三半導體材料 503:電子系統 505:記憶體裝置 507:電子信號處理器裝置 509:輸入裝置 511:輸出裝置
圖1A至圖1C係根據本發明之實施例之包含垂直電晶體之一半導體裝置之簡化部分透視圖(圖1A)及簡化部分橫截面視圖(圖1B及圖1C); 圖2A至圖2H係繪示根據本發明之實施例之形成圖1A至圖1C中所展示之半導體裝置之一方法的簡化部分橫截面視圖; 圖3A及圖3B係根據本發明之其他實施例之一半導體裝置之簡化部分橫截面視圖; 圖4A及圖4B係根據本發明之實施例之一半導體裝置之簡化部分橫截面視圖; 圖5A至圖5L係繪示根據本發明之其他實施例之用於形成一半導體裝置之一方法的簡化部分透視圖(圖5A、圖5C、圖5E、圖5G及圖5H)及簡化部分橫截面視圖(圖5B、圖5D、圖5F、圖5I、圖5J、圖5K及圖5L); 圖6係根據本發明之實施例之一半導體結構之一簡化部分橫截面視圖;及 圖7係根據本發明之一實施例之一電子系統之一示意性方塊圖。
300:半導體裝置
302:基板
304:導電材料
312:閘極介電材料
313:導電接觸件材料
314:閘極電極
316:電絕緣材料
317:上導電接觸件材料
318:半導體材料
319:錐形側壁
322:電絕緣材料
330:電晶體結構

Claims (20)

  1. 一種裝置,其包括: 至少一支柱,其包括至少一種氧化物半導體材料,該至少一支柱在一第一橫向方向上在其之一上部分處比在其之一下部分處更寬; 一閘極介電材料,其鄰近於該至少一支柱之側壁且在該第一橫向方向上延伸;及 至少一閘極電極,其鄰近於該閘極介電材料之至少一部分。
  2. 如請求項1之裝置,其中該至少一支柱在一第二、不同橫向方向上在其之該下部分處比在其之該上部分處更寬。
  3. 如請求項1之裝置,其中該氧化物半導體材料包括以下一或多者:氧化鋅錫、氧化銦鋅、氧化鋅、銦鎵鋅氧化物、銦鎵矽氧化物、氧化銦、氧化錫、氧化鈦、氮氧化鋅、氧化鎂鋅、氧化銦鋅、鋯銦鋅氧化物、鉿銦鋅氧化物、錫銦鋅氧化物、鋁錫銦鋅氧化物、矽銦鋅氧化物、氧化鋅錫、鋁鋅錫氧化物、鎵鋅錫氧化物、鋯鋅錫氧化物、銦鎵矽氧化物及氧化銦鎢。
  4. 如請求項1之裝置,其中該氧化物半導體材料包括銦鎵鋅氧化物。
  5. 如請求項1之裝置,其中該氧化物半導體材料包括一未經蝕刻材料。
  6. 如請求項1之裝置,其中該氧化物半導體材料包括包含至少兩種不同氧化物半導體材料之一複合結構。
  7. 如請求項1之裝置,其中該至少一閘極電極包括在該至少一支柱之兩個相對表面上方之兩個閘極電極。
  8. 如請求項1之裝置,其中該至少一閘極電極係在該至少一支柱之在該第一橫向方向上延伸之側上。
  9. 如請求項1之裝置,其中該至少一種氧化物半導體材料包括包含至少一第一氧化物半導體材料及鄰近於該第一氧化物半導體材料之至少一第二氧化物半導體材料之一複合結構。
  10. 如請求項1之裝置,其中該至少一種氧化物半導體材料包括包含一第一組合物之一第一氧化物半導體材料及鄰近於該第一氧化物半導體材料之至少一第二氧化物半導體材料,該第二氧化物半導體材料包括與該第一氧化物半導體材料相同之元素及與該第一氧化物半導體材料不同之一化學計量比。
  11. 一種形成一裝置之方法,其包括: 在一電絕緣材料中形成第一溝槽; 在該等第一溝槽內形成一犧牲材料; 在該電絕緣材料及該犧牲材料中形成第二溝槽,該等第二溝槽在不同於該等第一溝槽之一方向上橫向延伸; 在該等第二溝槽內形成一閘極介電材料; 形成鄰近於該閘極介電材料之至少一部分之一閘極電極材料; 用一電絕緣材料填充該等第二溝槽之剩餘部分; 移除該犧牲材料之剩餘部分以形成開口;及 在該等開口內形成一種氧化物半導體材料。
  12. 如請求項11之方法,其中在該等第一溝槽內形成一犧牲材料包括用碳填充該等第一溝槽。
  13. 如請求項11之方法,其中在該等第一溝槽內形成一犧牲材料包括用多晶矽填充該等第一溝槽。
  14. 如請求項11之方法,其中用一種氧化物半導體材料填充該等開口包括用銦鎵鋅氧化物填充該等開口。
  15. 如請求項11之方法,其中用一種氧化物半導體材料填充該等開口包括用以下一或多者填充該等開口:氧化鋅錫、氧化銦鋅、氧化鋅、銦鎵鋅氧化物、銦鎵矽氧化物、氧化銦、氧化錫、氧化鈦、氮氧化鋅、氧化鎂鋅、氧化銦鋅、鋯銦鋅氧化物、鉿銦鋅氧化物、錫銦鋅氧化物、鋁錫銦鋅氧化物、矽銦鋅氧化物、氧化鋅錫、鋁鋅錫氧化物、鎵鋅錫氧化物、鋯鋅錫氧化物、銦鎵矽氧化物及氧化銦鎢。
  16. 如請求項11之方法,其中用一種氧化物半導體材料填充該等開口包括透過原子層沈積形成該氧化物半導體材料。
  17. 如請求項11之方法,其中形成開口包括使該等開口之上部分形成為在一第一橫向方向上比在一第二、不同橫向方向上更寬。
  18. 如請求項11之方法,其中用一種氧化物半導體材料填充該等開口包括在無需蝕刻該氧化物半導體材料之情況下形成包括該氧化物半導體材料之垂直電晶體結構。
  19. 如請求項11之方法,其中用一種氧化物半導體材料填充該等開口包括用包括介於銦鎵矽氧化物之兩個部分之間的銦鎵鋅氧化物之複合氧化物半導體結構填充該等開口。
  20. 一種電子系統,其包括: 至少一輸入裝置; 至少一輸出裝置; 至少一處理器裝置,其可操作地耦合至該至少一輸入裝置及該至少一輸出裝置;及 如請求項1之裝置,其可操作地耦合至該至少一處理器裝置。
TW108136503A 2018-10-09 2019-10-09 包含垂直電晶體之裝置及電子系統及相關方法 TWI774998B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201862743089P 2018-10-09 2018-10-09
US62/743,089 2018-10-09

Publications (2)

Publication Number Publication Date
TW202030885A true TW202030885A (zh) 2020-08-16
TWI774998B TWI774998B (zh) 2022-08-21

Family

ID=70050887

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108136503A TWI774998B (zh) 2018-10-09 2019-10-09 包含垂直電晶體之裝置及電子系統及相關方法

Country Status (6)

Country Link
US (2) US11398571B2 (zh)
EP (1) EP3864704A4 (zh)
KR (1) KR20210054019A (zh)
CN (1) CN113056829A (zh)
TW (1) TWI774998B (zh)
WO (1) WO2020076851A1 (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10297290B1 (en) * 2017-12-29 2019-05-21 Micron Technology, Inc. Semiconductor devices, and related control logic assemblies, control logic devices, electronic systems, and methods
US11974423B2 (en) * 2020-12-18 2024-04-30 Applied Materials, Inc. Replacement channel process for three-dimensional dynamic random access memory
WO2022136278A2 (en) * 2020-12-21 2022-06-30 Hitachi Energy Switzerland Ag Power semiconductor device and method for manufacturing a power semiconductor device
CN116230765B (zh) * 2022-03-30 2024-03-15 北京超弦存储器研究院 Mos管、存储器及其制备方法
WO2023231745A1 (en) * 2022-06-02 2023-12-07 Yangtze Memory Technologies Co., Ltd. Semiconductor structures and methods for forming the same
KR20240030234A (ko) * 2022-08-30 2024-03-07 삼성전자주식회사 채널 구조물을 포함하는 반도체 소자

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2820331C3 (de) * 1978-05-10 1982-03-18 Lüder, Ernst, Prof. Dr.-Ing., 7000 Stuttgart Dünnschicht-Feldeffekttransistor und Verfahren zu seiner Herstellung
US6559007B1 (en) 2000-04-06 2003-05-06 Micron Technology, Inc. Method for forming flash memory device having a tunnel dielectric comprising nitrided oxide
US6815750B1 (en) 2002-05-22 2004-11-09 Hewlett-Packard Development Company, L.P. Field effect transistor with channel extending through layers on a substrate
TWI406419B (zh) 2009-11-06 2013-08-21 Chunghwa Picture Tubes Ltd 垂直式薄膜電晶體及其製造方法以及包括該垂直式薄膜電晶體之顯示裝置及其製造方法
US20120223313A1 (en) * 2009-11-12 2012-09-06 Sharp Kabushiki Kaisha Thin film transistor substrate and method for manufacturing same
JP5031809B2 (ja) 2009-11-13 2012-09-26 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド 半導体装置
KR101800854B1 (ko) 2009-11-20 2017-11-23 가부시키가이샤 한도오따이 에네루기 켄큐쇼 트랜지스터
US8455940B2 (en) 2010-05-24 2013-06-04 Samsung Electronics Co., Ltd. Nonvolatile memory device, method of manufacturing the nonvolatile memory device, and memory module and system including the nonvolatile memory device
US8969154B2 (en) * 2011-08-23 2015-03-03 Micron Technology, Inc. Methods for fabricating semiconductor device structures and arrays of vertical transistor devices
JP6100071B2 (ja) * 2012-04-30 2017-03-22 株式会社半導体エネルギー研究所 半導体装置の作製方法
CN103730508B (zh) 2012-10-16 2016-08-03 瀚宇彩晶股份有限公司 显示面板的垂直式薄膜晶体管结构及其制作方法
US9245987B2 (en) 2012-11-29 2016-01-26 Micron Technology, Inc. Semiconductor devices and fabrication methods
US9859439B2 (en) * 2013-09-18 2018-01-02 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US9246013B2 (en) 2013-12-18 2016-01-26 Intermolecular, Inc. IGZO devices with composite channel layers and methods for forming the same
US9082793B1 (en) 2013-12-19 2015-07-14 Intermolecular, Inc. IGZO devices with reduced threshhold voltage shift and methods for forming the same
US9773888B2 (en) * 2014-02-26 2017-09-26 Micron Technology, Inc. Vertical access devices, semiconductor device structures, and related methods
CN104091785A (zh) 2014-07-22 2014-10-08 深圳市华星光电技术有限公司 Tft背板的制作方法及tft背板结构
US20160079385A1 (en) 2014-09-16 2016-03-17 Carolyn Rae Ellinger Vertical tft with multilayer passivation
US9397145B1 (en) 2015-05-14 2016-07-19 Micron Technology, Inc. Memory structures and related cross-point memory arrays, electronic systems, and methods of forming memory structures
US9853211B2 (en) 2015-07-24 2017-12-26 Micron Technology, Inc. Array of cross point memory cells individually comprising a select device and a programmable device
JP6538598B2 (ja) 2016-03-16 2019-07-03 株式会社東芝 トランジスタ及び半導体記憶装置
CN107204362B (zh) * 2016-03-18 2021-01-29 株式会社日本显示器 半导体装置
US9859420B1 (en) * 2016-08-18 2018-01-02 International Business Machines Corporation Tapered vertical FET having III-V channel
US9917138B1 (en) 2016-09-14 2018-03-13 Toshiba Memory Corporation Semiconductor device and semiconductor memory device
WO2018063308A1 (en) 2016-09-30 2018-04-05 Intel Corporation Two transistor, one resistor non-volatile gain cell memory and storage element

Also Published As

Publication number Publication date
WO2020076851A1 (en) 2020-04-16
US20220302318A1 (en) 2022-09-22
US11398571B2 (en) 2022-07-26
US11973145B2 (en) 2024-04-30
US20200111917A1 (en) 2020-04-09
KR20210054019A (ko) 2021-05-12
EP3864704A1 (en) 2021-08-18
EP3864704A4 (en) 2022-10-12
TWI774998B (zh) 2022-08-21
CN113056829A (zh) 2021-06-29

Similar Documents

Publication Publication Date Title
TWI774998B (zh) 包含垂直電晶體之裝置及電子系統及相關方法
TWI725572B (zh) 形成裝置之方法,以及相關之裝置及電子系統
TWI743568B (zh) 包括垂直電晶體之裝置及其相關方法
US11527548B2 (en) Semiconductor devices and electronic systems including an etch stop material, and related methods
CN110088906B (zh) 三维存储器件中的高k电介质层及其形成方法
TWI780364B (zh) 形成一記憶體裝置之方法及相關記憶體裝置與電子系統
TWI693702B (zh) 三維儲存裝置及其製造方法
TWI780773B (zh) 記憶體裝置及其形成方法
US10957549B2 (en) Methods of forming semiconductor devices using mask materials, and related semiconductor devices and systems
CN114788014A (zh) 包含钝化材料的微电子装置、相关电子装置和相关方法
CN108695382B (zh) 半导体装置及其制造方法
CN114334961A (zh) 半导体装置结构
CN108695233B (zh) 半导体器件及其制造方法
TWI837572B (zh) 包括介電材料之電子裝置及相關的系統及方法
TWI810838B (zh) 電晶體及其形成方法
KR20230026747A (ko) 반도체 장치
CN118139413A (zh) 一种半导体器件及其制造方法、电子设备

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent