TW202017058A - 半導體裝置及其製造方法 - Google Patents

半導體裝置及其製造方法 Download PDF

Info

Publication number
TW202017058A
TW202017058A TW108131604A TW108131604A TW202017058A TW 202017058 A TW202017058 A TW 202017058A TW 108131604 A TW108131604 A TW 108131604A TW 108131604 A TW108131604 A TW 108131604A TW 202017058 A TW202017058 A TW 202017058A
Authority
TW
Taiwan
Prior art keywords
fin
semiconductor
region
reshaped
item
Prior art date
Application number
TW108131604A
Other languages
English (en)
Other versions
TWI740201B (zh
Inventor
沙哈吉B 摩爾
張世杰
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202017058A publication Critical patent/TW202017058A/zh
Application granted granted Critical
Publication of TWI740201B publication Critical patent/TWI740201B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28238Making the insulator with sacrificial oxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0684Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape, relative sizes or dispositions of the semiconductor regions or junctions between the regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66621Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation using etching to form a recess at the gate location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66818Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the channel being thinned after patterning, e.g. sacrificial oxidation on fin
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7846Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the lateral device isolation region, e.g. STI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Element Separation (AREA)

Abstract

提供鰭式場效電晶體裝置及其製造方法,此方法包含在基底上方形成複數個半導體條帶,在基底上方以及相鄰的半導體條帶之間形成隔離區,對隔離區進行第一凹陷製程以暴露出半導體條帶的第一部分;將半導體條帶的第一部分重塑以形成重塑的半導體條帶的第一部分,對隔離區進行第二凹陷製程以暴露出在重塑的半導體條帶的第一部分下方之半導體條帶的第二部分,將半導體條帶的第二部分重塑以形成重塑的半導體條帶的第二部分,重塑的半導體條帶的第一部分和重塑的半導體條帶的第二部分形成複數個鰭,且其中鰭延伸遠離隔離區的最頂表面。

Description

半導體裝置及其製造方法
本發明實施例係有關於半導體技術,且特別是有關於半導體裝置及其製造方法。
半導體裝置用於各種電子應用中,例如個人電腦、手機、數位相機和其他電子設備。半導體裝置的製造一般透過依序在半導體基底上方沉積絕緣層或介電層、導電層和半導體層的材料,並透過使用微影製程將各種材料層圖案化,以形成半導體基底上的電路組件和元件。
電晶體為常用於半導體裝置中的元件。舉例來說,在單一積體電路(integrated circuit,IC)上可具有大量的電晶體(例如數百個、數千個或數百萬個電晶體)。舉例來說,金屬氧化物半導體場效電晶體(metal oxide semiconductor field effect transistor,MOSFET)為用於半導體裝置製造的一種常用類型的電晶體。平面電晶體(例如平面金屬氧化物半導體場效電晶體)通常包含設置於基底中的通道區上方的閘極介電質以及形成於閘極介電質上方的閘極電極。電晶體的源極區和汲極區形成於通道區的任一側上。
多閘極場效電晶體(Multiple gate field-effect transistors,MuGFETs)為半導體技術的近期發展。多閘極場效電晶體的一種類型被稱為鰭式場效電晶體(fin field-effect transistor,FinFET),鰭式場效電晶體為包含鰭狀半導體材料的電晶體結構,鰭狀半導體材料垂直地從積體電路的半導體表面突出。
在一些實施例中,提供半導體裝置的製造方法,此方法包含在基底上方形成複數個半導體條帶;在基底上方以及相鄰的半導體條帶之間形成隔離區;對隔離區進行第一凹陷製程以暴露出半導體條帶的第一部分;將半導體條帶的第一部分重塑以形成重塑的半導體條帶的第一部分;對隔離區進行第二凹陷製程以暴露出在重塑的半導體條帶的第一部分下方之半導體條帶的第二部分;以及將半導體條帶的第二部分重塑以形成重塑的半導體條帶的第二部分,其中重塑的半導體條帶的第一部分和重塑的半導體條帶的第二部分形成複數個鰭,且其中鰭延伸遠離隔離區的最頂表面。
在一些其他實施例中,提供半導體裝置的製造方法,此方法包含在基底上方形成複數個半導體條帶;在相鄰的半導體條帶之間形成隔離區;對隔離區進行第一凹陷製程以暴露出半導體條帶的第一部分,其中進行第一凹陷製程的步驟更包含將半導體條帶的第一部分重塑以形成重塑的半導體條帶的第一部分;對隔離區進行第二凹陷製程以暴露出在重塑的半導體條帶的第一部分下方之半導體條帶的第二部分;以及將半導體條帶的第二部分重塑以形成重塑的半導體條帶的第二部分,其中重塑的半導體條帶的第一部分和重塑的半導體條帶的第二部分形成複數個鰭,且其中鰭的最頂表面在隔離區的最頂表面之上。
在另外一些實施例中,提供半導體裝置,半導體裝置包含基底;隔離區,位於基底上方;以及鰭,位於基底上方且與隔離區相鄰,鰭的最頂表面在隔離區的最頂表面之上,其中鰭包含第一部分,第一部分的第一側壁具有第一斜率;以及第二部分,位於第一部分與基底之間,第二部分的第二側壁具有第二斜率,第一側壁和第二側壁在鰭的同一側上,第一斜率不同於第二斜率。
要瞭解的是以下的揭露內容提供許多不同的實施例或範例,以實施提供之主體的不同部件。以下敘述各個構件及其排列方式的特定範例,以求簡化揭露內容的說明。當然,這些僅為範例並非用以限定本發明。例如,以下的揭露內容敘述了將一第一部件形成於一第二部件之上或上方,即表示其包含了所形成的上述第一部件與上述第二部件是直接接觸的實施例,亦包含了尚可將附加的部件形成於上述第一部件與上述第二部件之間,而使上述第一部件與上述第二部件可能未直接接觸的實施例。此外,揭露內容中不同範例可能使用重複的參考符號及/或用字。這些重複符號或用字係為了簡化與清晰的目的,並非用以限定各個實施例及/或所述外觀結構之間的關係。
再者,為了方便描述圖式中一元件或部件與另一(複數)元件或(複數)部件的關係,可使用空間相關用語,例如“在...之下”、“下方”、“下部”、“上方”、“上部”及類似的用語。除了圖式所繪示的方位之外,空間相關用語也涵蓋裝置在使用或操作中的不同方位。舉例來說,如果圖中的裝置被翻轉,被描述為在其他元件或部件“下方”或“之下”的元件將被定位在其他元件或部件“上方”。 因此,示例性術語“下方”可以涵蓋上方和下方的方位。所述裝置也可被另外定位(例如,旋轉90度或者位於其他方位),並對應地解讀所使用的空間相關用語的描述。
本發明實施例將描述有關於特定的內容,即鰭式場效電晶體(FinFET)裝置及其製造方法。本文呈現的各種實施例以使用閘極後製(gate-last)製程形成鰭式場效電晶體裝置來描述。在其他實施例中,可使用閘極先製(gate-first)製程。本文討論的各種實施例使得鰭式場效電晶體裝置在鰭底部能夠控制通道區中的應變,並減少或消除鰭擺動/彎曲效應(fin wiggle/bend effect),並使得鰭式場效電晶體裝置沿鰭高度具有一致的臨界電壓(threshold voltage,Vt)。本文討論的各種實施例更改善裝置效能,例如鰭式場效電晶體裝置的驅動電流。
第1圖顯示鰭式場效電晶體(FinFET)100的範例的三維視圖。鰭式場效電晶體100包括在基底101上的鰭105。基底101包含隔離區103,且鰭105從相鄰隔離區103之間突出至上方。閘極介電質107沿鰭105的側壁和頂表面延伸,且閘極電極109在閘極介電質107上方。源極/汲極區111和113設置於鰭105相對於閘極介電質107和閘極電極109的兩側。第1圖顯示的鰭式場效電晶體100僅提供作為顯示目的,但不用於限制本發明實施例的範圍。如此一來,可能有許多變化,例如磊晶源極/汲極區、多個鰭、多層鰭等。第1圖更顯示用於之後圖式的參考剖面。剖面A-A橫跨鰭式場效電晶體100的通道區、閘極介電質107和閘極電極109。剖面C-C在平行於剖面A-A的平面中並橫跨在通道區之外的鰭105。剖面B-B垂直於剖面A-A和剖面C-C,且剖面B-B沿鰭105的縱軸且在例如源極/汲極區111和113之間的電流的方向延伸。為了清楚起見,後續圖式參考這些參考剖面。
第2A-22A、24A-29A、17B-22B、24B-29B和18C-29C圖為依據一些實施例之鰭式場效電晶體裝置200在製造的中間階段的剖面示意圖。在第2A-22A、24A-29A、17B-22B、24B-29B和18C-29C圖中,以“A”標記結尾的圖式沿著第1圖中的參考剖面A-A顯示,但是這些圖式有多個鰭式場效電晶體以及多個鰭在每個鰭式場效電晶體。以“B”標記結尾的圖式沿著第1圖中的參考剖面B-B顯示,以“C”標記結尾的圖式沿著第1圖中的參考剖面C-C顯示。
請參照第2A圖,在一些實施例中,鰭式場效電晶體裝置200的製造過程開始於在基底201上形成遮罩203。基底201可為半導體基底,例如塊狀(bulk)半導體、絕緣層上覆半導體(semiconductor-on-insulator,SOI)基底或類似物,且基底201可為摻雜(例如摻雜p型或n型摻雜物)或未摻雜。基底201可為晶圓,例如矽晶圓。一般來說,絕緣層上覆半導體基底包括形成於絕緣層上的半導體材料層。絕緣層可為例如埋置氧化(buried oxide,BOX)層、氧化矽層或類似物。在基底上提供絕緣層,一般為矽基底或玻璃基底。也可使用其他基底,例如多層或梯度(gradient)基底。在一些實施例中,基底201的半導體材料可包含矽、鍺、化合物半導體(包含碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦)、合金半導體(包含SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP及/或GaInAsP)或前述之組合。
基底201可更包含積體電路元件(未顯示)。本領域技術人員可理解各種積體電路元件(例如電晶體、二極體、電容、電阻、類似物或前述之組合)可形成於基底201中及/或基底201上,以產生設計用於鰭式場效電晶體裝置200的結構和功能需求。積體電路元件可透過使用任何合適的方法形成。
在一些實施例中,基底201包括第一區205和第二區207。如以下更詳細描述,p型裝置形成於第一區205中,而n型裝置形成於第二區207中。n型裝置可為N型金屬氧化物半導體(n-type metal oxide semiconductor,NMOS)裝置,例如n型鰭式場效電晶體裝置。p型裝置可為P型金屬氧化物半導體(p-type metal oxide semiconductor,PMOS)裝置,例如p型鰭式場效電晶體裝置。因此,第一區205也可被稱為P型金屬氧化物半導體區,而第二區207也可被稱為N型金屬氧化物半導體區。
請參照第2A圖,遮罩203形成於基底201上方。在一些實施例中,遮罩203可用於後續的摻雜步驟(請參照第3A和4A圖)中,以在摻雜製程期間保護基底201。在一些實施例中,遮罩203可包含第一遮罩層203A以及在第一遮罩層203A上方的第二遮罩層203B。第一遮罩層203A可包括氧化物,例如氧化矽或類似物,且第一遮罩層203A可透過使用任何合適的製程形成,例如熱氧化、原子層沉積(atomic layer deposition,ALD)、化學氣相沉積(chemical vapor deposition,CVD)、前述之組合或類似方法。第二遮罩層203B可包括氮化物,例如氮化矽、氮氧化矽、前述之組合或類似物,且第二遮罩層203B可透過使用任何合適的製程形成,例如熱氧化、原子層沉積、化學氣相沉積、前述之組合或類似方法。
請參照第3A圖,遮罩301形成於遮罩203上方。將遮罩301圖案化以暴露出遮罩203設置於基底201的第一區205上方的部分。在一些實施例中,遮罩301包括光阻,且可透過使用旋塗技術形成。在一些實施例中,遮罩301可透過使用合適的光微影技術來圖案化。之後,在基底201的第一區205上進行摻雜製程303以形成井區305,而以遮罩301保護基底201的第二區207。在一些實施例中,摻雜製程303可包括離子佈植製程或類似方法。在一些實施例中,將n型雜質植入基底201以形成井區305,井區305為n型井。n型雜質可為磷、砷或類似物。在植入雜質之後,可在基底201上進行退火製程以活化植入的雜質。
請參照第4A圖,在進行摻雜製程303之後,移除遮罩301(請參照第3A圖)。在遮罩301包括光阻的一些實施例中,遮罩301可透過使用灰化製程及之後的濕式清潔製程或其他合適的光阻移除製程來移除。之後,遮罩401形成於遮罩203上方。將遮罩401圖案化以暴露出遮罩203設置於基底201的第二區207上方的部分。在一些實施例中,遮罩401包括光阻,且可透過使用旋塗技術形成。在一些實施例中,遮罩401可透過使用合適的光微影技術來圖案化。之後,在基底201的第二區207上進行摻雜製程403以形成井區405,而以遮罩401保護基底201的第一區205。在一些實施例中,摻雜製程403可包括離子佈植製程或類似方法。在一些實施例中,將p型雜質植入基底201以形成井區405,井區405為p型井。p型雜質可為硼、BF2 或類似物。在植入雜質之後,可在基底201上進行退火製程以活化植入的雜質。
請參照第5A圖,在進行摻雜製程403之後,移除遮罩401(請參照第3A圖)。在遮罩401包括光阻的一些實施例中,遮罩401可透過使用灰化製程及之後的濕式清潔製程或其他合適的光阻移除製程來移除。在移除遮罩401之後,移除遮罩203以暴露出基底201。在一些實施例中,遮罩203可透過使用化學機械研磨(chemical mechanical polishing,CMP)製程、蝕刻製程、研磨製程、前述之組合或類似方法來移除。在移除遮罩203之後,半導體層501形成於基底201上方。在一些實施例中,半導體層501可包括與上述參考第2A圖時所述的基底201的材料,故不贅述於此。在一實施例中,半導體層501為矽層。在一些實施例中,半導體層501可磊晶成長於基底201上方。因此,半導體層501也可被稱為磊晶半導體層。
請參照第6A圖,遮罩601形成於半導體層501上方,且將遮罩601圖案化以暴露出遮罩601設置於基底201的第一區205上方的部分。遮罩601可包括氧化物,例如氧化矽或類似物,且第一遮罩601可透過使用任何合適的製程形成,例如熱氧化、原子層沉積、化學氣相沉積、前述之組合或類似方法。在一些實施例中,遮罩601可透過使用合適的光微影和蝕刻方法來圖案化。
請參照第7A圖,將半導體層501的暴露部分凹陷而不完全移除在基底201的第一區205上方的半導體層501。在一些實施例中,在基底201的第一區205上方的半導體層501的剩下部分具有厚度T1 在約1nm與10nm之間。在一些實施例中,可使用遮罩601作為蝕刻遮罩,使用合適的蝕刻製程將半導體層501凹陷。
請參照第8A圖,半導體層801形成於基底201的第一區205上方。在一些實施例中,半導體層801包括SiGe,且磊晶成長於第一區205中的半導體層501的剩下部分上方。在一些實施例中,半導體層801包括SiGe,且可透過使用合適的Ge和Si前驅物以低壓化學氣相沉積(low-pressure CVD,LPCVD)形成。Ge前驅物可包括GeH4 、GeH3 CH3 、(GeH3 )2 CH2 、前述之組合或類似物。Si前驅物可包括SiH2 Cl2 、SiH4 、前述之組合或類似物。
請參照第9A圖,在形成半導體層801之後,移除遮罩601。在一些實施例中,遮罩601(請參照第8A圖)可透過使用化學機械研磨製程、蝕刻製程、研磨製程、前述之組合或類似方法移除。在遮罩601包括氧化矽的一些實施例中,遮罩601可透過使用稀釋HF的蝕刻製程移除。在一些實施例中,在移除遮罩601之後,在半導體層501和801上進行研磨製程。研磨製程可包括化學機械研磨製程、蝕刻製程、研磨製程、前述之組合或類似方法。在一些實施例中,在進行研磨製程之後,半導體層901形成於半導體層501和801上方。在一些實施例中,半導體層901具有厚度在約1nm與約10nm之間。在一些實施例中,半導體層901可透過使用與上述參考第5A圖時所述的半導體層501相似的材料和方法形成,故不贅述於此。在半導體層501和901為矽層而半導體層801為SiGe層,可能無法偵測半導體層501與半導體層901之間的界面(如第9A圖所顯示的虛線),而可檢測半導體層801與半導體層901之間的界面(如第9A圖所顯示的實線)。在一些實施例中,半導體層901可防止Ge在後續形成半導體條帶(strips)的圖案化製程(請參照第10A圖)期間從半導體層801向外擴散。因此,半導體層901也可被稱為緩衝層。
請參照第9A圖,在形成半導體層901之後,遮罩903形成於半導體層901上方。在一些實施例中,遮罩903包括第一遮罩層903A以及在第一遮罩層903A上方的第二遮罩層903B。在一些實施例中,第一遮罩層903A可透過使用與上述參考第2A圖時所述的第一遮罩層203A相似的材料和方法形成,故不贅述於此。在一些實施例中,第二遮罩層903B可透過使用與上述參考第2A圖時所述的第二遮罩層203B相似的材料和方法形成,故不贅述於此。
請參照第8A和9A圖,在一些實施例中,半導體層801包括具有整體均勻的Ge濃度的SiGe。在這些實施例中,均勻的Ge濃度在約15原子百分比與約40原子百分比之間。在其他實施例中,半導體層801包括具有不均勻的Ge濃度的SiGe,其中Ge濃度從在半導體層801的底表面801b的最低Ge濃度增加至在半導體層801的頂表面801t的最高Ge濃度。在一些實施例中,在半導體層801的底表面801b的Ge濃度在約10原子百分比與約20原子百分比之間。在一些實施例中,在半導體層801的頂表面801t的Ge濃度在約25原子百分比與約35原子百分比之間。在其他實施例中,半導體層801包括具有不均勻的Ge濃度的SiGe,其中半導體層801的下部8011 具有均勻的Ge濃度,且半導體層801的上部8012 具有不均勻的Ge濃度。在這些實施例中,Ge濃度從在半導體層801的下部8011 與上部8012 之間的界面801i的低Ge濃度增加為在半導體層801的頂表面801t的高Ge濃度。在一些實施例中,在半導體層801的下部8011 的均勻的Ge濃度在約15原子百分比與約40原子百分比之間。在一些實施例中,在界面801i的Ge濃度在約10原子百分比與約20原子百分比之間。在一些實施例中,在半導體層801的頂表面801t的Ge濃度在約25原子百分比與約35原子百分比之間。
第10A圖顯示在第一區205中形成半導體條帶1005及在第二區207中形成半導體條帶1007。首先,將第一遮罩層903A和第二遮罩層903B圖案化,其中第一遮罩層903A和第二遮罩層903B中的開口暴露出半導體層501、801和901的區域將分別形成溝槽1001和1003。接著,可進行圖案化製程,其中圖案化製程形成在第一區205中的溝槽1001及在第二區207中的溝槽1003,溝槽1001和1003通過遮罩903的開口。基底201及在圖案化遮罩903下方的半導體層501、801和901的剩下部分形成在第一區205中的半導體條帶1005。基底201及在圖案化遮罩903下方的半導體層501和901的剩下部分形成在第二區207中的半導體條帶1007。圖案化製程可為一個或多個合適的蝕刻製程,例如反應性離子蝕刻(reactive ion etch,RIE)、中子束蝕刻(neutral beam etch,NBE)、前述之組合或類似方法。在一些實施例中,圖案化製程包括在第一區205上進行的第一蝕刻製程,而以遮罩(未顯示)保護第二區207,以及接著在第二區207上進行的第二蝕刻製程,而以遮罩(未顯示)保護第一區205,第一蝕刻製程不同於第二蝕刻製程。在其他實施例中,第二蝕刻製程可在第一蝕刻製程之前進行。
請參照第11A圖,順應性的襯墊1101形成於溝槽1001和1003(請參照第10A圖)的側壁和底表面上,之後以絕緣材料1103填充溝槽1001和1003。在一些實施例中,襯墊1101包括半導體(例如矽)氮化物、半導體(例如矽)氧化物、熱半導體(例如矽)氧化物、半導體(例如矽)氮氧化物、聚合物、前述之組合或類似物。襯墊1101的形成可包含任何合適的方法,例如、原子層沉積、化學氣相沉積、高密度電漿化學氣相沉積(high density plasma CVD,HDP-CVD)、物理氣相沉積(physical vapor deposition,PVD)、前述之組合或類似方法。在一些實施例中,絕緣材料1103可為氧化物(例如氧化矽)、氮化物(例如氮化矽)、前述之組合或類似物,且絕緣材料1103可透過高密度電漿化學氣相沉積、可流動化學氣相沉積(flowable CVD,FCVD)(例如在遠端電漿系統中基於化學氣相沉積的材料沉積,並且於之後固化使其轉化成其他材料,例如氧化物)、前述之組合或類似方法形成。也可使用透過任何合適製程形成的其他絕緣材料。在一些實施例中,在沉積絕緣材料1103之後,可在絕緣材料1103上進行退火製程。在這些實施例中,襯墊1101可防止(或至少減少)半導體材料在退火製程期間從半導體條帶1005和1007(例如Si或Ge)擴散進入絕緣材料1103。
請參照第12A圖,進行第一平坦化製程(例如化學機械研磨製程)以移除多餘的絕緣材料1103,使得絕緣材料1103的剩下部分的頂表面與襯墊1101的頂表面共平面。
請參照第13A圖,進行第二平坦化製程(例如化學機械研磨製程)以移除多餘的絕緣材料1103,使得絕緣材料1103的剩下部分的頂表面與第一遮罩層903A的頂表面共平面。第二平坦化製程進一步移除第二遮罩層903B和襯墊1101的一部分。在一些實施例中,第二平坦化製程不同於第一平坦化製程。
第14A圖顯示絕緣材料1103和襯墊1101的凹陷。絕緣材料1103和襯墊1101的剩下部分形成淺溝槽隔離(shallow trench isolation,STI)區1401。將絕緣材料1103和襯墊1101凹陷,使得半導體條帶1005和1007的上部從相鄰的淺溝槽隔離區1401之間突出。再者,淺溝槽隔離區1401的頂表面可具有如圖所示的平坦表面、凸面、凹面(例如碟形表面)或前述之組合。淺溝槽隔離區1401的頂表面可透過合適的蝕刻形成平坦面、凸形及/或凹形。絕緣材料1103和襯墊1101可透過使用合適的蝕刻製程凹陷,例如對絕緣材料1103和襯墊1101的材料有選擇性的蝕刻製程。在一些實施例中,可使用CERTAS®蝕刻的氧化物蝕刻、Applied Materials SICONI工具或可稀釋氫氟酸(dilute hydrofluoric,dHF)。在一些實施例中,凹陷製程進一步移除半導體條帶1005和1007的圖案化半導體層901(請參照第13A圖)的一部分。
請參照第15A圖,對半導體條帶1005和1007(請參照第14A圖)的暴露部分進行一個或多個蝕刻製程以分別形成鰭1501和1503。在一些實施例中,對半導體條帶1005和1007的暴露部分進行相同的蝕刻製程。在這些實施例中,可在半導體條帶1007的暴露部分上方形成氧化物層(例如氧化矽層)(未顯示),以補償半導體條帶1005與半導體條帶1007之間的蝕刻速率差異。在其他實施例中,對半導體條帶1005和1007的暴露部分進行兩個不同的蝕刻製程。在一些實施例中,一個或多個蝕刻製程可包括非等向性蝕刻製程,例如反應性離子蝕刻(RIE)或類似方法。在一些實施例中,可調整反應性離子蝕刻製程的蝕刻化學物和其他參數,以調整反應性離子蝕刻的蝕刻速率。在一些實施例中,沿結晶方向>100>的蝕刻速率R100 大於沿結晶方向>110>的蝕刻速率R110 ,沿結晶方向>110>的蝕刻速率R110 大於沿結晶方向>111>的蝕刻速率R111 。在一些實施例中,蝕刻速率R100 : 蝕刻速率R110 : 蝕刻速率R111 等於600:400:1。在半導體層501和801的頂表面為結晶平面(100)的一些實施例中,反應性離子蝕刻製程改變半導體條帶1005和1007的暴露部分的側壁的斜率,使得鰭1501和1503的寬度隨著鰭1501和1503延伸遠離對應相鄰的淺溝槽隔離區1401而縮小。如第14A和15A圖所述將半導體條帶1005和1007分別重塑為鰭1501和1503,使得鰭式場效電晶體裝置200在鰭底部能夠控制通道區中的應變,並減少或消除鰭擺動/彎曲效應,並使得鰭式場效電晶體裝置200沿鰭高度具有一致的臨界電壓(Vt)。
第16A圖顯示第15A圖的鰭1501的放大圖。在一些實施例中,鰭1501具有高度H1 在約30nm與約60nm之間。在一些實施例中,鰭1501的寬度隨著鰭1501延伸遠離相鄰的淺溝槽隔離區1401而縮小。在一些實施例中,最遠離相鄰的淺溝槽隔離區1401的鰭1501的部分具有寬度W1 在約2nm與約10nm之間。在一些實施例中,最靠近相鄰的淺溝槽隔離區1401的鰭1501的部分具有寬度W2 在約4nm與約14nm之間。在一些實施例中,寬度W2 大於寬度W1 。在鰭1501包括SiGe的一些實施例中,鰭1501具有均勻的Ge濃度。在這些實施例中,均勻的Ge濃度在約15原子百分比與約40原子百分比之間。在鰭1501包括SiGe的其他實施例中,鰭1501具有不均勻的Ge濃度,其中Ge濃度隨著鰭1501延伸遠離相鄰的淺溝槽隔離區1401而增加。在一些實施例中,最靠近相鄰的淺溝槽隔離區1401的鰭1501的部分的Ge濃度在約10原子百分比與約20原子百分比之間。在一些實施例中,最遠離相鄰的淺溝槽隔離區1401的鰭1501的部分的Ge濃度在約25原子百分比與約35原子百分比之間。在一些實施例中,鰭1501的側壁與相鄰的淺溝槽隔離區1401的最頂表面形成角度θ1 。在一些實施例中,角度θ1 可在約80度與約90度之間。在一些實施例中,鰭1503(請參照第15A圖)可具有與鰭1501相似的形狀和尺寸,故不贅述於此。
請參照第17A和17B圖,介電層1701形成於鰭1501和1503的側壁和頂表面上。在一些實施例中,介電層也可形成於淺溝槽隔離區1401上方。在其他實施例中,淺溝槽隔離區1401的頂表面可不具有介電層1701。介電層1701可包括氧化物,例如氧化矽或類似物,且介電層1701可依據合適技術沉積(例如使用原子層沉積、化學氣相沉積、物理氣相沉積、前述之組合或類似方法)或熱成長(例如使用熱氧化或類似方法)。閘極電極層1703形成於介電層1701上方,且遮罩1705形成於閘極電極層1703上方。在一些實施例中,閘極電極層1703可沉積於介電層1701上方,且接著透過使用例如化學機械研磨製程來平坦化。之後,遮罩1705可沉積於閘極電極層1703上方。閘極電極層1703可例如由多晶矽製成,但是也可使用相對於淺溝槽隔離區1401具有高蝕刻選擇性的其他材料。遮罩1705可包含一層或多層的例如氧化矽、氮化矽、氮氧化矽、碳化矽、氮碳化矽、前述之組合或類似物,且遮罩1705可透過使用任何合適的製程形成,例如熱氧化、熱氮化、原子層沉積、物理氣相沉積、化學氣相沉積、前述之組合或類似方法。在所示的實施例中,形成單一介電層1701、單一閘極電極層1703和單一遮罩1705橫跨第一區205和第二區207。在其他實施例中,不同的介電層、不同的閘極電極層和不同的遮罩可個別形成於第一區205和第二區207中。
請參照第18A、18B和18C圖,遮罩1705(請參照第17A和17B圖)可透過使用合適的光微影和蝕刻技術來圖案化,以在第一區205中形成圖案化的遮罩1801,並在第二區207中形成圖案化的遮罩1803。接著,透過合適的蝕刻技術將遮罩1801和1803的圖案轉移至閘極電極層1703和介電層1701,以在第一區205中形成閘極1805,並在第二區207中形成閘極1807。閘極1805和1807的圖案分別覆蓋鰭1501和1503的通道區,而分別暴露出鰭1501和1503的源極/汲級區。閘極1805和1807也可具有長度方向大致與鰭1501和1503的長度方向垂直。閘極1805和1807的尺寸及閘極1805和1807之間的間距可取決於形成閘極1805和1807的晶粒的區域。在一些實施例中,相較於位於晶粒的邏輯區中(設置邏輯電路的位置),當閘極1805和1807位於晶粒的輸入/輸出區(設置輸入/輸出電路的位置)時,閘極1805和1807可具有較大尺寸和較大間距。如以下更詳細描述,在一些實施例中,閘極1805和1807為犧牲閘極,之後取代閘極取代犧牲閘極。在這些實施例中,閘極1805和1807也被稱為犧牲閘極。在其他實施例中,不取代閘極1805和1807,且閘極1805和1807存在於最終的鰭式場效電晶體裝置200中。
請參照第18A、18B和18C圖,輕摻雜源極/汲極(lightly doped source/drain,LDD)區1809形成於第一區205的鰭1501中,輕摻雜源極/汲極區1811形成於第二區207的鰭1503中。相似於上述參考第3A和4A圖時所述的佈植製程,遮罩(未顯示)(例如光阻)形成於第二區207上方,而暴露出第一區205,且將p型雜質植入暴露的鰭1501中,以在第一區205中形成輕摻雜源極/汲極區1809。p型雜質可為任何上述參考第4A圖時所述的p型雜質。在植入輕摻雜源極/汲極區1809的期間,閘極1805和圖案化的遮罩1801作為組合遮罩以防止(或至少降低)雜質植入暴露的鰭1501的通道區中。因此,輕摻雜源極/汲極區1809可大致形成於暴露的鰭1501的源極/汲極區中。接著,遮罩可使用合適的移除製程移除。在遮罩包括光阻的一些實施例中,遮罩可透過使用例如灰化製程及之後的濕式清潔製程來移除。在佈植製程之後,可進行退火製程以活化植入的雜質。之後,第二遮罩(未顯示)(例如光阻)形成於第一區207上方,而暴露出第二區207,且將n型雜質植入暴露的鰭1503中,以在第二區207中形成輕摻雜源極/汲極區1811。在植入輕摻雜源極/汲極區1811的期間,閘極1807和圖案化的遮罩1803作為組合遮罩以防止(或至少降低)雜質植入暴露的鰭1503的通道區中。因此,輕摻雜源極/汲極區1811可大致形成於暴露的鰭1503的源極/汲極區中。接著,第二遮罩可使用合適的移除製程移除。在第二遮罩包括光阻的一些實施例中,第二遮罩可透過使用例如灰化製程及之後的濕式清潔製程來移除。n型雜質可為任何上述參考第3A圖時所述的n型雜質。在佈植製程之後,可進行退火製程以活化植入的雜質。
第19A-19C圖和第20A-20C圖顯示依據一些實施例之間隙壁2001形成於閘極1805的側壁上。請參照第19A-19C圖,介電層1901毯覆式形成於閘極1805和1807、圖案化的遮罩1801和1803、鰭1501和1503以及淺溝槽隔離區1401的暴露表面上。在一些實施例中,介電層1901可包括氮化矽(SiN)、氮氧化矽(SiON)、碳氧化矽(SiOC)、氮碳化矽(SiCN)、氮氧碳化矽(SiOCN)、前述之組合或類似物,且介電層1901可透過使用化學氣相沉積、原子層沉積、前述之組合或類似方法形成。
請參照第20A-20C圖,進行圖案化製程以移除在第一區205中的介電層1901的暴露部分,以在閘極1805的側壁上形成間隙壁2001。在一些實施例中,遮罩2003形成於第二區207的介電層1901上方,而暴露出第一區205。在一些實施例中,遮罩2003可包括例如光阻或類似物,且遮罩2003可透過使用旋塗或類似方法形成。之後,使用遮罩2003作為蝕刻遮罩,對介電層1901進行蝕刻製程。此蝕刻製程為非等向性。蝕刻製程移除介電層1901的橫向部分,使得介電層1901的剩下垂直部分在閘極1805的側壁上形成間隙壁2001。在所示的實施例中,蝕刻製程完全地移除鰭1501的介電層1901。在其他實施例中,介電層1901的一部分保留在鰭1501的側壁上,並在鰭1501的側壁上形成間隙壁(未顯示)。
第21A-21C圖和第22A-22C圖顯示磊晶源極/汲極區2201形成於第一區205中。請參照第21A-21C圖,在形成間隙壁2001之後,對第一區205的鰭1501進行圖案化製程,以在鰭1501的源極/汲極區中形成凹口2101,而以遮罩2003保護第二區207。在一些實施例中,圖案化製程可包含合適的非等向性乾蝕刻製程,而使用圖案化的遮罩1801、閘極1805、間隙壁2001、遮罩2003及/或淺溝槽隔離區1401作為組合遮罩。合適的非等向性乾蝕刻製程可包含反應性離子蝕刻(RIE)、中子束蝕刻(NBE)、前述之組合或類似方法。
請參照第22A、22B和22C圖,磊晶源極/汲極區2201形成於凹口2101(請參照第21A、21B和21C圖)中。在一些實施例中,磊晶源極/汲極區2201透過使用金屬有機化學氣相沉積(metal-organic CVD,MOCVD)、分子束磊晶(molecular beam epitaxy,MBE)、液相磊晶(liquid phase epitaxy,LPE)、氣相磊晶(vapor phase epitaxy,VPE)、選擇性磊晶成長(selective epitaxial growth,SEG)、前述之組合或類似方法磊晶成長於凹口2101中。在鰭1501包括SiGe的一些實施例中,磊晶源極/汲極區2201可包含SiGe:B或類似物。磊晶源極/汲極區2201可具有從鰭1501的對應表面突出的表面,且可具有多面(facets)。在一些實施例中,磊晶源極/汲極區2201可延伸至鰭1501之下。在一些實施例中,磊晶源極/汲極區2201的材料可以合適的摻雜物佈植,例如p型摻雜物。在一些實施例中,佈植製程與上述參考第4A圖時所述之形成井區405的製程相似,故不贅述於此。在其他實施例中,磊晶源極/汲極區2201的材料可在成長期間原位(in situ)摻雜。在形成磊晶源極/汲極區2201之後,遮罩2003透過使用合適的遮罩移除製程移除。在遮罩2003包括光阻的一些實施例中,遮罩2003可透過使用灰化製程及之後的濕式清潔製程或其他合適的光阻移除製程來移除。
請參照第22A、22B和22C圖,在顯示的實施例中,每個磊晶源極/汲極區2201與其他的磊晶源極/汲極區2201物理地隔開。在其他實施例中,相鄰的磊晶源極/汲極區2201可合併。此實施例顯示於第23C圖中,其中相鄰的磊晶源極/汲極區2201合併以形成共用的磊晶源極/汲極區2201。
請參照第24A、24B和24C圖,在於第一區205中形成磊晶源極/汲極區2201之後,磊晶源極/汲極區2401形成於第二區207中。在一些實施例中,磊晶源極/汲極區2401透過使用與上述參考第21A、21B、21C、22A、22B和22C圖時所述之形成磊晶源極/汲極區2401的相似方法形成,故不贅述於此。在一些實施例中,在第二區207中形成磊晶源極/汲極區2401的期間,以遮罩(未顯示)保護第一區205。在一些實施例中,將第二區207中的介電層1901圖案化以形成沿閘極1807的側壁的間隙壁2403。第二區207中的介電層1901可透過使用與上述參考第20A、20B和20C圖時所述之形成第一區205中的介電層1901的相似方法形成,故不贅述於此。之後,蝕刻第二區207中的鰭1503的源極/汲極區以形成相似於凹口2101(請參照第21B和21C圖)的凹口(如第24B和24C圖填充磊晶源極/汲極區2401的位置所示)。鰭1503的源極/汲極區中的凹口可透過使用與上述參考第21A、21B和21C圖時所述之形成凹口2101的相似方法形成,故不贅述於此。
請參照第24A、24B和24C圖,磊晶源極/汲極區2401透過使用金屬有機化學氣相沉積、分子束磊晶、液相磊晶、氣相磊晶、選擇性磊晶成長、前述之組合或類似方法磊晶成長於第二區207的凹口中。在鰭1503由矽形成的一些實施例中,磊晶源極/汲極區2401可包含矽、SiC、SiC:P、Si:P、SiAs:P或類似物。在一些實施例中,磊晶源極/汲極區2401的材料可以合適的摻雜物佈植,例如n型摻雜物。在一些實施例中,佈植製程與上述參考第3A圖時所述之形成井區305的製程相似,故不贅述於此。在其他實施例中,磊晶源極/汲極區2401的材料可在成長期間原位摻雜。在形成磊晶源極/汲極區2401之後,保護第一區205的遮罩透過使用合適的遮罩移除製程移除。在此遮罩包括光阻的一些實施例中,遮罩可透過使用灰化製程及之後的濕式清潔製程或其他合適的光阻移除製程來移除。在一些實施例中,在形成第二區207中的磊晶源極/汲極區2401之前,形成第一區205中的磊晶源極/汲極區2201。在其他實施例中,在形成第一區205中的磊晶源極/汲極區2201之前,形成第二區207中的磊晶源極/汲極區2401。在顯示的實施例中,每個磊晶源極/汲極區2401與其他的磊晶源極/汲極區2401物理地隔開。在其他實施例中,相鄰的磊晶源極/汲極區2401可合併,相似於第23C圖所示之共用的磊晶源極/汲極區2201。
請參照第25A、25B和25C圖,蝕刻停止層(etch stop layer,ESL)2501和層間介電質(interlayer dielectric,ILD)2503沉積於閘極1805和1807上方以及磊晶源極/汲極區2201和2401上方。在一些實施例中,層間介電質2503為透過可流動化學氣相沉積形成的可流動膜。在一些實施例中,層間介電質2503由介電材料形成,例如氧化矽、SiOC、ZrO2 、HfO2 、磷矽酸鹽玻璃(Phospho-Silicate Glass,PSG)、硼矽酸鹽玻璃(Boro-Silicate Glass,BSG)、硼摻雜磷矽酸鹽玻璃(Boron-Doped Phospho-Silicate Glass,BPSG)、未摻雜矽酸鹽玻璃(undoped Silicate Glass,USG)、低介電常數介電材料、極低介電常數介電材料、高介電常數介電材料、前述之組合或類似物,且層間介電質2503可透過任何合適的方法沉積,例如化學氣相沉積、電漿輔助化學氣相沉積(plasma enchanced CVD,PECVD)、旋塗玻璃製程、前述之組合或類似方法。在一些實施例中,將層間介電質2503圖案化時,蝕刻停止層2501用作停止層,以形成用於後續形成接觸插塞的開口。因此,可選擇蝕刻停止層2501的材料使得蝕刻停止層2501具有比層間介電質2503更低的蝕刻速率。在一些實施例中,蝕刻停止層2501可包括氮化矽(SiN)、氮氧化矽(SiON)、碳氧化矽(SiOC)、氮碳化矽(SiCN)、氮氧碳化矽(SiOCN)、前述之組合或類似物,且蝕刻停止層2501可透過使用化學氣相沉積、原子層沉積、前述之組合或類似方法形成。在一些實施例中,可進行平坦化製程(例如化學機械研磨製程)使層間介電質2503的頂表面與圖案化的遮罩1801和1803的頂表面齊平。在其他實施例中,平坦化製程也可移除遮罩1801和1803、前述的一部分,以分別形成閘極1805和1807。
請參照第26A、26B和26C圖,在一些實施例中,圖案化的遮罩1801和1803以及閘極1805和1807的閘極電極層1703透過使用一個或多個合適的蝕刻製程以在第一區205形成凹口2601及在第二區207形成凹口2603。每個凹口2601暴露出第一區205中的對應鰭1501,每個凹口2603暴露出第二區207中的對應鰭1503。在顯示的實施例中,介電層1701保留在鰭1501和1503的通道區上方。在其他實施例中,也可在形成凹口2601和2603的期間移除介電層1701。
請參照第27A、27B和27C圖,閘極介電層2701、功函數層2703和閘極電極層2705形成於第一區205中的凹口2601(請參照第26B圖)中,而以遮罩(未顯示)保護第二區207。在一些實施例中,閘極介電層2701順應性沉積於凹口2601中。在一些實施例中,閘極介電層2701包括氧化矽、氮化矽或前述之多層。在其他實施例中,閘極介電層2701包含高介電常數介電材料,且在這些實施例中,閘極介電層2701可具有介電常數值大於約7.0,且可包含金屬氧化物或Hf、Al、Zr、La、Mg、Ba、Ti、Pb的矽酸鹽和前述之組合。閘極介電層2701的形成方法可包含分子束沉積(Molecular-Beam Deposition,MBD)、原子層沉積、電漿輔助化學氣相沉積、前述之組合或類似方法。
在形成凹口2601時不移除在鰭1501的通道區上方的介電層1701的一些實施例中,介電層1701可作為閘極介電層2701與鰭1501的通道區之間的界面層。在形成凹口2601時移除在鰭1501的通道區上方的介電層1701的一些實施例中,一個或多個界面層可在形成閘極介電層2701之前形成於鰭1501的通道區上方,且閘極介電層2701形成於一個或多個界面層上方。界面層有助於下方的半導體材料緩衝後續形成的高介電常數介電層。在一些實施例中,界面層包括化學氧化物,化學氧化物可由化學反應形成。舉例來說,化學氧化物可透過使用去離子水+臭氧(O3 )、NH4 OH+H2 O2 +H2 O(APM)或其他方法形成。其他實施例使用不同的材料或製程(例如熱氧化或沉積製程)形成界面層。
在形成閘極介電層2701之後,功函數層2703形成於閘極介電層2701上方。在一些實施例中,功函數層2703包括TiN、WN、TaN、Ru、Co、前述之組合或類似物,且可透過使用原子層沉積、化學氣相沉積、物理氣相沉積、前述之組合或類似方法形成。在形成功函數層2703之後,凹口2601(請參照第26B圖)的剩下部分填充閘極電極層2705。在一些實施例中,閘極電極層2705包括Co、Ru、Al、Ag、Au、W、Ni、Ti、Cu、Mn、Pd、Re、Ir、Pt、Zr、前述之合金、前述之組合或類似物,且可透過使用原子層沉積、化學氣相沉積、物理氣相沉積、電鍍、前述之組合或類似方法形成。在以閘極電極層2705填充凹口2601之後,可進行平坦化製程(例如化學機械研磨製程)以移除閘極介電層2701、功函數層2703和閘極電極層2705的多餘部分,這些多餘部分在層間介電層2503的頂表面上方。保留在凹口2601中的閘極介電層2701、功函數層2703和閘極電極層2705的部分以及對應的介電層1701共同形成第一區205中的閘極2707。閘極2707也可被稱為取代閘極。
請參照第27A、27B和27C圖,閘極介電層2709、功函數層2711和閘極電極層2713形成於第二區207中的凹口2603(請參照第26B圖)中,而以遮罩(未顯示)保護第一區205。在一些實施例中,閘極介電層2709順應性沉積於凹口2603中。在一些實施例中,閘極介電層2709可透過使用與閘極介電層2701相似的材料和方法形成,故不贅述於此。在形成凹口2603時不移除在鰭1503的通道區上方的介電層1701的一些實施例中,介電層1701可作為閘極介電層2709與鰭1503的通道區之間的界面層。在形成凹口2603時移除在鰭1503的通道區上方的介電層1701的一些實施例中,一個或多個界面層可在形成閘極介電層2709之前形成於鰭1503的通道區上方,且閘極介電層2709形成於一個或多個界面層上方。
在形成閘極介電層2709之後,功函數層2711形成於閘極介電層2709上方。在一些實施例中,功函數層2711包括Ti、Ag、Al、TiAl、TiAlN、TiAlC、TaC、TaCN、TaSiN、TaAlC、Mn、Zr、前述之組合或類似物,且可透過使用原子層沉積、化學氣相沉積、物理氣相沉積、前述之組合或類似方法形成。在形成功函數層2711之後,凹口2603(請參照第26B圖)的剩下部分填充閘極電極層2713。在一些實施例中,閘極電極層2713可透過使用與閘極電極層2705相似的材料和方法形成,故不贅述於此。在以閘極電極層2713填充凹口2603之後,可進行平坦化製程(例如化學機械研磨製程)以移除閘極介電層2709、功函數層2711和閘極電極層2713的多餘部分,這些多餘部分在層間介電層2503的頂表面上方。保留在凹口2603中的閘極介電層2709、功函數層2711和閘極電極層2713的部分以及對應的介電層1701共同形成第二區207中的閘極2715。閘極2715也可被稱為取代閘極。
請參照第27A、27B和27C圖,在顯示的實施例中,在第二區207中形成閘極2715之前,在第一區205中形成閘極2707。在其他實施例中,在第一區205中形成閘極2707之前,在第二區207中形成閘極2715。
請參照第28A、28B和28C圖,層間介電質2801沉積於層間介電質2503上方。在一些實施例中,層間介電質2801可透過使用與上述參考第25A、25B和25C圖時所述之層間介電質2503相似的材料和方法形成,故不贅述於此。在一些實施例中,層間介電質2801和層間介電質2503由相同材料形成。在其他實施例中,層間介電質2801和層間介電質2503由不同材料形成。將蝕刻停止層2501及層間介電質2503和2801圖案化以在第一區205中形成開口2803和2805以及在第二區207中形成開口2807和2809。在一些實施例中,蝕刻停止層2501及層間介電質2503和2801可透過合適的光微影和蝕刻技術圖案化。開口2803暴露出第一區205中的對應閘極2707。開口2805暴露出第一區205中的對應磊晶源極/汲極區2201。開口2807暴露出第二區207中的對應閘極2715。開口2809暴露出第二區207中的對應磊晶源極/汲極區2401。如以下更詳述描述,以一種或多種導電材料填充開口2803、2805、2807和2809以形成接觸插塞,接觸插塞提供電性連接至磊晶源極/汲極區2201和2401以及閘極2707和2715。
請參照第28A、28B和28C圖,自對準層2811和2813分別透過開口2805和2809形成。在一些實施例中,金屬材料沉積於開口2805和2809中。金屬材料可包括Ti、Co、Ni、NiCo、Pt、NiPt、Ir、PtIr、Er、Yb、Pd、Rh、Nb、前述之組合或類似物,且可透過使用物理氣相沉積、濺鍍或類似方法形成。之後,進行退火製程以形成自對準層2811和2813。在一些實施例中,退火製程導致金屬材料與磊晶源極/汲極區2201和2401的半導體材料反應以分別形成自對準層2811和2813。
請參照第29A、29B和29C圖,以一種或多種導電材料填充開口2803、2805、2807和2809(請參照第28A、28B和28C圖)以分別形成接觸插塞2901、2903、2905和2907。在一些實施例中,襯墊(未顯示)(例如擴散阻障層)、黏著層、類似物和導電材料形成於開口2803、2805、2807和2809中。襯墊可包含鈦、氮化鈦、鉭、氮化鉭、前述之組合或類似物,且可透過使用化學氣相沉積、物理氣相沉積、原子層沉積、前述之組合或類似方法形成。導電材料可為銅、銅合金、銀、金、鎢、鋁、鎳、前述之組合或類似物,且可透過使用化學氣相沉積、物理氣相沉積、原子層沉積、電化學電鍍製程、吳電電鍍製程、前述之組合或類似方法形成。可進行平坦化製程(例如化學機械研磨製程)以移除層間介電質2801的頂表面上多餘的襯墊和導電材料的部分。襯墊和導電材料的剩下部分在開口2803、2805、2807和2809中分別形成接觸插塞2901、2903、2905和2907。接觸插塞2901和2905分別物理及電性耦接閘極2707和2715。接觸插塞2903和2907分別電性耦接自對準層2811和2813。接觸插塞2903和2907透過自對準層2811和2813分別電性耦接至磊晶源極/汲極區2201和2401。
第30A、31A、31B和31C圖為依據一些實施例之鰭式場效電晶體裝置3000在製造的中間階段的剖面示意圖。在第30A、31A、31B和31C圖中,以“A”標記結尾的圖式沿著第1圖中的參考剖面A-A顯示,但是這些圖式有多個鰭式場效電晶體以及多個鰭在每個鰭式場效電晶體。以“B”標記結尾的圖式沿著第1圖中的參考剖面B-B顯示,以“C”標記結尾的圖式沿著第1圖中的參考剖面C-C顯示。在一些實施例中,形成鰭式場效電晶體裝置3000的製程步驟可與上述參考第2A-22A圖、第24A-29A圖、第17B-22B圖、第24B-29B圖和第18C-29C圖時所述之形成鰭式場效電晶體裝置200的製程步驟相似,且以相似的參考符號標註相似的部件,故不贅述於此。
請參照第30A圖,形成鰭式場效電晶體裝置3000的製程開始於第14A圖顯示的結構。在一些實施例中,對第一區205中的半導體條帶1005(請參照第14A圖)的暴露部分進行上述參考第15A圖所述的一個或多個蝕刻製程以形成鰭1501,而以遮罩(未顯示)保護第二區207中的半導體條帶1007(請參照第14A圖)的暴露部分。在這些實施例中,半導體條帶1007的暴露部分在第二區207中形成鰭3001。請參照第31A、31B和31C圖,對第30A圖的結構進行上述參考第17A-22A圖、第24A-29A圖、第17B-22B圖、第24B-29B圖和第18C-29C圖所述的製程步驟以形成鰭式場效電晶體裝置3000,故不贅述於此。
第32A-35A、35B、35C圖為依據一些實施例之鰭式場效電晶體裝置3200在製造的中間階段的剖面示意圖。在第32A-35A、35B、35C圖中,以“A”標記結尾的圖式沿著第1圖中的參考剖面A-A顯示,但是這些圖式有多個鰭式場效電晶體以及多個鰭在每個鰭式場效電晶體。以“B”標記結尾的圖式沿著第1圖中的參考剖面B-B顯示,以“C”標記結尾的圖式沿著第1圖中的參考剖面C-C顯示。在一些實施例中,形成鰭式場效電晶體裝置3200的製程步驟可與上述參考第2A-22A圖、第24A-29A圖、第17B-22B圖、第24B-29B圖和第18C-29C圖時所述之形成鰭式場效電晶體裝置200的製程步驟相似,且以相似的參考符號標註相似的部件,故不贅述於此。
在一些實施例中,形成鰭式場效電晶體裝置3200的製程開始於第13A圖顯示的結構。請參照第32A和32B圖,在形成第13圖的結構之後,將絕緣材料1103和襯墊1101凹陷以暴露出第一區205中的半導體條帶1005的上部和第二區207中的半導體條帶1007的上部,以分別在第一區205中形成鰭3201以及在第二區207中形成鰭3203。絕緣材料1103和襯墊1101的剩下部分形成淺溝槽隔離區1401。
請參照第32A圖,凹陷製程包括第一凹陷製程暴露出鰭3201的上部3201a和鰭3203的上部3203a。在一些實施例中,第一凹陷製程可包括蝕刻製程,例如CERTAS®蝕刻、Applied Materials SICONI蝕刻、稀釋氫氟酸(dHF)酸蝕刻或類似方法。在一些實施例中,選擇第一凹陷製程的蝕刻製程使得蝕刻製程也蝕刻半導體條帶1005和1007(請參照第13A圖),並將半導體條帶1005和1007的上部的寬度變窄。在這些實施例中,鰭3201的上部3201a的寬度小於半導體條帶1005的上部的寬度,且鰭3203的上部3203a的寬度小於半導體條帶1007的上部的寬度。在一些實施例中,鰭3203的上部3203a具有一致的寬度。
請參照第33A圖,凹陷製程更包括第二凹陷製程暴露出鰭3201的下部3201b和鰭3203的下部3203b。在一些實施例中,第二凹陷製程可包括蝕刻製程,例如CERTAS®蝕刻、Applied Materials SICONI蝕刻、稀釋氫氟酸(dHF)酸蝕刻或類似方法。在一些實施例中,第二凹陷製程不同於第一凹陷製程。在一些實施例中,第二凹陷製程的蝕刻製程不同於第一凹陷製程的蝕刻製程。在一些實施例中,選擇第二凹陷製程的蝕刻製程使得蝕刻製程大致不蝕刻半導體條帶1005和1007。在這些實施例中,鰭3201的下部3201b的寬度大致等於半導體條帶1005的寬度,且鰭3203的下部3203b的寬度大致等於半導體條帶1007的寬度。在一些實施例中,鰭3203的下部3203b具有一致的寬度。如上述參考第32A和33A圖時所述,將半導體條帶1005和1007分別重塑為鰭3201和3203,使得鰭式場效電晶體裝置3200在鰭底部能夠控制通道區中的應變,並減少或消除鰭擺動/彎曲效應,並使得鰭式場效電晶體裝置3200沿鰭高度具有一致的臨界電壓(Vt)。
第34A圖顯示第33A圖的鰭3201的放大圖。在一些實施例中,鰭3201的下部3201b的寬度W3 大於鰭3201的上部3201a的寬度W4 。在一些實施例中,寬度W3 在約4nm與約15nm之間。在一些實施例中,寬度W4 在約2nm與約10nm之間。在一些實施例中,鰭3201的下部3201b具有高度H2 在約20nm與約40nm之間。在一些實施例中,鰭3201的上部3201a具有高度H3 在約20nm與約40nm之間。在鰭3201包括SiGe的一些實施例中,鰭3201具有均勻的Ge濃度。在這些實施例中,高度H2 大於高度H3 。在一些實施例中,在鰭3201中均勻的Ge濃度在約15原子百分比與約40原子百分比之間。在鰭3201包括SiGe的其他實施例中,鰭3201具有不均勻的Ge濃度。在這些實施例中,高度H2 小於高度H3 。在鰭3201具有不均勻的Ge濃度的一些實施例中,Ge濃度隨著鰭3201延伸遠離相鄰的淺溝槽隔離區1401而增加。在一些實施例中,最靠近相鄰的淺溝槽隔離區1401的鰭3201的部分的Ge濃度在約10原子百分比與約20原子百分比之間。在一些實施例中,最遠離相鄰的淺溝槽隔離區1401的鰭3201的部分的Ge濃度在約25原子百分比與約35原子百分比之間。在鰭3201具有不均勻的Ge濃度的其他實施例中,鰭3201的下部3201b具有均勻的Ge濃度,且在鰭3201的上部3201a具有不均勻的Ge濃度。在一些實施例中,鰭3201的下部3201b具有均勻的Ge濃度在約15原子百分比與約40原子百分比之間。在一些實施例中,Ge濃度隨著鰭3201的上部3201a延伸遠離鰭3201的下部3201b而增加。在一些實施例中,鰭3201的上部3201a最靠近鰭3201的下部3201b的部分的Ge濃度在約10原子百分比與約20原子百分比之間。在一些實施例中,鰭3201的上部3201a最遠離鰭3201的下部3201b的部分的Ge濃度在約25原子百分比與約35原子百分比之間。在一些實施例中,鰭3203(請參照第33A圖)可具有與鰭3201相似的形狀或尺寸,故不贅述於此。
請參照第35A、35B和35C圖,對第33A圖的結構進行上述參考第17A-22A圖、第24A-29A圖、第17B-22B圖、第24B-29B圖和第18C-29C圖所述的製程步驟以形成鰭式場效電晶體裝置3200,故不贅述於此。
第36A、37A、37B、37C圖為依據一些實施例之鰭式場效電晶體裝置3600在製造的中間階段的剖面示意圖。在第36A、37A、37B、37C圖中,以“A”標記結尾的圖式沿著第1圖中的參考剖面A-A顯示,但是這些圖式有多個鰭式場效電晶體以及多個鰭在每個鰭式場效電晶體。以“B”標記結尾的圖式沿著第1圖中的參考剖面B-B顯示,以“C”標記結尾的圖式沿著第1圖中的參考剖面C-C顯示。在一些實施例中,形成鰭式場效電晶體裝置3600的製程步驟可與上述參考第32A-25A圖、第35B圖、第35C圖時所述之形成鰭式場效電晶體裝置3200的製程步驟相似,且以相似的參考符號標註相似的部件,故不贅述於此。
在一些實施例中,形成鰭式場效電晶體裝置3600的製程開始於第13A圖顯示的結構。請參照第36A圖,對第13A圖的結構的第一區205進行上述參考第32A和33A圖所述的凹陷步驟以形成鰭3201,而以遮罩(未顯示)保護第13A圖的結構的第二區207。再者,對第13A圖的結構的第二區207進行上述參考第14A圖所述的凹陷步驟以暴露出第二區207中的半導體條帶1007的一部分,而以遮罩(未顯示)保護第13A圖的結構的第一區205。半導體條帶1007的暴露部分在第二區207中形成鰭3601。請參照第37A圖、第37B圖和第37C圖,對第36A圖的結構進行上述參考第17A-22A圖、第24A-29A圖、第17B-22B圖、第24B-29B圖和第18C-29C圖所述的製程步驟以形成鰭式場效電晶體裝置3600,故不贅述於此。
第38A-43A、43B和43C圖為依據一些實施例之鰭式場效電晶體裝置3800在製造的中間階段的剖面示意圖。在第38A-43A、43B和43C圖中,以“A”標記結尾的圖式沿著第1圖中的參考剖面A-A顯示,但是這些圖式有多個鰭式場效電晶體以及多個鰭在每個鰭式場效電晶體。以“B”標記結尾的圖式沿著第1圖中的參考剖面B-B顯示,以“C”標記結尾的圖式沿著第1圖中的參考剖面C-C顯示。在一些實施例中,形成鰭式場效電晶體裝置3800的製程步驟可與上述參考第2A-22A圖、第24A-29A圖、第17B-22B圖、第24B-29B圖和第18C-29C圖時所述之形成鰭式場效電晶體裝置200的製程步驟相似,且以相似的參考符號標註相似的部件,故不贅述於此。
在一些實施例中,形成鰭式場效電晶體裝置3800的製程開始於第13A圖顯示的結構。請參照第38A-41A圖,在形成第13A圖的結構之後,對第13A圖的結構進行各種製程步驟以在第一區205中形成鰭3901以及在第二區207中形成鰭3903。請參照第38A圖,對絕緣材料1103和襯墊1101進行第一凹陷製程以暴露出第一區205中的半導體條帶1005的第一部分3801a和第二區207中的半導體條帶1007的第一部分3803a。在一些實施例中,第一凹陷製程可包括第一蝕刻製程,例如CERTAS®蝕刻、Applied Materials SICONI蝕刻、稀釋氫氟酸(dHF)酸蝕刻或類似方法。在一些實施例中,選擇第一凹陷製程的第一蝕刻製程使得第一蝕刻製程大致不蝕刻半導體條帶1005和1007。在這些實施例中,半導體條帶1005的第一部分3801a的寬度大致等於半導體條帶1005的寬度,半導體條帶1007的第一部分3803a的寬度大致等於半導體條帶1007的寬度。
請參照第39A圖,將半導體條帶1005的第一部分3801a重塑以在第一區205中形成鰭3901的上部3901a,並將半導體條帶1007的第一部分3803a重塑以在第二區207中形成鰭3903的上部3903a。在一些實施例中,半導體條帶1005的第一部分3801a和半導體條帶1007的第一部分3803a透過使用一個或多個蝕刻製程重塑。在一些實施例中,對半導體條帶1005的第一部分3801a和半導體條帶1007的第一部分3803a進行相同的蝕刻製程。在這些實施例中,氧化層(例如氧化矽層)(未顯示)可形成於半導體條帶1007的第一部分3803a上方以補償半導體條帶1005與半導體條帶1007之間的蝕刻速率差異。在其他實施例中,對半導體條帶1005的第一部分3801a和半導體條帶1007的第一部分3803a進行兩個不同的蝕刻製程。在一些實施例中,一個或多個蝕刻製程可包括非等向性蝕刻製程,例如反應性離子蝕刻(RIE)或類似方法。在一些實施例中,可調整反應性離子蝕刻製程的蝕刻化學物和其他參數,以調整反應性離子蝕刻的蝕刻速率。在一些實施例中,沿結晶方向>100>的蝕刻速率R100 大於沿結晶方向>110>的蝕刻速率R110 ,沿結晶方向>110>的蝕刻速率R110 大於沿結晶方向>111>的蝕刻速率R111 。在一些實施例中,蝕刻速率R100 : 蝕刻速率R110 : 蝕刻速率R111 等於600:400:1。在半導體層501和801的頂表面為結晶平面(100)的一些實施例中,反應性離子蝕刻製程改變導體條帶1005的第一部分3801a的側壁的斜率和半導體條帶1007的第一部分3803a的側壁的斜率,使得鰭3901的上部3901a的寬度隨著鰭3901的上部3901a延伸遠離絕緣材料1103的頂表面而縮小,且鰭3903的上部3903a的寬度隨著鰭3903的上部3903a延伸遠離絕緣材料1103的頂表面而縮小。
請參照第40A圖,在形成第一區205中的鰭3901的上部3901a和第二區207中的鰭3903的上部3903a之後,對絕緣材料1103和襯墊1101進行第二凹陷製程以暴露出第一區205中的半導體條帶1005的第二部分3801b和第二區207中的半導體條帶1007的第二部分3803b。在一些實施例中,第二凹陷製程相似於上述參考第38A圖時所述的第一凹陷製程,故不贅述於此。在一些實施例中,選擇第二凹陷製程的蝕刻製程使得蝕刻製程大致不蝕刻半導體條帶1005和1007。在這些實施例中,半導體條帶1005的第二部分3801b的寬度大致等於半導體條帶1005的寬度,半導體條帶1007的第二部分3803b的寬度大致等於半導體條帶1007的寬度。在一些實施例中,第二凹陷製程的蝕刻製程相同於第一凹陷製程的蝕刻製程。在進行第一凹陷製程和第二凹陷製程之後,絕緣材料1103和襯墊1101剩下的部分形成淺溝槽隔離區1401。
請參照第41A圖,在進行第二凹陷製程之後,將半導體條帶1005的第二部分3801b重塑以在第一區205中形成鰭3901的下部3901b,並將半導體條帶1007的第二部分3803b重塑以在第二區207中形成鰭3903的下部3903b。在一些實施例中,半導體條帶1005的第二部分3801b和半導體條帶1007的第二部分3803b透過使用一個或多個蝕刻製程重塑。在一些實施例中,用於形成第一區205中的鰭3901的下部3901b以及第二區207中的鰭3903的下部3903b的重塑製程可相似於上述參考第39A圖時所述之用於形成第一區205中的鰭3901的上部3901a以及第二區207中的鰭3903的上部3903a的重塑製程,故不贅述於此。在一些實施例中,用於形成第一區205中的鰭3901的下部3901b以及第二區207中的鰭3903的下部3903b的重塑製程的一個或多個蝕刻製程不同於用於形成第一區205中的鰭3901的上部3901a以及第二區207中的鰭3903的上部3903a的重塑製程的一個或多個蝕刻製程。在一些實施例中,鰭3901的上部3901a的側壁和鰭3901的下部3901b的側壁具有不同斜率,且鰭3903的上部3903a的側壁和鰭3903的下部3903b的側壁具有不同斜率。在進行重塑製程之後,鰭3901的下部3901b的寬度隨著鰭3901的下部3901b伸遠離相鄰淺溝槽隔離區1401的頂表面而縮小,且鰭3903的下部3903b的寬度隨著鰭3903的下部3903b伸遠離相鄰淺溝槽隔離區1401的頂表面而縮小。透過將半導體條帶1005和1007分別重塑為鰭3901和3903,如上述參考第38A-41A圖時所述,使得鰭式場效電晶體裝置3800在鰭底部能夠控制通道區中的應變,並減少或消除鰭擺動/彎曲效應,並使得鰭式場效電晶體裝置3800沿鰭高度具有一致的臨界電壓(Vt)。
第42A圖顯示第41A圖的鰭3901的放大圖。在一些實施例中,鰭3901的下部3901b具有高度H4 在約20nm與約50nm之間。在一些實施例中,鰭3901的上部3901a具有高度H5 在約5nm與約30nm之間。鰭3901的下部3901b最靠近相鄰淺溝槽隔離區1401的部分具有寬度W5 ,且鰭3901的下部3901b最遠離相鄰淺溝槽隔離區1401的部分具有寬度W6 。在一些實施例中,寬度W5 大於寬度W6 。在一些實施例中,寬度W5 在約4nm與約15nm之間。在一些實施例中,寬度W6 在約3nm與約12nm之間。鰭3901的下部3901b的側壁與相鄰淺溝槽隔離區1401的最頂表面形成角度θ2 。在一些實施例中,角度θ2 在約85度與約90度之間。鰭3901的上部3901a最靠近相鄰淺溝槽隔離區1401的部分具有寬度W6 ,且鰭3901的上部3901a最遠離相鄰淺溝槽隔離區1401的部分具有寬度W7 。在一些實施例中,寬度W6 大於寬度W7 。在一些實施例中,寬度W7 在約2nm與約10nm之間。鰭3901的上部3901a的側壁與平行於相鄰淺溝槽隔離區1401的最頂表面的平面形成角度θ3 。在一些實施例中,角度θ3 在約70度與約85度之間。在一些實施例中,角度θ2 不同於角度θ3
請參照第42A圖,在鰭3901包括SiGe的一些實施例中,鰭3901具有均勻的Ge濃度。在這些實施例中,高度H4 大於高度H5 。在一些實施例中,在鰭3901中均勻的Ge濃度在約15原子百分比與約40原子百分比之間。在鰭3901包括SiGe的其他實施例中,鰭3901具有不均勻的Ge濃度。在這些實施例中,高度H4 小於高度H5 。在鰭3901具有不均勻的Ge濃度的一些實施例中,Ge濃度隨著鰭3901延伸遠離相鄰的淺溝槽隔離區1401而增加。在一些實施例中,最靠近相鄰的淺溝槽隔離區1401的鰭3901的部分的Ge濃度在約10原子百分比與約20原子百分比之間。在一些實施例中,最遠離相鄰的淺溝槽隔離區1401的鰭3901的部分的Ge濃度在約25原子百分比與約35原子百分比之間。在鰭3901具有不均勻的Ge濃度的其他實施例中,鰭3901的下部3901b具有均勻的Ge濃度,且在鰭3901的上部3901a具有不均勻的Ge濃度。在一些實施例中,鰭3901的下部3901b具有均勻的Ge濃度在約15原子百分比與約40原子百分比之間。在一些實施例中,Ge濃度隨著鰭3901的上部3901a延伸遠離鰭3901的下部3901b而增加。在一些實施例中,鰭3901的上部3901a最靠近鰭3901的下部3901b的部分的Ge濃度在約10原子百分比與約20原子百分比之間。在一些實施例中,鰭3901的上部3901a最遠離鰭3901的下部3901b的部分的Ge濃度在約25原子百分比與約35原子百分比之間。在一些實施例中,鰭3903(請參照第41A圖)可具有與鰭3901相似的形狀或尺寸,故不贅述於此。
請參照第43A、43B和43C圖,對第41A圖的結構進行上述參考第17A-22A圖、第24A-29A圖、第17B-22B圖、第24B-29B圖和第18C-29C圖所述的製程步驟以形成鰭式場效電晶體裝置3800,故不贅述於此。
第44A、45A、45B和45C圖為依據一些實施例之鰭式場效電晶體裝置4400在製造的中間階段的剖面示意圖。在第44A、45A、45B和45C圖中,以“A”標記結尾的圖式沿著第1圖中的參考剖面A-A顯示,但是這些圖式有多個鰭式場效電晶體以及多個鰭在每個鰭式場效電晶體。以“B”標記結尾的圖式沿著第1圖中的參考剖面B-B顯示,以“C”標記結尾的圖式沿著第1圖中的參考剖面C-C顯示。在一些實施例中,形成鰭式場效電晶體裝置4400的製程步驟可與上述參考第38A-43A圖、第43B圖和43C圖時所述之形成鰭式場效電晶體裝置3800的製程步驟相似,且以相似的參考符號標註相似的部件,故不贅述於此。
在一些實施例中,形成鰭式場效電晶體裝置4400的製程開始於第13A圖顯示的結構。請參照第44A圖,對第13A圖的結構的第一區205進行上述參考第38A-41A圖時所述的製程步驟以形成鰭3901,而以遮罩(未顯示)保護第13A圖的結構的第二區207。再者,對第13A圖的結構的第二區207進行上述參考第14A圖時所述的凹陷步驟以暴露出第二區207中的半導體條帶1007的一部分,而以遮罩(未顯示)保護第13A圖的結構的第一區205。半導體條帶1007的暴露部分在第二區207中形成鰭4401。請參照第45A、45B和45C圖,對第44A圖的結構進行上述參考第17A-22A圖、第24A-29A圖、第17B-22B圖、第24B-29B圖和第18C-29C圖所述的製程步驟以形成鰭式場效電晶體裝置4400,故不贅述於此。
第46A-48A、48A和48C圖為依據一些實施例之鰭式場效電晶體裝置4600在製造的中間階段的剖面示意圖。在第46A-48A、48A和48C圖中,以“A”標記結尾的圖式沿著第1圖中的參考剖面A-A顯示,但是這些圖式有多個鰭式場效電晶體以及多個鰭在每個鰭式場效電晶體。以“B”標記結尾的圖式沿著第1圖中的參考剖面B-B顯示,以“C”標記結尾的圖式沿著第1圖中的參考剖面C-C顯示。
在一些實施例中,形成鰭式場效電晶體裝置4400的製程開始於第33A圖顯示的結構。請參照第46A圖,對第33A圖的結構進行各種製程步驟以在第一區205中形成鰭4601以及在第二區207中形成鰭4603。在一些實施例中,將鰭3201的下部3201b重塑以在第一區205中形成鰭4601的下部4601b,並將鰭3203的下部3203b重塑以在第二區207中形成鰭4603的下部4603b。在一些實施例中,用於形成第一區205中的鰭4601的下部4601b以及第二區207中的鰭4603的下部3903b的重塑製程可相似於上述參考第15A圖時所述之用於形成第一區205中的鰭1501以及第二區207中的鰭1503的重塑製程,故不贅述於此。在進行重塑製程之後,鰭4601的下部4601b的寬度隨著鰭4601的下部4601b伸遠離相鄰淺溝槽隔離區1401而縮小,且鰭4603的下部4603b的寬度隨著鰭4603的下部4603b伸遠離相鄰淺溝槽隔離區1401而縮小。透過將半導體條帶1005和1007分別重塑為鰭4601和4603,如上述參考第46A圖時所述,使得鰭式場效電晶體裝置4600在鰭底部能夠控制通道區中的應變,並減少或消除鰭擺動/彎曲效應,並使得鰭式場效電晶體裝置4600沿鰭高度具有一致的臨界電壓(Vt)。
第47A圖顯示第46A圖的鰭4601的放大圖。在一些實施例中,鰭4601的下部4601b具有高度H6 在約10nm與約30nm之間。在一些實施例中,鰭4601的上部3201a具有高度H7 在約20nm與約50nm之間。在一些實施例中,高度H6 小於高度H7 。鰭4601的下部4601b最靠近相鄰淺溝槽隔離區1401的部分具有寬度W8 ,且鰭4601的下部4601b最遠離相鄰淺溝槽隔離區1401的部分具有寬度W9 。在一些實施例中,寬度W8 大於寬度W9 。在一些實施例中,寬度W8 在約4nm與約15nm之間。在一些實施例中,寬度W9 在約3nm與約12nm之間。鰭4601的下部4601b的側壁與相鄰淺溝槽隔離區1401的最頂表面形成角度θ4 。在一些實施例中,角度θ4 在約80度與約90度之間。在一些實施例中,鰭4601的上部3201a具有寬度W9
請參照第47A圖,在鰭4601包括SiGe的一些實施例中,鰭4601具有均勻的Ge濃度。在一些實施例中,在鰭4601中均勻的Ge濃度在約15原子百分比與約40原子百分比之間。在鰭4601包括SiGe的其他實施例中,鰭4601具有不均勻的Ge濃度。在鰭4601具有不均勻的Ge濃度的一些實施例中,Ge濃度隨著鰭4601延伸遠離相鄰的淺溝槽隔離區1401而增加。在一些實施例中,最靠近相鄰的淺溝槽隔離區1401的鰭4601的部分的Ge濃度在約10原子百分比與約20原子百分比之間。在一些實施例中,最遠離相鄰的淺溝槽隔離區1401的鰭4601的部分的Ge濃度在約25原子百分比與約35原子百分比之間。在鰭4601具有不均勻的Ge濃度的其他實施例中,鰭4601的下部4601b具有均勻的Ge濃度,且在鰭4601的上部3201a具有不均勻的Ge濃度。在一些實施例中,鰭4601的下部4601b具有均勻的Ge濃度在約15原子百分比與約40原子百分比之間。在一些實施例中,Ge濃度隨著鰭4601的上部3201a延伸遠離鰭4601的下部4601b而增加。在一些實施例中,鰭4601的上部3201a最靠近鰭4601的下部4601b的部分的Ge濃度在約10原子百分比與約20原子百分比之間。在一些實施例中,鰭4601的上部3201a最遠離鰭4601的下部4601b的部分的Ge濃度在約25原子百分比與約35原子百分比之間。在一些實施例中,鰭4603(請參照第46A圖)可具有與鰭4601相似的形狀或尺寸,故不贅述於此。
請參照第48A、48B和48C圖,對第46A圖的結構進行上述參考第17A-22A圖、第24A-29A圖、第17B-22B圖、第24B-29B圖和第18C-29C圖所述的製程步驟以形成鰭式場效電晶體裝置4600,故不贅述於此。
第49A、50A、50B和50C圖為依據一些實施例之鰭式場效電晶體裝置4900在製造的中間階段的剖面示意圖。在第49A、50A、50B和50圖中,以“A”標記結尾的圖式沿著第1圖中的參考剖面A-A顯示,但是這些圖式有多個鰭式場效電晶體以及多個鰭在每個鰭式場效電晶體。以“B”標記結尾的圖式沿著第1圖中的參考剖面B-B顯示,以“C”標記結尾的圖式沿著第1圖中的參考剖面C-C顯示。在一些實施例中,形成鰭式場效電晶體裝置4900的製程步驟可與上述參考第46A-48A圖、第48圖和43C圖時所述之形成鰭式場效電晶體裝置4600的製程步驟相似,且以相似的參考符號標註相似的部件,故不贅述於此。
在一些實施例中,形成鰭式場效電晶體裝置4900的製程開始於第13A圖顯示的結構。請參照第49A圖,對第13A圖的結構的第一區205進行上述參考第32A、33A和46A圖時所述的製程步驟以在第一區205中形成鰭4601,而以遮罩(未顯示)保護第13A圖的結構的第二區207。再者,對第13A圖的結構的第二區207進行上述參考第14A圖時所述的凹陷步驟以暴露出第二區207中的半導體條帶1007的一部分,而以遮罩(未顯示)保護第13A圖的結構的第一區205。半導體條帶1007的暴露部分在第二區207中形成鰭4901。請參照第50A、50B和50C圖,對第49A圖的結構進行上述參考第17A-22A圖、第24A-29A圖、第17B-22B圖、第24B-29B圖和第18C-29C圖所述的製程步驟以形成鰭式場效電晶體裝置4900,故不贅述於此。
第51圖為依據一些實施例之鰭結構的形成方法5100的流程圖。方法5100開始於步驟5101,其中在基底(例如第10A圖所示的基底201)上方形成半導體條帶(例如第10A圖所示的半導體條帶1005),如上述參考第2A-10A圖時所述。在步驟5103中,在相鄰的半導體條帶之間形成隔離區(例如第10A圖所示的襯墊1101和絕緣材料1103),如上述參考第11A-13A圖時所述。在步驟5105中,將隔離區凹陷以暴露出半導體條帶的上部,如上述參考第14A圖時所述。在步驟5107中,將半導體條帶的上部重塑以形成延伸至隔離區之上的鰭(例如第15A圖所示的鰭1501),如上述參考第15A圖時所述。
第52圖為依據一些實施例之鰭結構的形成方法5200的流程圖。方法5200開始於步驟5201,其中在基底(例如第10A圖所示的基底201)上方形成半導體條帶(例如第10A圖所示的半導體條帶1005),如上述參考第2A-10A圖時所述。在步驟5203中,在相鄰的半導體條帶之間形成隔離區(例如第10A圖所示的襯墊1101和絕緣材料1103),如上述參考第11A-13A圖時所述。在步驟5205中,對隔離區進行第一凹陷製程以暴露並重塑半導體條帶的第一部分(例如第32A圖所示的上部3201a),如上述參考第32A圖時所述。在步驟5207中,對隔離區進行第二凹陷製程以暴露出在重塑的半導體條帶的第一部分下方之半導體條帶的第二部分(例如第33A圖所示的下部3201b),其中重塑的半導體條帶的第一部分和半導體條帶的第二部分形成延伸至隔離區之上的鰭(例如第33A圖所示的鰭3201),如上述參考第33A圖時所述。
第53圖為依據一些實施例之鰭結構的形成方法5300的流程圖。方法5300開始於步驟5301,其中在基底(例如第10A圖所示的基底201)上方形成半導體條帶(例如第10A圖所示的半導體條帶1005),如上述參考第2A-10A圖時所述。在步驟5303中,在相鄰的半導體條帶之間形成隔離區(例如第10A圖所示的襯墊1101和絕緣材料1103),如上述參考第11A-13A圖時所述。在步驟5305中,對隔離區進行第一凹陷製程以暴露出半導體條帶的第一部分(例如第38A圖所示的上部3801a),如上述參考第38A圖時所述。在步驟5307中,將半導體條帶的第一部分重塑以形成重塑的半導體條帶的第一部分(例如第39A圖所示的上部3901a),如上述參考第39A圖時所述。在步驟5309中,對隔離區進行第二凹陷製程以暴露出在重塑的半導體條帶的第一部分下方之半導體條帶的第二部分(例如第40A圖所示的第二部分3801b),如上述參考第40A圖時所述。在步驟5311中,將半導體條帶的第二部分重塑以形成重塑的半導體條帶的第二部分(例如第41A圖所示的下部3901b),其中重塑的半導體條帶的第一部分和重塑的半導體條帶的第二部分形成延伸至隔離區之上的鰭(例如第41A圖所示的鰭3901),如上述參考第41A圖時所述。
第54圖為依據一些實施例之鰭結構的形成方法5400的流程圖。方法5400開始於步驟5401,其中在基底(例如第10A圖所示的基底201)上方形成半導體條帶(例如第10A圖所示的半導體條帶1005),如上述參考第2A-10A圖時所述。在步驟5403中,在相鄰的半導體條帶之間形成隔離區(例如第10A圖所示的襯墊1101和絕緣材料1103),如上述參考第11A-13A圖時所述。在步驟5405中,對隔離區進行第一凹陷製程以暴露並重塑半導體條帶的第一部分(例如第32A圖所示的上部3201a),如上述參考第32A圖時所述。在步驟5407中,對隔離區進行第二凹陷製程以暴露出在重塑的半導體條帶的第一部分下方之半導體條帶的第二部分(例如第33A圖所示的下部3201b),如上述參考第33A圖時所述。在步驟5409中,將半導體條帶的第二部分重塑以形成重塑的半導體條帶的第二部分(例如第46A圖所示的下部4601b),其中重塑的半導體條帶的第一部分和重塑的半導體條帶的第二部分形成延伸至隔離區之上的鰭(例如第46A圖所示的鰭4601),如上述參考第46A圖時所述。
依據一實施例,一方法包含:在基底上方形成複數個半導體條帶;在基底上方以及相鄰的半導體條帶之間形成隔離區;對隔離區進行第一凹陷製程以暴露出半導體條帶的第一部分;將半導體條帶的第一部分重塑以形成重塑的半導體條帶的第一部分;對隔離區進行第二凹陷製程以暴露出在重塑的半導體條帶的第一部分下方之半導體條帶的第二部分;以及將半導體條帶的第二部分重塑以形成重塑的半導體條帶的第二部分,其中重塑的半導體條帶的第一部分和重塑的半導體條帶的第二部分形成複數個鰭,且其中鰭延伸遠離隔離區的最頂表面。在一實施例中,第一凹陷製程和第二凹陷製程包含相同的蝕刻製程。在一實施例中,將半導體條帶的第一部分重塑的步驟包含第一蝕刻製程。在一實施例中,將半導體條帶的第二部分重塑的步驟包含與第一蝕刻製程不同的第二蝕刻製程。在一實施例中,將半導體條帶的第一部分重塑的步驟包含改變半導體條帶的第一部分的側壁的斜率。在一實施例中,將半導體條帶的第二部分重塑的步驟包含改變半導體條帶的第二部分的側壁的斜率。在一實施例中,重塑的半導體條帶的第一部分的側壁具有第一斜率,且重塑的半導體條帶的第二部分的側壁具有與第一斜率不同的第二斜率。
依據另一實施例,一方法包含:在基底上方形成複數個半導體條帶;在相鄰的半導體條帶之間形成隔離區;對隔離區進行第一凹陷製程以暴露出半導體條帶的第一部分,其中進行第一凹陷製程的步驟更包含將半導體條帶的第一部分重塑以形成重塑的半導體條帶的第一部分;對隔離區進行第二凹陷製程以暴露出在重塑的半導體條帶的第一部分下方之半導體條帶的第二部分;以及將半導體條帶的第二部分重塑以形成重塑的半導體條帶的第二部分,其中重塑的半導體條帶的第一部分和重塑的半導體條帶的第二部分形成複數個鰭,且其中鰭的最頂表面在隔離區的最頂表面之上。在一實施例中,第一凹陷製程和第二凹陷製程包含不同的蝕刻製程。在一實施例中,將半導體條帶的第一部分重塑的步驟包含將半導體條帶的第一部分變窄而不改變半導體條帶的第一部分的側壁的斜率。在一實施例中,將半導體條帶的第二部分重塑的步驟包含改變半導體條帶的第二部分的側壁的斜率。在一實施例中,將半導體條帶的第二部分重塑的步驟包含非等向性蝕刻製程。在一實施例中,非等向性蝕刻製程為反應性離子蝕刻製程。在一實施例中,重塑的半導體條帶的第二部分的寬度隨著重塑的半導體條帶的第二部分延伸遠離隔離區的最頂表面而縮小。
依據另一實施例,一裝置包含:基底;隔離區,位於基底上方;以及鰭,位於基底上方且與隔離區相鄰,鰭的最頂表面在隔離區的最頂表面之上,其中鰭包含第一部分,第一部分的第一側壁具有第一斜率;以及第二部分,位於第一部分與基底之間,第二部分的第二側壁具有第二斜率,第一側壁和第二側壁在鰭的同一側上,第一斜率不同於第二斜率。在一實施例中,鰭的第一部分具有一致的寬度。在一實施例中,鰭的第一部分的寬度隨著鰭的第一部分延伸遠離鰭的第二部分而縮小。在一實施例中,鰭的第二部分的寬度隨著鰭的第二部分延伸遠離隔離區而縮小。在一實施例中,鰭的第一部分的第一高度大於鰭的第二部分的第二高度。在一實施例中,鰭的第一部分的第一高度小於鰭的第二部分的第二高度。
鰭可透過任何合適的方法圖案化。舉例來說,鰭可透過使用一道或多道光微影製程(包含雙重圖案化或多重圖案化製程)來圖案化。一般來說,雙重圖案化或多重圖案化製程結合了光微影和自對準製程,以創造具有較小間距的圖案,舉例來說,此圖案具有比使用單一直接光微影製程可獲得的間距更小的圖案。舉例來說,在一實施例中,犧牲層形成於基底上方,並透過使用光微影製程圖案化。間隔物透過使用自對準製程形成於圖案化犧牲層旁邊。接著,移除犧牲層,且可接著使用剩下的間隔物將鰭圖案化。
前述內文概述了許多實施例的特徵,使本技術領域中具有通常知識者可以從各個方面更加了解本發明實施例。本技術領域中具有通常知識者應可理解,且可輕易地以本發明實施例為基礎來設計或修飾其他製程及結構,並以此達到相同的目的及/或達到與在此介紹的實施例等相同之優點。本技術領域中具有通常知識者也應了解這些相等的結構並未背離本發明的發明精神與範圍。在不背離本發明的發明精神與範圍之前提下,可對本發明實施例進行各種改變、置換或修改。
100:鰭式場效電晶體 101、201:基底 103:隔離區 105、1501、1503、3001、3201、3203、3601、3901、3903、4401、4601、4603、4901:鰭 107:閘極介電質 109:閘極電極 111、113:源極/汲極區 200、3000、3200、3600、3800、4400、4600、4900:鰭式場效電晶體裝置 203、301、401、601、903、1705、1801、1803、2003:遮罩 203A、903A:第一遮罩層 203B、903B:第二遮罩層 205:第一區 207:第二區 303、403:摻雜製程 305、405:井區 501、801、901:半導體層 801b:底表面 801i:界面 801t:頂表面 8011、3201b、3203b、3901b、3903b、4601b、4603b:下部 8012、3201a、3203a、3901a、3903a:上部 1001、1003:溝槽 1005、1007:半導體條帶 1101:襯墊 1103:絕緣材料 1401:淺溝槽隔離區 1701、1901:介電層 1703、2705、2713:閘極電極層 1805、1807、2707、2715:閘極 1809、1811:輕摻雜源極/汲極區 2001、2403:間隙壁 2101、2601、2603:凹口 2201、2401:磊晶源極/汲極區 2501、2801:蝕刻停止層 2503:層間介電質 2701、2709:閘極介電層 2703、2711:功函數層 2803、2805、2807、2809:開口 2811、2813:自對準層 2901、2903、2905、2907:接觸插塞 3801a、3803a:第一部分 3801b、3803b:第二部分 5100、5200、5300、5400:方法 5101、5103、5105、5107、5201、5203、5205、5207、5301、5303、5305、5307、5309、5311、5401、5403、5405、5407、5409:步驟 H1、H2、H3、H4、H5、H6、H7:高度 T1、T2:厚度 W1、W2、W3、W4、W5、W6、W7、W8、W9:寬度 θ1、θ2、θ3、θ4:角度
根據以下的詳細說明並配合所附圖式可以更加理解本發明實施例。應注意的是,根據本產業的標準慣例,圖示中的各種部件(feature)並未必按照比例繪製。事實上,可能任意的放大或縮小各種部件的尺寸,以做清楚的說明。 第1圖為依據一些實施例之鰭式場效電晶體(fin field-effect transistor,FinFET)裝置的透視圖。 第2A、3A、4A、5A、6A、7A、8A、9A、10A、11A、12A、13A、14A、15A、16A圖為依據一些實施例之鰭式場效電晶體裝置在製造的中間階段的剖面示意圖。 第17A和17B圖為依據一些實施例之鰭式場效電晶體裝置在製造的中間階段的剖面示意圖。 第18A、18B和18C圖為依據一些實施例之鰭式場效電晶體裝置在製造的中間階段的剖面示意圖。 第19A、19B和19C圖為依據一些實施例之鰭式場效電晶體裝置在製造的中間階段的剖面示意圖。 第20A、20B和20C圖為依據一些實施例之鰭式場效電晶體裝置在製造的中間階段的剖面示意圖。 第21A、21B和21C圖為依據一些實施例之鰭式場效電晶體裝置在製造的中間階段的剖面示意圖。 第22A、22B和22C圖為依據一些實施例之鰭式場效電晶體裝置在製造的中間階段的剖面示意圖。 第23C圖為依據一些實施例之鰭式場效電晶體裝置在製造的中間階段的剖面示意圖。 第24A、24B和24C圖為依據一些實施例之鰭式場效電晶體裝置在製造的中間階段的剖面示意圖。 第25A、25B和25C圖為依據一些實施例之鰭式場效電晶體裝置在製造的中間階段的剖面示意圖。 第26A、26B和26C圖為依據一些實施例之鰭式場效電晶體裝置在製造的中間階段的剖面示意圖。 第27A、27B和27C圖為依據一些實施例之鰭式場效電晶體裝置在製造的中間階段的剖面示意圖。 第28A、28B和28C圖為依據一些實施例之鰭式場效電晶體裝置在製造的中間階段的剖面示意圖。 第29A、29B和29C圖為依據一些實施例之鰭式場效電晶體裝置在製造的中間階段的剖面示意圖。 第30A圖為依據一些實施例之鰭式場效電晶體裝置在製造的中間階段的剖面示意圖。 第31A、31B和31C圖為依據一些實施例之鰭式場效電晶體裝置在製造的中間階段的剖面示意圖。 第32A、33A、34A圖為依據一些實施例之鰭式場效電晶體裝置在製造的中間階段的剖面示意圖。 第35A、35B和35C圖為依據一些實施例之鰭式場效電晶體裝置在製造的中間階段的剖面示意圖。 第36A圖為依據一些實施例之鰭式場效電晶體裝置在製造的中間階段的剖面示意圖。 第37A、37B和37C圖為依據一些實施例之鰭式場效電晶體裝置在製造的中間階段的剖面示意圖。 第38A、39A、40A、41A、42A圖為依據一些實施例之鰭式場效電晶體裝置在製造的中間階段的剖面示意圖。 第43A、43B和43C圖為依據一些實施例之鰭式場效電晶體裝置在製造的中間階段的剖面示意圖。 第44A圖為依據一些實施例之鰭式場效電晶體裝置在製造的中間階段的剖面示意圖。 第45A、45B和45C圖為依據一些實施例之鰭式場效電晶體裝置在製造的中間階段的剖面示意圖。 第46A圖為依據一些實施例之鰭式場效電晶體裝置在製造的中間階段的剖面示意圖。 第47A圖為依據一些實施例之鰭式場效電晶體裝置在製造的中間階段的剖面示意圖。 第48A、48B和48C圖為依據一些實施例之鰭式場效電晶體裝置在製造的中間階段的剖面示意圖。 第49A圖為依據一些實施例之鰭式場效電晶體裝置在製造的中間階段的剖面示意圖。 第50A、50B和50C圖為依據一些實施例之鰭式場效電晶體裝置在製造的中間階段的剖面示意圖。 第51圖為依據一些實施例之鰭結構的形成方法的流程圖。 第52圖為依據一些實施例之鰭結構的形成方法的流程圖。 第53圖為依據一些實施例之鰭結構的形成方法的流程圖。 第54圖為依據一些實施例之鰭結構的形成方法的流程圖。
5300:方法
5301、5303、5305、5307、5309、5311:步驟

Claims (20)

  1. 一種半導體裝置的製造方法,包括: 在一基底上方形成複數個半導體條帶; 在該基底上方以及相鄰的該複數個半導體條帶之間形成一隔離區; 對該隔離區進行一第一凹陷製程以暴露出該複數個半導體條帶的第一部分; 將該複數個半導體條帶的第一部分重塑以形成重塑的該複數個半導體條帶的第一部分; 對該隔離區進行一第二凹陷製程以暴露出在重塑的該複數個半導體條帶的第一部分下方之該複數個半導體條帶的第二部分;以及 將該複數個半導體條帶的第二部分重塑以形成重塑的該複數個半導體條帶的第二部分,其中重塑的該複數個半導體條帶的第一部分和重塑的該複數個半導體條帶的第二部分形成複數個鰭,且其中該複數個鰭延伸遠離該隔離區的最頂表面。
  2. 如申請專利範圍第1項所述之半導體裝置的製造方法,其中進行該第一凹陷製程的步驟包括使用一第一蝕刻劑進行一第一蝕刻製程,且其中進行該第二凹陷製程的步驟包括使用該第一蝕刻劑進行一第二蝕刻製程。
  3. 如申請專利範圍第1項所述之半導體裝置的製造方法,其中將該複數個半導體條帶的第一部分重塑的步驟包括一第一蝕刻製程。
  4. 如申請專利範圍第3項所述之半導體裝置的製造方法,其中將該複數個半導體條帶的第二部分重塑的步驟包括與該第一蝕刻製程不同的一第二蝕刻製程。
  5. 申請專利範圍第1項所述之半導體裝置的製造方法,其中將該複數個半導體條帶的第一部分重塑的步驟包括改變該複數個半導體條帶的第一部分的側壁的斜率。
  6. 申請專利範圍第1項所述之半導體裝置的製造方法,其中將該複數個半導體條帶的第二部分重塑的步驟包括改變該複數個半導體條帶的第二部分的側壁的斜率。
  7. 申請專利範圍第1項所述之半導體裝置的製造方法,其中重塑的該複數個半導體條帶的第一部分的側壁具有一第一斜率,且其中重塑的該複數個半導體條帶的第二部分的側壁具有與該第一斜率不同的一第二斜率。
  8. 一種半導體裝置的製造方法,包括: 在一基底上方形成複數個半導體條帶; 在相鄰的該複數個半導體條帶之間形成一隔離區; 對該隔離區進行一第一凹陷製程以暴露出該複數個半導體條帶的第一部分,其中進行該第一凹陷製程的步驟更包括將該複數個半導體條帶的第一部分重塑以形成重塑的該複數個半導體條帶的第一部分; 對該隔離區進行一第二凹陷製程以暴露出在重塑的該複數個半導體條帶的第一部分下方之該複數個半導體條帶的第二部分;以及 將該複數個半導體條帶的第二部分重塑以形成重塑的該複數個半導體條帶的第二部分,其中重塑的該複數個半導體條帶的第一部分和重塑的該複數個半導體條帶的第二部分形成複數個鰭,且其中該複數個鰭的最頂表面在該隔離區的最頂表面之上。
  9. 如申請專利範圍第8項所述之半導體裝置的製造方法,其中該第一凹陷製程和該第二凹陷製程包括不同的蝕刻製程。
  10. 如申請專利範圍第8項所述之半導體裝置的製造方法,其中將該複數個半導體條帶的第一部分重塑的步驟包括將該複數個半導體條帶的第一部分變窄。
  11. 如申請專利範圍第8項所述之半導體裝置的製造方法,其中將該複數個半導體條帶的第二部分重塑的步驟包括改變該複數個半導體條帶的第二部分的側壁的斜率。
  12. 如申請專利範圍第8項所述之半導體裝置的製造方法,其中將該複數個半導體條帶的第二部分重塑的步驟包括一非等向性蝕刻製程。
  13. 如申請專利範圍第12項所述之半導體裝置的製造方法,其中該非等向性蝕刻製程為一反應性離子蝕刻製程。
  14. 如申請專利範圍第8項所述之半導體裝置的製造方法,其中重塑的該複數個半導體條帶的第二部分的寬度隨著重塑的該複數個半導體條帶的第二部分延伸遠離該隔離區的最頂表面而縮小。
  15. 一種半導體裝置,包括: 一基底; 一隔離區,位於該基底上方;以及 一鰭,位於該基底上方且與該隔離區相鄰,該鰭的最頂表面在該隔離區的最頂表面之上,其中該鰭包括: 一第一部分,該第一部分的一第一側壁具有一第一斜率;以及 一第二部分,位於該第一部分與該基底之間,該第二部分的一第二側壁具有一第二斜率,該第一側壁和該第二側壁在該鰭的同一側上,該第一斜率不同於該第二斜率。
  16. 如申請專利範圍第15項所述之半導體裝置,其中該鰭的該第一部分具有一致的寬度。
  17. 如申請專利範圍第15項所述之半導體裝置,其中該鰭的該第一部分的寬度隨著該鰭的該第一部分延伸遠離該鰭的該第二部分而縮小。
  18. 如申請專利範圍第15項所述之半導體裝置,其中該鰭的該第二部分的寬度隨著該鰭的該第二部分延伸遠離該隔離區而縮小。
  19. 如申請專利範圍第15項所述之半導體裝置,其中該鰭的該第一部分的一第一高度大於該鰭的該第二部分的一第二高度。
  20. 如申請專利範圍第15項所述之半導體裝置,其中該鰭的該第一部分的一第一高度小於該鰭的該第二部分的一第二高度。
TW108131604A 2018-09-27 2019-09-03 半導體裝置及其製造方法 TWI740201B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862737218P 2018-09-27 2018-09-27
US62/737,218 2018-09-27
US16/245,519 2019-01-11
US16/245,519 US11094826B2 (en) 2018-09-27 2019-01-11 FinFET device and method of forming same

Publications (2)

Publication Number Publication Date
TW202017058A true TW202017058A (zh) 2020-05-01
TWI740201B TWI740201B (zh) 2021-09-21

Family

ID=69946613

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108131604A TWI740201B (zh) 2018-09-27 2019-09-03 半導體裝置及其製造方法

Country Status (4)

Country Link
US (2) US11094826B2 (zh)
KR (2) KR102312886B1 (zh)
CN (1) CN110957362B (zh)
TW (1) TWI740201B (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10262870B2 (en) 2015-07-02 2019-04-16 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure and method for forming the same
US11094826B2 (en) * 2018-09-27 2021-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming same
US11114331B2 (en) * 2019-05-03 2021-09-07 United Microelectronics Corp. Method for fabricating shallow trench isolation
KR20220028681A (ko) * 2020-08-31 2022-03-08 삼성전자주식회사 반도체 장치
US11450743B2 (en) * 2020-10-21 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming a semiconductor device with implantation of impurities at high temperature
US11862468B2 (en) * 2021-01-29 2024-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
KR20220132139A (ko) * 2021-03-23 2022-09-30 삼성전자주식회사 반도체 장치 및 이의 제조 방법

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100843244B1 (ko) 2007-04-19 2008-07-02 삼성전자주식회사 반도체 소자 및 그 제조 방법
KR20070090375A (ko) 2006-03-02 2007-09-06 삼성전자주식회사 비휘발성 메모리 장치 및 그 형성 방법
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
KR101876793B1 (ko) 2012-02-27 2018-07-11 삼성전자주식회사 전계효과 트랜지스터 및 그 제조 방법
US8629512B2 (en) * 2012-03-28 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Gate stack of fin field effect transistor with slanted sidewalls
US9171929B2 (en) 2012-04-25 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Strained structure of semiconductor device and method of making the strained structure
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US9159824B2 (en) 2013-02-27 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with strained well regions
US9054044B2 (en) * 2013-03-07 2015-06-09 Globalfoundries Inc. Method for forming a semiconductor device and semiconductor device structures
US9159832B2 (en) * 2013-03-08 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor fin structures and methods for forming the same
US9214555B2 (en) 2013-03-12 2015-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Barrier layer for FinFET channels
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9627375B2 (en) 2014-02-07 2017-04-18 Taiwan Semiconductor Manufacturing Company Ltd. Indented gate end of non-planar transistor
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
CN105097513B (zh) * 2014-04-24 2019-09-03 中芯国际集成电路制造(北京)有限公司 一种半导体器件的制造方法、半导体器件和电子装置
US9608116B2 (en) 2014-06-27 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. FINFETs with wrap-around silicide and method forming the same
TW201631667A (zh) 2015-02-17 2016-09-01 聯華電子股份有限公司 半導體元件及其製作方法
KR102327143B1 (ko) * 2015-03-03 2021-11-16 삼성전자주식회사 집적회로 소자
US9799771B2 (en) * 2015-04-20 2017-10-24 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET and method for manufacturing the same
US9564489B2 (en) 2015-06-29 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple gate field-effect transistors having oxygen-scavenged gate stack
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US10032877B2 (en) * 2016-08-02 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of forming same
US10217741B2 (en) * 2016-08-03 2019-02-26 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure and method of forming same through two-step etching processes
US10170367B2 (en) 2016-11-29 2019-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10084094B1 (en) * 2017-03-17 2018-09-25 International Business Machines Corporation Wrapped source/drain contacts with enhanced area
US11094826B2 (en) * 2018-09-27 2021-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming same

Also Published As

Publication number Publication date
US20200105936A1 (en) 2020-04-02
KR102312886B1 (ko) 2021-10-18
KR20210124953A (ko) 2021-10-15
TWI740201B (zh) 2021-09-21
US11764301B2 (en) 2023-09-19
US11094826B2 (en) 2021-08-17
CN110957362B (zh) 2023-09-19
CN110957362A (zh) 2020-04-03
KR20200036738A (ko) 2020-04-07
US20210376150A1 (en) 2021-12-02

Similar Documents

Publication Publication Date Title
TWI740201B (zh) 半導體裝置及其製造方法
US11450772B2 (en) Fin field-effect transistor device and method
US11171220B2 (en) Structure and method for high-K metal gate
US20210343578A1 (en) Integrated circuit structure with backside dielectric layer having air gap
US20210343639A1 (en) Integrated circuit structure with backside via
KR102610580B1 (ko) 트랜지스터 게이트 구조물들 및 그 형성 방법
US11935781B2 (en) Integrated circuit structure with backside dielectric layer having air gap
US20240177998A1 (en) Transistor Gate Structure and Method of Forming
KR102538269B1 (ko) 반도체 디바이스 및 방법
US20220123115A1 (en) Contact plug structure of semiconductor device and method of forming same
US20210273096A1 (en) Semiconductor Device and Method
TW201913749A (zh) 半導體裝置及其形成方法
KR102266204B1 (ko) 게이트 스페이서 구조물 및 그 형성 방법
US11302793B2 (en) Transistor gates and method of forming
US20230378362A1 (en) Finfet device and method of forming same
TWI827115B (zh) 半導體裝置及其形成方法
US20230317859A1 (en) Transistor gate structures and methods of forming thereof
US11404554B2 (en) Transistor gates and method of forming
US11557518B2 (en) Gapfill structure and manufacturing methods thereof
US11676864B2 (en) Semiconductor device structure and methods of forming the same
US20220246479A1 (en) Source/drain regions and methods of forming same
US20230268426A1 (en) Dummy fin structures and methods of forming same
KR20230158405A (ko) 언더 에피택시 격리 구조체