TW202013094A - 用於電子束微影及增加生產量的方法 - Google Patents

用於電子束微影及增加生產量的方法 Download PDF

Info

Publication number
TW202013094A
TW202013094A TW108133958A TW108133958A TW202013094A TW 202013094 A TW202013094 A TW 202013094A TW 108133958 A TW108133958 A TW 108133958A TW 108133958 A TW108133958 A TW 108133958A TW 202013094 A TW202013094 A TW 202013094A
Authority
TW
Taiwan
Prior art keywords
pattern
electron beam
design layout
dose
integrated circuit
Prior art date
Application number
TW108133958A
Other languages
English (en)
Other versions
TWI712866B (zh
Inventor
張世明
駱文
劉俊宏
張家華
吳信緯
歐大煒
陳建志
陳建誠
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202013094A publication Critical patent/TW202013094A/zh
Application granted granted Critical
Publication of TWI712866B publication Critical patent/TWI712866B/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2051Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source
    • G03F7/2059Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source using a scanning corpuscular radiation beam, e.g. an electron beam
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3174Particle-beam lithography, e.g. electron beam lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2051Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source
    • G03F7/2059Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source using a scanning corpuscular radiation beam, e.g. an electron beam
    • G03F7/2061Electron scattering (proximity) correction or prevention methods
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70466Multiple exposures, e.g. combination of fine and coarse exposures, double patterning or multiple exposures for printing a single feature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/302Controlling tubes by external information, e.g. programme control
    • H01J37/3023Programme control
    • H01J37/3026Patterning strategy
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/76Patterning of masks by imaging
    • G03F1/78Patterning of masks by imaging by charged particle beam [CPB], e.g. electron beam patterning of masks

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Electron Beam Exposure (AREA)

Abstract

本揭露提供一種電子束微影系統及電子束微影方法以增加生產量。由電子束微影系統達成之增加生產量的範例性方法,包括接收包含目標圖案之積體電路(IC)設計佈局,其中子束微影系統執行第一曝光劑量,以基於積體電路設計佈局在工作件上形成目標圖案。上述方法更包括插入虛擬圖案至積體電路設計佈局中,以將積體電路設計佈局的圖案密度增加到大於或等於臨界圖案密度,進而產生修改後積體電路設計佈局。電子束微影系統執行第二曝光劑量,以基於修改後積體電路設計佈局在工作件上形成目標圖案,其中第二曝光劑量小於第一曝光劑量。

Description

用於電子束微影及增加生產量的方法
本揭露係有關於一種電子束微影的方法,特別係有關於一種使電子束微影之生產量增加的方法。
隨著積體電路(integrated circuit, IC)技術持續朝向更小的特徵尺寸發展,IC設計變得更具挑戰性,例如32奈米(nanometer, nm)、28奈米、20奈米以及更小的線寬。舉例來說,當製造IC裝置時,IC裝置的性能會嚴重地被微影可印性能力(lithography printability capability)影響,這表示形成在晶圓上的最終晶圓圖案與由IC設計佈局定義之目標圖案的對應程度為何。許多方法已被導入以強化微影可印性,例如浸潤式(immersion)微影、多重圖案化(multiple patterning)微影、極紫外光(extreme ultraviolet, EUV)微影、以及帶電粒子束(charged particle beam)微影。其中特別的是帶電粒子束微影,其在不使用標線片(reticle)(或光罩)的情況下,以帶電粒子束(例如:電子束(electron beam))將IC圖案寫入(writing)至工作件(workpiece)上,如此可以形成小於光之解析度的IC特徵。帶電粒子束微影的生產量明顯地限制了它的有效性,由其是在大量製造的時候。因此,即使現行之帶電粒子束微影系統及帶電粒子束微影方法通常已能滿足使用它們的預期目的,但它們並不是在所有方面都完全令人滿意的。
本揭露實施例提供一種增加生產量的方法,藉由一電子束微影系統達成。上述方法包括接收積體電路(IC)設計佈局,IC設計佈局包括目標圖案,其中電子束微影系統執行第一曝光劑量,以基於IC設計佈局在工作件上形成目標圖案;以及插入虛擬圖案至IC設計佈局中,以將IC設計佈局的圖案密度增加到大於或等於臨界圖案密度,進而產生修改後IC設計佈局,其中電子束微影系統執行第二曝光劑量,以基於修改後IC設計佈局在工作件上形成目標圖案,其中第二曝光劑量小於第一曝光劑量。
本揭露實施例提供一種增加生產量的方法,藉由一電子束微影系統達成。上述方法包括接收具有目標圖案的積體電路(IC)設計佈局;判斷IC設計佈局之複數圖案區域的圖案密度;以及插入虛擬特徵至圖案密度小於臨界圖案密度的任何圖案區域,進而為IC路設計佈局產生虛擬圖案。
本揭露實施例提供一種用於電子束微影的方法。上述方法包括接收工作件,其中工作件上設置有能量敏感層;以及執行寫入操作,使用電子束將目標圖案及虛擬圖案寫入至能量敏感層,其中寫入操作執行之曝光劑量在臨界劑量至最大曝光劑量之間變化,且其中最大曝光劑量為臨界劑量加上用於臨界圖案密度的臨界效應校正劑量。
以下之揭露提供許多不同實施例或範例,用以實施本揭露之不同特徵。本揭露之各部件及排列方式,其特定範例敘述於下以簡化說明。理所當然的,這些範例並非用以限制本揭露。舉例來說,若敘述中有著第一特徵成形於第二特徵之上或上方,其可能包含第一特徵與第二特徵以直接接觸成形之實施例,亦可能包含有附加特徵形成於第一特徵與第二特徵之間,而使第一特徵與第二特徵間並非直接接觸之實施例。此外,本揭露可在多種範例中重複參考數字及/或字母。該重複之目的係為簡化及清晰易懂,且本身並不規定所討論之多種實施例及/或配置間之關係。此外,在不脫離本揭露之範圍的情況下,可用與本揭露所示之範例性實施例不同的方式排列、組合或配置本揭露所揭露之組件。應理解的是,本技術領域具通常知識者將能設計出各種等價物,儘管未明確揭露於本文中,但這些等價物體現了本揭露之原理。
本揭露提供用於製造積體電路(integrated circuit, IC)裝置之電子束微影(electron beam lithography)系統及電子束微影方法。電子束微影使用電子束將目標圖案(舉例來說,由IC設計佈局所定義)轉移到記錄介質(recording medium)(例如:能量敏感光阻層(energy sensitive resist layer)或光阻層)。特別地,電子束聚焦在記錄介質上,使得目標圖案藉由電子束直接寫入記錄介質而非使用標線片(reticle)(或光罩(mask))。由於記錄介質對電子束相當敏感,因此記錄介質的曝光部分會發生化學變化(例如:顯示出對顯影液的溶解度增加或降低)。接著進行顯影製程,根據記錄介質的特性及顯影製程中所用之顯影液的特性,溶解記錄介質的曝光(或未曝光)部分,進而形成與目標圖案對應的光阻圖案。記錄介質上的光阻圖案可被轉移至工作件上。舉例來說,圖案化之記錄介質可在蝕刻製程(etching process)期間被用作蝕刻遮罩、在離子佈植製程(ion implantation process)期間被用作佈植遮罩、或在沉積製程(deposition process)期間被用作遮罩以形成圖案化之材料層。在這之後,圖案化之記錄介質會自工作件上被移除,舉例來說,以光阻剝離製程(resist stripping process)為之。
當IC技術朝著更小的特徵尺寸,例如32奈米、28奈米、20奈米及更小的尺寸發展時,電子束微影的用處益發明顯。然而,電子束微影的有用性(usefulness)受限於生產量(throughput),通常是指電子束微影系統用於曝光整個工作件(晶圓)所花費的時間量。本揭露使用反向散射能量(backscattered energy)以改善生產量,其中反向散射能量通常被視為電子束微影中的缺點。本文所揭露之電子束微影技術增加IC設計佈局的圖案密度以增加反向散射能量,並進而減少鄰近效應校正(proximity effect correction)(被用於補償起因於圖案密度降低之反向散射能量的缺乏,以及為IC設計佈局之所有圖案密度提供在最大能量被吸收下的均勻性)所需之最大曝光劑量。減少鄰近效應校正所需之最大曝光劑量減少了在電子束曝光期間實施的最大曝光劑量,這允許增加掃描速度。不同的實施例可能具有不同的優點,且任何實施例都不一定需要特定的優點。
第1圖係根據本揭露多種態樣之電子束系統100的簡化示意圖。電子束系統100可執行本揭露所述之方法,以在工作件102上寫入圖案,例如IC圖案。工作件102包括基板(例如:半導體基板)、標線片(亦被稱為光罩或遮罩)、或在其上進行處理以產生被配置為形成IC圖案及/或IC特徵之材料層的任何基礎材料。在所描繪的實施例中,諸如能量敏感光阻層之記錄介質104被設置在工作件102上。電子束系統100將圖案寫入記錄介質104中,隨後將圖案轉移到工作件102上。為了清楚起見,第1圖已被簡化,以更好地理解本揭露之發明構思。可以在電子束系統100中添加額外特徵,且對於電子束系統100之其他實施例,可以修改、取代或排除下列所述的一些特徵。
於所述實施例中,電子束系統100包括電子束之資料處理單元(data processing unit, DPU)106以及電子束之曝光單元(exposure unit, EXU)108。DPU 106經由通訊鏈(communications link)110與EXU 108通訊耦接,使得DPU 106與EXU 108彼此間可互相通訊。DPU 106被配置以自資料儲存媒體(例如:非揮發性資料儲存媒體(non-volatile data storage medium))讀取圖案化資料,其中資料儲存媒體可位於DPU 106內,或是位於遠處並與DPU 106通訊。DPU 106包括記憶體112,其中DPU 106將自資料儲存媒體獲得的圖案化資料載入至記憶體112中。在一些實施例中,圖案化資料包括圖案佈局(例如:IC設計佈局)及/或與圖案佈局對應之劑量分配(dosage assignment)。DPU 106包括圖案產生器,圖案產生器處理圖案化資料並產生圖案寫入指令集(pattern writing instruction set),例如與圖案佈局相關之圖案寫入指令集。DPU 106傳送圖案寫入指令集至EXU 108,控制並配置EXU 108之多種組件以將對應於圖案寫入指令集的圖案寫入工作件102上。如本文所述,DPU 106被配置以產生改善生產量的圖案寫入指令集,使得圖案產生器可處理圖案化資料並產生圖案寫入指令集,圖案寫入指令集可被EXU 108執行以在保持增強之影像對比(image contrast)的同時減少曝光整個工作件102所需的時間量。
EXU 108包括用於產生電子束116的電子束源114。在一些實施例中,電子束系統100是由IMS Nanofabrication AG(Vienna, Austria)、KLA-Tencor Corp.( Milpitas, California)、或Leica Microsystems gmbH(Jenna, Germany)製造的電子束直寫(e-beam direct write, EBDW)系統。在一些實施例中,電子束源114是具有電子產生機制(例如:熱電子發射(thermal electron emission))的電子槍(electron gun)。在特定範例中,電子槍包括被設計及偏壓(biased)以熱發射(thermally emit)電子的鎢(或其他合適之材料)燈絲。電子束116被聚焦以入射(incident)多電子束機構(multi-beam mechanism)118(例如:模板(stencil plate))上,多電子束機構118自電子束116產生複數之電子束120。舉例來說,多電子束機構118包括用於定義(define)電子束116之形狀及/或分佈的孔徑陣列(aperture array)。孔徑陣列可包括被配置以產生電子束120之陣列的多種開口。在一些實施例中,電子束116作為具有均勻空間分佈的大帶電粒子束光點(spot)入射於孔徑陣列上,其中孔徑陣列將電子束116分割為複數之電子束120。在一些實施例中,孔徑陣列包括可調開口,使得尺寸、形狀、位置及/或其他開口特性可被調整以達成所欲得到之電子束120的分佈。開口可以隨時間動態調整。電子束120的數量可以根據電子束系統100、電子束微影製程及/或要在工作件102上形成之IC圖案的要求而改變。在一些實施例中,如下列將進一步描述的,電子束120為高斯光束(Gaussian beam)(例如:具有高斯電流強度分佈的圓形光束)並以光柵模式掃描工作件102。在一些實施例中,電子束系統100包括成像(imaging)組件(未圖示),例如各種靜電透鏡(electrostatic lens)及/或電磁透鏡(electromagnetic lens),以將電子束116聚焦到多電子束機構118上。
EXU 108更包括電子束控制器122,電子束控制器122控制工作件102(特別是記錄介質104)上之電子束120的形狀及/或分佈。在一些實施例中,成像組件124(例如:包括各種靜電透鏡及/或電磁透鏡的光學系統)將穿過電子束控制器122之電子束120聚焦到工作件102上以達到所欲獲得之成像效果。電子束控制器122包括用於開啟或關閉電子束120的遮沒(blanking)系統(通常被稱為遮沒)、用於使電子束120掃描工作件102的偏轉(deflection)系統(例如:在向量掃描模式或光柵掃描模式下)、以及在工作件102上達到所欲獲得之電子束120的分佈所需之任何其他帶電粒子束系統組件。在一些實施例中,遮沒系統包括至少一個遮沒器(blanker)以及至少一個孔徑(aperture)。舉例來說,遮沒器可包括由控制訊號(例如:電控制訊號)控制的靜電偏轉器(electrostatic deflector)(例如:一電極組),其中控制訊號與接收自DPU 106之圖案寫入指令相關聯。當靜電偏轉器通電時(例如:當在電極組上施加電壓時),遮沒器阻擋電子束120穿越並到達工作件102(例如:藉由電場橫掃(sweep)帶電粒子束使其離軸,直到帶電粒子束被下游孔徑攔截)。當靜電偏轉器未通電時,遮沒器允許電子束120穿過並曝光工作件102的一部分。在一些實施例中,遮沒系統可個別遮沒每道電子束120。在一些實施例中,偏轉系統包括在兩個正交(orthogonal)方向上磁性偏轉(例如:使用導電線圈)或靜電偏轉(例如:使用導電板)電子束120的掃描器,使得電子束120在工作件102的表面上掃描。在一些實施例中,偏轉系統可個別偏轉每道電子束120。在一些實施例中,偏轉系統包括格柵(grid)偏轉系統,被配置以偏轉電子束120,使其穿過曝光格柵的至少一個像素(pixel)。舉例來說,偏轉系統包括設置於成像組件124與工作件102之間的格柵偏轉系統,可個別偏轉每道電子束120,使得格柵偏轉系統可偏轉電子束120中的一道,至工作件102上所欲著陸的位置。在一些實施例中,電子束控制器122遮沒一部分的電子束120,使得格柵偏轉系統接收可被偏轉之電子束120的剩餘部分。在一些實施例中,遮沒系統及偏轉系統被整合為單一模組,被配置以遮沒或偏轉電子束120。
控制模組126與電子束微影系統100之多種組件整合並通訊耦接。於所繪實施例中,控制模組126通訊耦接至DPU 106及電子束控制器122。控制模組126基於接收自DPU 106的圖案寫入指令集,經由通訊鏈128發送電控制訊號至電子束控制器122,及/或經由通訊鏈128發送電控制訊號至格柵偏轉系統,使得電子束控制器122基於接收自控制模組126的電控制訊號,配置遮沒系統、偏轉系統以及其他組件。
載台(stage)130承載並固定工作件102,例如使用真空系統及/或其他合適之固定機構。於製程期間,載台130在各種方向上移動(由箭頭132所繪),使得電子束120入射在工作件102上之記錄介質104。載台130及電子束控制器122(特別是遮沒系統及偏轉系統)被配置以協調一致地使電子束120掃描工作件102。電子束120聚焦(例如:藉由成像組件124)於記錄介質104,使得目標圖案(例如:由IC設計佈局定義)在不使用標線片的情況下被直接寫入記錄介質104。在掃描工作件102後,執行顯影製程以移除記錄介質之曝光(或未曝光)部分,進而在記錄介質104上形成可被轉移到工作件102的圖案。舉例來說,圖案化之記錄介質104在後續蝕刻製程中被用作蝕刻遮罩、在離子佈植製程中被用作佈植遮罩、或在沉積製程中被用作遮罩,以形成工作件102之圖案化材料層。本揭露考量到電子束系統100可包括其他組件,例如校準(alignment)系統及/或準直管(collimator)。然而,如上所述,為了更好地理解本文所揭露之實施例,電子束系統100已被簡化。
電子束系統100可以執行向量掃描或光柵掃描。出於後續揭露之目的,電子束系統100被配置為光柵掃描系統(通常被稱為光柵寫入器(writer))。第2圖係根據本揭露多種態樣所繪,當光柵掃描被實施以執行電子束微影製程時,工作件(例如:工作件102)之俯視圖的簡化示意圖。於第2圖中,電子束系統(例如:電子束系統100)以複數之電子束120(統稱為電子束140)沿著一個掃描方向掃描工作件102。舉例來說,於所繪實施例中,電子束140沿著x方向掃描工作件102。工作件102被分割為複數個曝光區域(exposure field)150,每個曝光區域150定義了要藉由電子束140曝光之工作件102的一個區域。於電子束微影製程期間,IC圖案被轉移至工作件102上對應於曝光區域150的位置(區域)。在一些實施例中,每一個曝光區域150定義一個區域用於形成至少一個IC晶粒(die),這在整個工作件102上重複。在一些實施例中,曝光區域150定義了在不需要移動載台130的情況下,電子束140所能寫入的最大區域。在一些實施例中,曝光區域150更被分割為任何合適尺寸之曝光子區域152,其中曝光子區域152定義了曝光區域,在每次曝光期間電子束140將會被限制在這個曝光區域。
於光柵掃描期間,電子束系統100在工作件102上逐條掃描電子束140,根據由圖案寫入指令集(例如:由DPU 106產生)定義之曝光地圖的要求,遮沒及/或偏轉電子束140,使得整個工作件102被電子束140掃描及直接寫入。舉例來說,於所繪實施例中,工作件102沿著x方向在方向160上移動(經由載台130),且寫入指令(例如:遮沒指令及/或偏轉指令)控制電子束140將所欲獲得之IC圖案寫入每個曝光區域150。對於特定的曝光區域150,電子束系統100將帶電粒子束定位在特定的曝光區域150中,與曝光地圖中定義的每個像素對應的位置。當電子束140接近工作件102的邊緣時,載台130繼續移動,直到電子束140掃描超出工作件102之邊緣足夠的距離,以便於改變至方向162(例如:沿著y方向)到另一行(row)曝光區域150。工作件102接著在曝光期間沿著x方向的方向164(與方向160相反)移動,直到電子束140再度到達工作件102的邊緣,工作件102再以方向166移動(例如:沿著y方向),以將電子束140定位至另一行曝光區域150。電子束系統100可重複這個過程,直到所欲獲得之IC圖案被寫入定義在工作件102上的每個曝光區域150。為使說明清晰易懂,第2圖已被簡化以更好地理解本揭露之創造構思。
電子束系統100的解析度受限於鄰近效應(proximity effect),鄰近效應是由入射電子束140穿過記錄介質104時的電子散射所引起的,使得紀錄介質104之特定曝光區域所吸收的總曝光劑量偏離該特定曝光區域被定義的曝光劑量。舉例來說,記錄介質104之給定曝光區域整合了所有曝光它的電子能量─(1)直接入射電子束能量(被稱為「前向散射(forward scattered)」能量、入射劑量(incident dosage)、或前向劑量(forward dosage)),以及(2)反向散射電子束能量(被稱為「反向散射(backscattered)」能量或反向散射劑量),由朝向曝光區域的前向劑量及/或朝向相鄰曝光區域的前向劑量所產生。因此,給定曝光區域所吸收的總能量不僅是有意傳送到曝光區域之前向劑量的函數,同時也是無意地傳送到曝光區域之反向散射劑量的函數。這種現象已概述於美國專利No.4,463,265。
此外,由於反向散射能量隨著特定區域中被寫入記錄介質104之目標圖案的圖案密度而變化,因此給定曝光區域吸收的總能量也會隨圖案密度而變化。舉例來說,第3圖根據本揭露多種態樣,將記錄介質(recording medium)所吸收的能量描繪成寫入記錄介質的圖案區域的圖案密度的函數。於第3圖中,臨界能量劑量(DT )表示在記錄介質的曝光區域中引起化學變化所需之電子束能量的量,使得該曝光區域變得對顯影劑可溶(或不溶),而最大能量劑量(DMAX )(或所欲能量劑量)則表示在沒有反向散射劑量的情況下,在該曝光區域中引起化學變化以達到最大影像對比所需之電子束能量的量。由於反向散射隨著圖案密度而增加,因此當寫入密集圖案時記錄介質吸收的總能量,大於當使用相同輸入劑量寫入孤立圖案時記錄介質所吸收的總能量。舉例來說,對密集圖案而言,記錄介質吸收的總能量包括吸收自前向劑量FDENSE 的能量,以及吸收自反向劑量BDENSE 的能量,使得當使用密集輸入劑量DDENSE 曝光時,記錄介質吸收的總能量能達到最佳影像對比。與之相反,對孤立的圖案而言,記錄介質吸收的總能量包括吸收自前向劑量FISO 的能量,但不包括(或很少)來自反向劑量BISO ,使得當使用孤立輸入劑量DISO 曝光時,記錄介質吸收的總能量能達到最佳影像對比。通常而言,DISO 相當於光柵多電子束寫入器的DMAX 。對在曝光期間產生的反向散射能量小於密集圖案的半孤立(semi-isolated)圖案而言,記錄介質吸收的總能量包括吸收自前向劑量FSEMI 的能量,以及吸收自反向劑量BSEMI 之較少的能量(與吸收自反向劑量BDENSE 相比),使得當使用半孤立輸入劑量DSEMI 曝光時,記錄介質吸收的總能量能達到最佳影像對比,其中DSEMI 通常落在DISO 與DDENSE 之間(換句話說,DISO ≥ DSEMI ≤ DDENSE )。為了補償這種變化並確保記錄介質吸收的總能量對於所有圖案密度是相同的,鄰近效應校正(proximity effect correction, PEC)應用於具有較低圖案密度之圖案區域的前向劑量。
為了決定對應的PEC,由於反向散射而在記錄介質中被吸收之能量的相對量取決於反向散射比η:
Figure 02_image001
其中B為反向散射能量強度,η大於或等於零(η≥0),而Ib 為前向散射能量分佈及反向散射能量分佈的捲積(convolution)。最大反向散射能量強度出現在圖案密度為100%(D100)的圖案區域中,使得B可以由下式給出:
Figure 02_image003
其中F為前向劑量(能量)。為了優化DT 處的影像對比,調整前向散射能量可以調整前向劑量,如下所示:
Figure 02_image005
其中FPEC 是調整過的前向散射能量。因此,FPEC 由下式給出:
Figure 02_image007
若將DT 定義為完全孤立圖案(D0)之前向劑量的50%,則FPEC 由下式給出:
Figure 02_image009
對於密度為50%(D50)的圖案,FPEC 由下式給出:
Figure 02_image011
其中DT 由下式給出:
Figure 02_image013
因此,電子束系統100可被配置來調整FPEC 以達到DT ,以確保記錄介質對所有圖案密度(此處為孤立、半孤立以及密集圖案)都吸收相同的總能量。
然而,電子束系統100的有用性受限於它的生產量(與曝光整個工作件102所花費的時間量有關)。光柵掃描模式下電子束系統100的總寫入時間(total write time, TWT)大致由下式給出:
Figure 02_image015
其中DM 為特定記錄介質所需之最大曝光劑量,單位為微庫倫每平方公分(μC/cm2 ),JM 為可被提供給曝光機台之最大電流密度,單位為安培每平方公尺(A/m2 ),而t0 則是額外時間(overhead time)(舉例來說,包括與載台移動相關及/或與電子束漂移校正相關的時間)。因此,TWT與DM 成正比並與JM 成反比,其中電子束系統100的生產量很大程度上取決於DM 及JM ,並稍微取決於目標圖案的複雜性(例如:多邊形數量或多邊形形狀)。如上所述,由於曝光劑量隨著圖案密度的降低而增加,因此DM 等於DT 加上確保記錄介質對所有圖案密度吸收相同量之電子束能量所需的最大PEC。因此,隨著最大PEC增加,DM 跟著增加而生產量降低。下列討論提出了用於降低最大PEC的技術,進而減少DM 並增加生產量。
第4圖係根據本揭露多種態樣之微影方法200(例如:電子束微影方法)的流程圖。在一些實施例中,電子束系統100執行微影方法200以在形成有記錄介質(例如:記錄介質104)的工作件(例如:工作件102)上直接寫入目標圖案(例如:IC圖案),在一些實施例中,DPU 106及/或EXU 108執行微影方法200的多種步驟。微影方法200可由電子束系統100執行,以在改進生產量的情況下寫入IC圖案。舉例來說,藉由增加IC圖案的總圖案密度,微影方法200減少了寫入IC圖案所需的最大曝光劑量(特別是最大PEC劑量),在寫入IC圖案時,允許載台具有更快的載台掃描速度。為使說明清晰易懂,第4圖已被簡化以更好地理解本揭露之創造構思。附加步驟可添加於微影方法200的前、中、後,且在微影方法200的其他實施例中,一些所述步驟可被調整、替換或消除。
於操作210中,具有目標圖案的IC設計佈局被接收,舉例來說,以藉由微影系統(例如:電子束系統100)而被形成於工作件(例如:工作件102)上。在一些實施例中,DPU 106自設計公司(design house)接收IC設計佈局。IC設計佈局以具有目標圖案資訊的一或多個資料檔案(data file)的方式呈現。舉例來說,IC設計佈局以圖形資料庫系統檔案格式(Graphic Database System file format)(例如:GDS或GDSII)、開放式原圖系統交換標準檔案格式(Open Artwork System Interchange Standard file format)(例如:OASIS或OAS)或其他合適之檔案格式接收。 IC設計佈局包括基於要製造的IC產品的規格為IC產品設計的各種電路圖案(由幾何形狀表示)。電路圖案對應於在各種材料層(例如:金屬層、介電層及/或半導體層)中形成的幾何圖案,它們組合以形成IC產品的IC特徵,例如要在工作件102上製造的IC特徵。舉例來說,第5圖係根據本揭露多種態樣之IC設計佈局300的簡化示意圖。IC設計佈局300包括由表示IC特徵(亦稱作主要特徵)之多種幾何圖案定義的目標圖案。IC特徵構成IC裝置的一部分,IC裝置將被形成或定義於基板(例如:矽基板)及/或設置於基板上之各種材料層。IC特徵描繪主動區/特徵(active region/feature)、閘極特徵(例如:閘極電極)、源極區/特徵、汲極區/特徵、互連特徵(interconnection feature)(例如:接觸(contact)、通孔(via)及/或多層互連結構之金屬線)、銲墊(bonding pad)特徵、其他IC特徵或其組合。在一些實施例中,IC設計佈局300包括用於提供成像效果(imaging effect)、製程改善及/或識別資訊(identification information)的輔助特徵。於第5圖中,IC設計佈局300被分割為許多圖案區域302,每個圖案區域302可包括一或多個IC特徵或IC特徵的一或多個部分(儘管一些圖案區域可不包括IC特徵)。於所繪實施例中,圖案區域302被定義為具有基本相同之形狀、尺寸及/或區域,儘管本揭露已思及圖案區域302具有不同形狀、尺寸及/或區域的實施例。為使說明清晰易懂,第5圖已被簡化以更好地理解本揭露之創造構思。附加特徵可添加於IC設計佈局300,且在IC設計佈局300的附加實施例中,一些上述特徵可被調整、替換或消除。
再度回到第4圖,於操作220中,微影方法200判斷IC設計佈局之每個圖案區域(例如:IC設計佈局之每個圖案區域302)的圖案密度。舉例來說,第6圖係根據本揭露多種態樣之IC設計佈局300之範例性圖案區域302A的簡化示意圖。圖案區域302A包括IC特徵304及背景區域306,其中背景區域306是圖案區域302A的一部分,它沒有IC特徵、虛擬特徵及/或任何其他圖案特徵。圖案區域302A之圖案密度(PD)定義為:
Figure 02_image017
其中AT 是主要特徵的總面積(換句話說,圖案區域302A中目標圖案之所有IC特徵的總面積)、AD 是虛擬特徵的總面積(換句話說,圖案區域302A中虛擬圖案之所有虛擬特徵的總面積)、AO 是任何其他圖案特徵的總面積(換句話說,圖案區域302A中所有其他特徵的總面積)、而AP 則是圖案區域302A的總面積。於所繪實施例中,假設圖案區域302A具有大約10μm(微米)的長度及寬度,且IC特徵304(位於圖案區域302A中之目標圖案的唯一特徵)具有大約8μm的長度和大約2.5μm的寬度,則圖案區域302A的總圖案密度約為0.2(或20%):
Figure 02_image019
因此,圖案區域302A被稱為孤立圖案,這通常是指總圖案密度小於約0.25(例如:約0.1至約0.2)的圖案區域。在一些實施例中,總圖案密度大於或等於約0.25(或25%)且小於約0.4(或40%)的圖案區域可被稱作半孤立圖案,而總圖案密度大於或等於約0.40(或40%)(例如:約0.4至約0.5)的圖案區域可被稱作密集圖案。在一些實施例中,孤立圖案、半孤立圖案、及/或密集圖案的定義,是用不同的總圖案密度為之。
於操作230中,虛擬特徵被插入(insert)圖案密度小於臨界圖案密度(PDTH )的任何圖案區域,進而產生用於IC設計佈局的虛擬圖案。對給定的圖案區域,插入的虛擬特徵被配置以增加給定之圖案區域的圖案密度,使得圖案密度大於或等於PDTH 。PDTH 是需要PEC位準的圖案密度,電子束系統可以在不超過電子束系統之最大曝光劑量限制的同時實施PEC位準,同時使生產量最大化。在一些實施例中,PDTH 為25%,其中圖案密度小於25%需要PEC位準,這需要電子束系統實施超過電子束系統之最大劑量極限的DM (將由DT 加上圖案密度小於25%所需之最大PEC給定)。於本揭露中,將虛擬特徵插入具有孤立圖案之圖案區域中,增加圖案密度(例如:PDTH ),進而增加寫入期間記錄介質所吸收的反向散射能量,並減少寫入孤立圖案所需之曝光能量。舉例來說,將圖案區域之圖案密度自0%(PD0)增加至50%(PD50) 會降低圖案區域的曝光劑量─特別是從D0到D50。將虛擬特徵插入具有孤立圖案之圖案區域會減少電子束系統曝光該圖案區域所需的最大PEC劑量,使得當寫入IC設計佈局300之目標圖案及虛擬圖案時,使所有圖案區域302達成吸收相同量之電子束能量所需的最大PEC劑量,會小於當僅寫入IC設計佈局300之目標圖案時,使所有圖案區域302達成吸收相同量之電子束能量所需的最大PEC劑量(換句話說,當寫入IC設計佈局300時,電子束系統實施所需的最大PEC劑量,自寫入具有IC設計佈局300之最低圖案密度的圖案所需的PEC,降低至寫入具有臨界圖案密度的圖案所需的PEC,其中臨界圖案密度大於最低圖案密度)。因此,當IC設計佈局300包括虛擬圖案時,可以增加電子束系統100的掃描速度,其中虛擬圖案確保每個圖案區域具有大於或等於PDTH 之圖案密度。
在一些實施例中,同時短暫地回到第3圖,電子束系統可被配置以提供前向劑量FFIX 加上達到DT 所需之任何PEC及/或用於達成給定圖案之最佳影像對比的劑量。因為密集圖案會吸收前向散射能量及反向散射能量,且通常密集輸入劑量DDENSE 不需要PEC以達到DT 及/或達成最佳影像對比的劑量(換句話說,PECDENSE =0),因此密集輸入劑量DDENSE 可給定為:
Figure 02_image021
Figure 02_image023
Figure 02_image025
因此,為了在形成包括不同密度圖案(孤立圖案、密集圖案及半孤立圖案)之IC圖案時最大化寫入速度,FFIX =FDENSE ,而用於半孤立圖案及孤立圖案之PEC可基於前向劑量FFIX 決定,如下所示:
Figure 02_image027
Figure 02_image029
因為半孤立圖案會吸收前向散射能量及反向散射能量(通常小於密集圖案所吸收的反向散射能量),而孤立圖案會吸收前向散射能量,因此達到DT 及/或達成最佳影像對比之劑量的半孤立輸入劑量DSEMI 及孤立輸入劑量DISO 可由下式給定:
Figure 02_image031
Figure 02_image033
Figure 02_image035
使得電子束系統100將PECSEMI 應用於FFIX 及BSEMI 以達到DSEMI ,並將PECISO 應用於FFIX 以達到DISO 。考慮到圖案區域302A,其中PDTH 為25%且PD為20%,圖案區域302A具有小於PDTH 的圖案密度(換句話說,PD>PDTH ),且可被分類為孤立圖案,使得DISO = FISO = FFIX + PECISO 。第6B圖根據本揭露多種態樣,描繪了當利用第6A圖所繪之圖案沿著線段B-B寫入時由記錄介質所吸收的能量。然而,如上所述,達到DISO 所需之PECISO 可能需要超過電子束系統之最大暴露劑量限制的DM 及/或降低生產量的DM
因此,本揭露將虛擬圖案插入至IC設計佈局300中以增加圖案密度,進而將達到DT 所需之最大PEC限制為用於PDTH 的PEC。在一些實施例中,電子束系統的DM 被限制於FFIX 加上用於PDTH 之PEC劑量,其中用於PDTH 之PEC小於PECISO 。再度回到第4圖,微影方法200持續將一或多個虛擬特徵插入圖案區域302A。舉例來說,於第7A圖至第10A圖中,圖案區域302A已用虛擬圖案調整過,這些虛擬圖案將圖案區域302A的圖案密度增加到大於或等於PDTH (此處為25%)。第7A圖至第10A圖係根據本揭露多種態樣之圖案區域302A在插入虛擬圖案後的簡化示意圖。第7B圖至第10B圖係根據本揭露多種態樣,描繪當沿著線段B-B寫入第7A圖至第10A圖所繪之圖案(此處包括主要圖案及虛擬圖案)時,記錄介質所吸收的能量。
於第7A圖中,虛擬圖案包括虛擬特徵310,虛擬特徵310為全尺寸輔助特徵(full size assistant features, FSAFs),在圖案區域302A中達到半孤立圖案密度,使得圖案區域302A的圖案(包括目標圖案及虛擬圖案)可被稱作半孤立圖案。FSAFs是具有大於微影系統(例如:電子束系統)解析度之尺寸(例如:長度及/或寬度)的特徵。因此,FSAFs是可印刷(printable)的特徵,這表示在電子束微影製程期間,虛擬特徵310將被寫入記錄介質。於所繪實施例中,虛擬特徵310之尺寸基本上與IC特徵304相同(例如:具有相同的長度及相同的寬度)。在一些實施例中,虛擬特徵310的尺寸及/或形狀不同於IC特徵304的尺寸及/或形狀。在一些實施例中,虛擬特徵310相對於IC特徵304朝向與所繪方向不同的方向。來到第7B圖,因為虛擬特徵310於電子束微影製程期間貢獻反向散射能量給IC特徵304,因此當寫入基於修改後之IC設計佈局300(結合虛擬特徵310)的IC特徵304時,用於達成最佳影像對比的輸入劑量是基於半孤立圖案的特性(characteristics)來決定,而不是基於孤立圖案的特性來決定,使得第7A圖及第7B圖中修改後之IC設計佈局300的輸入劑量DSEMI 取決於前向劑量FSEMI 及反向劑量BSEMI (換句話說,DSEMI = FSEMI + BSEMI = (FFIX + PECSEMI ) + BSEMI )。因為應用PECSEMI 以達到DSEMI 而非應用PECISO ,因此由電子束系統所提供,用於寫入基於修改後之IC設計佈局300(結合虛擬特徵310)的IC特徵304的總曝光劑量(此處為FSEMI = FFIX + PECSEMI ),小於由電子束系統所提供,用於寫入基於接收自操作210之IC設計佈局300的IC特徵304的總曝光劑量(此處為FISO = FFIX + PECISO ,其中PECISO > PECSEMI )。
於第8A圖中,虛擬圖案包括虛擬特徵312的陣列,虛擬特徵312為次解析度輔助特徵(sub-resolution assistant features, SRAFs),在圖案區域302A中達到密集圖案密度,使得圖案區域302A的圖案(包括目標圖案及虛擬圖案)可被稱作密集圖案。SRAFs是具有小於微影系統(例如:電子束系統)解析度之尺寸(例如:長度及/或寬度)的特徵。因此,SRAFs是不可印刷(non-printable)的特徵,這表示在電子束微影製程期間,虛擬特徵312將不會被寫入記錄介質。在一些實施例中,SRAFs具有小於或等於約6nm(例如:約1nm)的尺寸。於所繪實施例中,虛擬特徵312具有基本相同的尺寸,且具有小於電子束系統100之解析度的長度及/或寬度。在一些實施例中,虛擬特徵312具有相對於IC特徵304不同尺寸、形狀及/或指向。來到第8B圖,因為虛擬特徵312於電子束微影製程期間貢獻反向散射能量給IC特徵304,因此當寫入基於修改後之IC設計佈局300(結合虛擬特徵312)的IC特徵304時,用於達成最佳影像對比的輸入劑量是基於密集圖案的特性來決定,而不是基於孤立圖案的特性來決定,使得第8A圖及第8B圖中修改後之IC設計佈局300的輸入劑量DDENSE 取決於前向劑量FDENSE 及反向劑量BDENSE (換句話說,DDENSE = FDENSE + BDENSE = (FFIX + PECDENSE ) + BDENSE )。因為應用PECDENSE (在一些實施例中可能為0)以達到DDENSE 而非應用PECISO ,因此由電子束系統所提供,用於寫入基於修改後之IC設計佈局300(結合虛擬特徵312)的IC特徵304的總曝光劑量(此處為FDENSE = FFIX + PECDENSE ),小於由電子束系統所提供,用於寫入基於接收自操作210之IC設計佈局300的IC特徵304的總曝光劑量(此處為FISO = FFIX + PECISO ,其中PECISO > PECDENSE )。
於第9A圖及第10A圖中,虛擬圖案分別包括虛擬特徵314及虛擬特徵316,虛擬特徵314及虛擬特徵316為低於臨界輔助特徵(under-threshold assistant features, UTAFs)。UTAFs是被分配(assigned)曝光劑量小於DT 的特徵。舉例來說,虛擬特徵314及虛擬特徵316被分配曝光不足(underexposure)劑量(DU ),曝光不足劑量(DU )為DT 的75%(換句話說,DU = 0.75 x DT )。UTAFs為不可印刷特徵,可在寫入IC特徵304時貢獻反向散射能量。於第9A圖中,虛擬特徵314相對於IC特徵304定位,使得間隙(gap)318(亦稱為間隔(space))被設置於虛擬特徵314與IC特徵304之間。間隙318可以限定IC特徵304周圍的區域以確保足夠的影像對比。於第10A圖中,虛擬特徵316與IC特徵304之間不存在間隙,使得虛擬特徵316可能與IC特徵304部分重疊(overlap)。來到第9B圖,因為虛擬特徵314於電子束微影製程期間貢獻反向散射能量給IC特徵304,因此當基於修改後之IC設計佈局300(結合虛擬特徵314)寫入IC特徵304時,被應用到前向曝光劑量F以達到DT 的PEC,小於當基於接收自操作210之IC設計佈局300寫入IC特徵304時,被應用到前向曝光劑量F以達到DT 的PEC。相似地,來到第10B圖,因為虛擬特徵316於電子束微影製程期間貢獻反向散射能量給IC特徵304,因此當基於修改後之IC設計佈局300(結合虛擬特徵316)寫入IC特徵304時,被應用到前向曝光劑量F以達到DT 的PEC,小於當基於接收自操作210之IC設計佈局300寫入IC特徵304時,被應用到前向曝光劑量F以達到DT 的PEC。
於操作240中,基於具有目標圖案及虛擬圖案的IC設計佈局產生曝光地圖(佈局)。在一些實施例中,為IC設計佈局300的每一圖案區域302(例如:圖案區域302A)產生曝光地圖。第11圖係根據本揭露多種態樣之曝光地圖320的簡化示意圖。曝光地圖320包括由修改後之IC設計佈局300的圖案區域302A所定義的目標圖案(此處為IC特徵304)以及虛擬圖案(此處為虛擬特徵310)。在一些實施例中,由DPU 106產生之圖案寫入指令集定義曝光地圖320。曝光地圖320包括曝光格柵330,曝光格柵330定義用於將目標圖案及虛擬圖案寫入工作件(例如:工作件102)上的曝光條件。舉例來說,曝光格柵330包括以具有行及列之格柵圖案布置的2D (two-dimensional, 2維)像素陣列,其中每個像素具有曝光劑量(每單位面積沉積(deposit)之能量的量)及位置地址(對應於其在曝光格柵330內的坐標)。2D像素陣列的每個像素具有一個尺寸,例如由對應之長度及對應之寬度定義的面積。於所繪實施例中,像素具有相同之形狀及/或尺寸,儘管本揭露已思及像素具有不同之形狀及/或尺寸的實施例。在一些實施例中,每個像素代表能量束(例如:電子束140)所能達到的最小解析度。在一些實施例中,像素在笛卡爾坐標系統(Cartesian coordinate system)中表示為點或正方形。
在一些實施例中,於微影製程期間,電子束系統100基於曝光格柵330掃描每個曝光區域150,使得IC設計佈局300之圖案區域302A所定義的目標圖案及虛擬圖案被轉移到每個曝光區域150(如第2圖所示)。為了將目標圖案及虛擬圖案轉移到曝光區域(例如:曝光區域150)上,像素被指定為暗像素或亮像素。於所繪實施例中,所定義之IC特徵及所定義之虛擬特徵的周邊(perimeter)之外的像素,被指定為暗像素335,而所定義之IC特徵及所定義之虛擬特徵的周邊之內的像素,則被指定為亮像素340。暗像素335被分配曝光劑量D1,而亮像素340則被分配曝光劑量D2,其中曝光劑量D2大於曝光劑量D1。在一些實施例中,曝光劑量D1是微影系統所能達到的最低強度(例如:可藉由電子束系統100遮沒電子束140來達到),而曝光劑量D2則是微影系統所能達到的最高強度(例如:可藉由電子束系統100不遮沒電子束140來達到)。在一些實施例中,曝光劑量D1是預定義(pre-defined)之曝光強度,而曝光劑量D2則是大於預定義之曝光強度的任何曝光強度。在一些實施例中,曝光格柵330的像素被分配介於最小曝光劑量(例如:0%)與最大曝光劑量(例如:100%)之間的曝光劑量(例如,灰階(gray level))。在一些實施例中,最大曝光劑量等於DT 加上具有PDTH 之圖案區域所需的PEC,其中曝光劑量D2為最大曝光劑量。於此等實施例中,最大曝光劑量小於接收自操作210之IC設計佈局300所需的最大曝光劑量,其中接收自操作210之IC設計佈局300包括圖案密度小於PDTH 的圖案區域。在一些實施例中,DT 是寫入密集圖案所需之前向曝光劑量。
為每個像素產生遮沒指令(例如:由DPU 106為之),使得EXU 108可達成每個像素之分配曝光劑量(此處為曝光劑量D1或曝光劑量D2)。遮沒指令具體指定能量束(例如:電子束140)是否遮沒(關閉(turned off))或不遮沒(開啟(turned on))。於所繪實施例中,暗像素335被分配OFF遮沒指令,而亮像素340則被分配ON遮沒指令。在一些實施例中,為每個像素產生偏轉指令(例如:由DPU 106為之),例如ON偏轉指令或OFF偏轉指令。在一些實施例中,暗像素335及/或亮像素340可被分配ON遮沒指令及ON偏轉指令。通常,電子束系統100執行由曝光地圖320定義的遮沒指令及偏轉指令,以逐個像素地掃描每個曝光區域150,當曝光工作件102到暗像素335時,遮沒電子束140,而當曝光工作件102到亮像素340時,則不遮沒帶電粒子束140(電子束140),進而將修改後之IC設計佈局300的圖案區域302A所定義的目標圖案及虛擬圖案轉移到工作件102的每個曝光區域150。
再度回到第4圖,微影方法200可繼續進入操作250,其中微影製程基於曝光地圖而執行。舉例來說,電子束系統100在工作件102上執行如本文所述之電子束曝光製程,以使用電子束在記錄介質104上寫入目標圖案(舉例來說,包括IC特徵304)及虛擬圖案(舉例來說,包括虛擬特徵310、虛擬特徵312、虛擬特徵314及/或虛擬特徵316)。上述寫入操作執行自DT 到最大曝光劑量(換句話說,DT 加上用於PDTH (此處為25%)的PEC)的曝光劑量。因為藉由執行本文所揭露之技術可減少最大曝光劑量(特別是從DT 加上用於0%PD(或20%PD)之PEC到DT 加上用於25%之PEC),因此可增加電子束微影系統的掃瞄速度,進而在維持關鍵尺寸(critical dimension)及重疊控制(overlay control)的同時改善生產量。
第12圖係根據本揭露多種態樣之IC設計佈局400的簡化示意圖,其中IC設計佈局400如同經歷了藉由電子束微影系統達成之增加生產量的方法,如同上文參照第1圖至第11圖所述。 IC設計佈局400包括用於IC裝置之通孔層的主要圖案(或目標圖案)。主要圖案包括通孔特徵410的陣列。在一些實施例中,將包括次解析度特徵420之陣列的虛擬圖案插入IC設計佈局400中,其中次解析度特徵420相應於通孔特徵410排列。因為次解析度特徵420的間距可沿著次解析度特徵420的給定行變化,因此沿著給定行最靠近通孔特徵410的次解析度特徵420可以表現出與這些通孔特徵410具有不同間距,例如間距S1、S2及S3,其中S2小於S1及S3,且S1小於S3。在一些實施例中,將包括低於臨界輔助特徵430之陣列的虛擬圖案插入IC設計佈局400中,其中低於臨界輔助特徵430相應於通孔特徵410排列。這導致通孔特徵410與低於臨界輔助特徵430之間相同的間隔,例如間隔S4、S5及S6,其中S4 = S5 = S6。在一些實施例中,維持主要圖案與虛擬圖案之間相同的間隔,可能是達成所欲獲得之影像對比的關鍵。於此等實施例中,低於臨界輔助特徵可提供較好的均勻性(uniformity)。
在其他實施例中,其中IC設計佈局包括用於具有非常低圖案密度(例如:小於10%)之通孔層的主要圖案,本揭露進一步提出以反向製程(reverse process)反轉(reverse)IC設計佈局以達成較高的生產量。舉例來說,使用正光阻(positive resist)寫入稀疏的通孔佈局導致非常低的PD(例如:PD = 10%),這需要較大的PEC以基於通孔佈局寫入通孔圖案,這樣會降低電子束系統的生產量。如上述所提出的,當寫入稀疏的佈局時,以負光阻(negative resist)實施以反轉PD(例如:PD = 90%而非10%),使得寫入通孔圖案所需之PEC的量變為最少,這能顯著地增加電子束系統的生產量。
在一些實施例中,電子束微影系統100包括具有處理器之電腦、記憶體以及輸入輸出介面(I/O interface)。上述電腦可耦接至DPU 106、EXU 108、DPU 106及/或EXU 108的多種組件(例如:電子束源114)、載台130、IC資料庫(在一些實施例中,IC資料庫儲存電腦檔案,例如IC設計佈局的GDS檔案及/或OASIS檔案)、及/或其他組件,以執行本文所述之一或多個操作。用於本揭露之電腦可讀取媒體(computer readable media)的一些常見形式可包括,舉例來說,軟磁碟(floppy disk)、軟性磁碟(flexible disk)、硬碟(hard disk)、磁帶(magnetic tape)、任何其他磁性媒介、唯讀光碟(CD-ROM)、任何其他光學媒介、打孔卡片(punch card)、紙帶(paper tape)、任何其他具有孔洞圖案之實體媒介、隨機存取記憶體(RAM)、可程式化唯讀記憶體(PROM)、可抹除可程式化唯讀記憶體(EPROM)、快閃可抹除可程式化唯讀記憶體(FLASH-EPROM)、任何其他記憶晶片或記憶匣(cartridge)、載波(carrier wave)、或適於電腦讀取之任何其他媒體。這些媒體可用於儲存及/或執行本文所討論之實施例,包括第1圖至第12圖所述之實施例。此外,在一些實施例中,提供了一種設備,包括電腦可讀取媒體,儲存由至少一個電腦處理器執行之複數指令。上述指令用於執行本文所述之操作,例如第1圖至第12圖中的操作。
本揭露提供多種實施例。本文揭露微影系統及微影方法以改進生產量。儘管本揭露描述了參照電子束系統之生產量提高技術,但本揭露亦思及藉由以格柵模式操作之任何微影系統,實施本文所述之生產量提高技術。作為一個非限制性範例,生產量提高技術可藉由以格柵模式操作之光子束(photon beam)、離子束(ion beam)及/或雷射束(laser beam)微影系統來實施。
本揭露實施例提供一種用於增加生產量的範例性方法,藉由電子束微影系統來達成。上述方法包括接收一積體電路(IC)設計佈局,上述IC設計佈局包括一目標圖案,其中電子束微影系統可執行第一曝光劑量,以基於上述IC設計佈局在工作件上形成上述目標圖案。上述方法更包括插入一虛擬圖案至上述IC設計佈局中,以將上述IC設計佈局之圖案密度增加至大於或等於一臨界圖案密度,進而產生一修改後IC設計佈局。電子束微影系統可執行第二曝光劑量,以基於上述修改後IC設計佈局在工作件上形成上述目標圖案,其中第二曝光劑量小於第一曝光劑量。在一些實施例中,上述方法更包括基於上述修改後IC設計佈局使用電子束將上述目標圖案寫入能量敏感層,其中上述寫入操作以第二曝光劑量執行。
在一些實施例中,上述臨界圖案密度為25%。在一些實施例中,對上述虛擬圖案的插入包括增加一全尺寸輔助特徵至上述IC設計佈局、增加一次解析度輔助特徵至上述IC設計佈局、及/或增加一低於臨界輔助特徵至上述IC設計佈局。在一些實施例中,上述低於臨界輔助特徵被增加至上述IC設計佈局,使得上述目標圖案與上述低於臨界輔助特徵之間存在一間隙。在一些實施例中,上述低於臨界輔助特徵被增加至上述IC設計佈局,使得上述目標圖案與上述低於臨界輔助特徵之間不存在間隙。在一些實施例中,當上述IC設計佈局的圖案密度大於或等於上述臨界圖案密度時,上述方法更包括基於上述IC設計佈局,使用電子束以第一曝光劑量將上述目標圖案寫入電子束敏感層。在一些實施例中,上述臨界圖案密度為需要一鄰近效應校正位準的圖案密度,上述鄰近效應校正位準由電子束微影系統在不超過電子束微影系統之最大曝光劑量極限的情況下執行。
本揭露實施例提供另一種用於增加生產量的範例性方法,藉由電子束微影系統來達成。上述方法包括接收具有一目標圖案的一積體電路(IC)設計佈局;判斷上述IC設計佈局之複數圖案區域的圖案密度;以及插入一虛擬特徵至圖案密度小於一臨界圖案密度的任何圖案區域,進而為上述IC設計佈局產生一虛擬圖案。在一些實施例中,上述方法更包括基於上述IC設計佈局產生一曝光地圖,其中上述IC設計佈局具有上述目標圖案及上述虛擬圖案。在一些實施例中,上述方法更包括基於上述曝光地圖執行電子束微影製程。
在一些實施例中,電子束微影製程執行小於第二最大曝光劑量的第一最大曝光劑量,其中當基於產生自僅具有上述目標圖案之IC設計佈局的曝光地圖而執行電子束微影製程時,所執行之劑量即為第二最大曝光劑量。在一些實施例中,第一最大曝光劑量包括一臨界曝光劑量及用於臨界圖案密度之一鄰近效應校正劑量。在一些實施例中,上述目標圖案包括通孔特徵陣列,且上述對上述虛擬特徵的插入包括插入與通孔特徵陣列相應的次解析度輔助特徵陣列。在一些實施例中,每個通孔特徵由一組次解析度輔助特徵陣列根據一相應間隔圍繞。在一些實施例中,上述目標圖案包括通孔特徵陣列,且上述對上述虛擬特徵的插入包括插入與通孔特徵陣列相應的低於臨界輔助特徵。在一些實施例中,每個通孔特徵與低於臨界輔助特徵之間的間隔是相同的。
本揭露實施例再提供另一種用於增加生產量的範例性方法,藉由帶電粒子束微影系統來達成。上述方法包括接收一積體電路(IC)設計佈局,上述IC設計佈局包括一目標圖案。當上述IC設計佈局之圖案密度大於或等於臨界圖案密度時,上述方法包括基於上述IC設計佈局產生曝光地圖。當上述IC設計佈局之圖案密度小於臨界圖案密度時,上述方法包括插入一虛擬圖案至上述IC設計佈局中,進而產生一修改後IC設計佈局,以及基於上述修改後IC設計佈局產生曝光地圖。在一些實施例中,當上述IC設計佈局之圖案密度大於或等於臨界圖案密度時,上述方法更包括格柵掃描工作件,以根據基於上述IC設計佈局之曝光地圖將上述目標圖案寫入能量敏感層。在一些實施例中,當上述IC設計佈局之圖案密度小於臨界圖案密度時,上述方法更包括格柵掃描工作件,以根據基於上述修改後IC設計佈局之曝光地圖將上述目標圖案寫入能量敏感層。
本揭露實施例提供一種用於電子束微影的範例性方法。上述方法包括接收一基板,其中上述基板上設置有能量敏感層,上述方法亦包括使用電子束將一目標圖案及一虛擬圖案寫入能量敏感層。上述之寫入操作執行之曝光劑量在臨界劑量至最大曝光劑量之間變化。最大曝光劑量為臨界劑量加上用於臨界圖案密度的一臨界效應校正劑量。在一些實施例中,上述方法更包括在上述寫入操作後顯影能量敏感層,其中被顯影後的能量敏感層包括上述目標圖案。
本揭露被設計為在任何架構(architecture)下運作。舉例來說,本文所述之電子束系統及方法可在單一電腦、區域網路(local area network)、主從式網路(client-server network)、廣域網路(wide area network)、網際網路(internet)、手持(hand-held)及其他可攜式(portable)與無線裝置及網際網路上執行。這種架構可採取下列形式:完全硬體實施例、完全軟體實施例、或同時包含硬體及軟體元件之實施例。硬體通常至少包括支持處理器的平台,例如客戶機(client-machine)(亦稱為個人電腦或處理器)、以及手持處理裝置(例如:智慧型手機(smart phone)、個人數位助理(personal digital assistant, PDA)、或個人計算裝置(personal computing device, PCD),聊舉為例)。硬體可包括能夠儲存機械可讀取指令(machine-readable instruction)之任何實體裝置,例如記憶體或其他資料儲存裝置。硬體的其他形式包括硬體子系統,包括傳送裝置(transfer device),例如數據機(modem)、數據機卡(modem card)、埠(port)、埠卡(port card),聊舉為例。軟體通常包括儲存於任何記憶體媒體(例如:RAM或ROM)的任何機器碼(machine code),以及儲存於其他裝置(例如:軟式磁碟、快閃記憶體、或是CD-ROM,聊舉為例)的機器碼。舉例來說,軟體可包括原始碼(source code)或目標碼(object code)。此外,軟體包含任何可在客戶機及伺服器被執行的指令集。
前述內文概述多項實施例或範例之特徵,如此可使於本技術領域中具有通常知識者更佳地瞭解本揭露之態樣。本技術領域中具有通常知識者應當理解他們可輕易地以本揭露為基礎設計或修改其他製程及結構,以完成相同之目的及/或達到與本文介紹之實施例或範例相同之優點。本技術領域中具有通常知識者亦需理解,這些等效結構並未脫離本揭露之精神及範圍,且在不脫離本揭露之精神及範圍之情況下,可對本揭露進行各種改變、置換以及變更。
100:電子束系統 102:工作件 104:記錄介質 106:DPU 108:EXU 110:通訊鏈 112:記憶體 114:電子束源 116:電子束 118:多電子束機構 120:電子束 122:電子束控制器 124:成像組件 126:控制模組 128:通訊鏈 130:載台 132:箭頭 140:電子束 150:曝光區域 152:曝光子區域 160-166:方向 DMAX:最大能量劑量 DT:臨界能量劑量 DISO:孤立輸入劑量 PECISO:鄰近效應校正 FISO:前向劑量 FFIX:前向劑量 DSEMI:半孤立輸入劑量 PECSEMI:鄰近效應校正 FSEMI:前向劑量 BSEMI:反向劑量 DDENSE:密集輸入劑量 FDENSE:前向劑量 BDENSE:反向劑量 PECDENSE:鄰近效應校正 200:微影方法 210-250:方塊 300:IC設計佈局 302:圖案區域 302A:圖案區域 304:IC特徵 306:背景區域 B-B:線段 310-314:虛擬特徵 318:間隙 DU:曝光不足劑量 PEC:鄰近效應校正 F:前向劑量 B:反向散射能量強度 316:虛擬特徵 320:曝光地圖 330:曝光格柵 335:暗像素 340:亮像素 D1-D2:曝光劑量 400:IC設計佈局 410:通孔特徵 420:次解析度特徵 430:低於臨界輔助特徵 S1-S3:間距 S4-S6:間隔
本揭露從後續實施方式及附圖可更佳理解。須強調的是,依據產業之標準作法,各種特徵並未按比例繪製,並僅用於說明之目的。事實上,各種特徵之尺寸可能任意增加或減少以清楚論述。 第1圖係根據本揭露多種態樣之電子束系統的簡化示意圖。 第2圖係根據本揭露多種態樣之當光柵掃描(raster scanning)被實施以執行電子束微影製程(例如:由第1圖之電子束系統執行之製程)時的工作件的簡化俯視圖。 第3圖根據本揭露多種態樣描繪了由記錄介質(recording medium)吸收的能量作為寫入記錄介質的圖案區域的圖案密度的函數。 第4圖係根據本揭露多種態樣之可由微影系統(例如:第1圖之電子束系統)執行之微影方法的流程圖。 第5圖係根據本揭露多種態樣之IC設計佈局的簡化示意圖。 第6A圖係根據本揭露多種態樣之IC設計佈局之範例性圖案區域的簡化示意圖。 第6B圖根據本揭露多種態樣描繪了當以第6A圖所繪之圖案沿著線段B-B寫入時,由紀錄介質所吸收的能量。 第7A圖係根據本揭露多種態樣之在插入多種虛擬特徵後的IC設計佈局之圖案區域的簡化示意圖。 第7B圖根據本揭露多種態樣描繪了當以第7A圖所繪之圖案(舉例來說,包括主要圖案及虛擬圖案)沿著線段B-B寫入時,由紀錄介質所吸收的能量。 第8A圖係根據本揭露多種態樣之在插入多種虛擬特徵後的IC設計佈局之圖案區域的簡化示意圖。 第8B圖根據本揭露多種態樣描繪了當以第8A圖所繪之圖案(舉例來說,包括主要圖案及虛擬圖案)沿著線段B-B寫入時,由紀錄介質所吸收的能量。 第9A圖係根據本揭露多種態樣之在插入多種虛擬特徵後的IC設計佈局之圖案區域的簡化示意圖。 第9B圖根據本揭露多種態樣描繪了當以第9A圖所繪之圖案(舉例來說,包括主要圖案及虛擬圖案)沿著線段B-B寫入時,由紀錄介質所吸收的能量。 第10A圖係根據本揭露多種態樣之在插入多種虛擬特徵後的IC設計佈局之圖案區域的簡化示意圖。 第10B圖根據本揭露多種態樣描繪了當以第10A圖所繪之圖案(舉例來說,包括主要圖案及虛擬圖案)沿著線段B-B寫入時,由紀錄介質所吸收的能量。 第11圖係根據本揭露多種態樣之曝光地圖的簡化示意圖。 第12圖係根據本揭露多種態樣之IC設計佈局的簡化示意圖,如同它經歷了以電子束微影系統實現之增加生產量的方法。
DMAX:最大能量劑量
DT:臨界能量劑量
DISO:孤立輸入劑量
PECISO:鄰近效應校正
FISO:前向劑量
FFIX:前向劑量
DSEMI:半孤立輸入劑量
PECSEMI:鄰近效應校正
FSEMI:前向劑量
BSEMI:反向劑量
DDENSE:密集輸入劑量
FDENSE:前向劑量
BDENSE:反向劑量
PECDENSE:鄰近效應校正

Claims (20)

  1. 一種增加生產量的方法,藉由一電子束微影系統達成,上述方法包括: 接收一積體電路(IC)設計佈局,上述積體電路設計佈局包括一目標圖案,其中上述電子束微影系統執行一第一曝光劑量,以基於上述積體電路設計佈局在一工作件上形成上述目標圖案;以及 插入一虛擬圖案至上述積體電路設計佈局中,以將上述積體電路設計佈局的一圖案密度增加到大於或等於一臨界圖案密度,進而產生一修改後積體電路設計佈局,其中上述電子束微影系統執行一第二曝光劑量,以基於上述修改後積體電路設計佈局在上述工作件上形成上述目標圖案,其中上述第二曝光劑量小於上述第一曝光劑量。
  2. 如申請專利範圍第1項所述之增加生產量的方法,更包括一寫入操作,上述寫入操作基於上述修改後積體電路設計佈局使用一電子束將上述目標圖案寫入一能量敏感層,其中上述寫入操作以上述第二曝光劑量執行。
  3. 如申請專利範圍第1項所述之增加生產量的方法,更包括當上述積體電路設計佈局的上述圖案密度大於或等於上述臨界圖案密度時,基於上述積體電路設計佈局使用一電子束以上述第一曝光劑量將上述目標圖案寫入一電子束敏感層。
  4. 如申請專利範圍第1項所述之增加生產量的方法,其中上述對上述虛擬圖案的插入包括增加一全尺寸輔助特徵至上述積體電路設計佈局。
  5. 如申請專利範圍第1項所述之增加生產量的方法,其中上述對上述虛擬圖案的插入包括增加一次解析度輔助特徵至上述積體電路設計佈局。
  6. 如申請專利範圍第1項所述之增加生產量的方法,其中上述對上述虛擬圖案的插入包括增加一低於臨界輔助特徵至上述積體電路設計佈局。
  7. 如申請專利範圍第6項所述之增加生產量的方法,其中上述低於臨界輔助特徵被增加至上述積體電路設計佈局,使得上述目標圖案與上述低於臨界輔助特徵之間存在一間隙。
  8. 如申請專利範圍第6項所述之增加生產量的方法,其中上述低於臨界輔助特徵被增加至上述積體電路設計佈局,使得上述目標圖案與上述低於臨界輔助特徵之間不存在間隙。
  9. 如申請專利範圍第1項所述之增加生產量的方法,其中上述臨界圖案密度為需要一鄰近效應校正位準的圖案密度,上述鄰近效應校正位準由上述電子束微影系統在不超過上述電子束微影系統之一最大曝光劑量極限的情況下執行。
  10. 一種增加生產量的方法,藉由一電子束微影系統達成,上述方法包括: 接收具有一目標圖案的一積體電路(IC)設計佈局; 判斷上述積體電路設計佈局之複數圖案區域的圖案密度;以及 插入一虛擬特徵至圖案密度小於一臨界圖案密度的任何圖案區域,進而為上述積體電路設計佈局產生一虛擬圖案。
  11. 如申請專利範圍第10項所述之增加生產量的方法,更包括基於上述積體電路設計佈局產生一曝光地圖,其中上述積體電路設計佈局具有上述目標圖案及上述虛擬圖案。
  12. 如申請專利範圍第11項所述之增加生產量的方法,更包括基於上述曝光地圖執行一電子束微影製程。
  13. 如申請專利範圍第12項所述之增加生產量的方法,其中上述電子束微影製程執行小於一第二最大曝光劑量的一第一最大曝光劑量,其中上述第二最大曝光劑量,係為當上述電子束微影製程基於產生自僅具有上述目標圖案之積體電路設計佈局的曝光地圖而被執行時,所執行之劑量。
  14. 如申請專利範圍第13項所述之增加生產量的方法,其中上述第一最大曝光劑量包括一臨界曝光劑量及用於臨界圖案密度之一鄰近效應校正劑量。
  15. 如申請專利範圍第10項所述之增加生產量的方法,其中上述目標圖案包括一通孔特徵陣列,且上述對上述虛擬特徵的插入包括插入與上述通孔特徵陣列相應的一次解析度輔助特徵陣列。
  16. 如申請專利範圍第15項所述之增加生產量的方法,其中上述通孔特徵陣列中的每個通孔特徵,根據一相應間隔由一組上述次解析度輔助特徵陣列圍繞。
  17. 如申請專利範圍第10項所述之增加生產量的方法,其中上述目標圖案包括一通孔特徵陣列,且上述對上述虛擬特徵的插入包括插入與上述通孔特徵陣列相應的一低於臨界輔助特徵。
  18. 如申請專利範圍第17項所述之增加生產量的方法,其中上述通孔特徵陣列中的每個通孔特徵與上述低於臨界輔助特徵之間的間隔是相同的。
  19. 一種用於電子束微影的方法,上述方法包括: 接收一工作件,其中上述工作件上設置有一能量敏感層;以及 一寫入操作,使用一電子束將一目標圖案及一虛擬圖案寫入至上述能量敏感層,其中上述寫入操作執行之曝光劑量在一臨界劑量至一最大曝光劑量之間變化,且其中上述最大曝光劑量為上述臨界劑量加上用於一臨界圖案密度的一臨界效應校正劑量。
  20. 如申請專利範圍第19項所述之用於電子束微影的方法,更包括在上述寫入操作後顯影上述能量敏感層,其中被顯影後的上述能量敏感層包括上述目標圖案。
TW108133958A 2018-09-21 2019-09-20 用於電子束微影及增加生產量的方法 TWI712866B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/138,402 US11054748B2 (en) 2018-09-21 2018-09-21 Dummy insertion for improving throughput of electron beam lithography
US16/138,402 2018-09-21

Publications (2)

Publication Number Publication Date
TW202013094A true TW202013094A (zh) 2020-04-01
TWI712866B TWI712866B (zh) 2020-12-11

Family

ID=69883685

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108133958A TWI712866B (zh) 2018-09-21 2019-09-20 用於電子束微影及增加生產量的方法

Country Status (3)

Country Link
US (3) US11054748B2 (zh)
CN (1) CN110941149B (zh)
TW (1) TWI712866B (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11294286B2 (en) * 2018-06-27 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Pattern formation method using a photo mask for manufacturing a semiconductor device
US10884395B2 (en) * 2018-12-22 2021-01-05 D2S, Inc. Method and system of reducing charged particle beam write time
US11604451B2 (en) * 2018-12-22 2023-03-14 D2S, Inc. Method and system of reducing charged particle beam write time
US11756765B2 (en) 2019-05-24 2023-09-12 D2S, Inc. Method and system for determining a charged particle beam exposure for a local pattern density
US11264202B2 (en) * 2020-05-18 2022-03-01 Applied Materials Israel Ltd. Generating three dimensional information regarding structural elements of a specimen
WO2022132152A1 (en) * 2020-12-17 2022-06-23 Applied Materials, Inc. Use of adaptive replacement maps in digital lithography for local cell replacement

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4463265A (en) 1982-06-17 1984-07-31 Hewlett-Packard Company Electron beam proximity effect correction by reverse field pattern exposure
US5278419A (en) 1991-08-08 1994-01-11 Fujitsu Limited Electron beam exposure process for writing a pattern on an object by an electron beam with a compensation of the proximity effect
JP3287333B2 (ja) 1999-04-28 2002-06-04 日本電気株式会社 電子線露光用マスク、その製造方法及び半導体装置の製造方法
US6643616B1 (en) * 1999-12-07 2003-11-04 Yuri Granik Integrated device structure prediction based on model curvature
TW444272B (en) * 2000-03-16 2001-07-01 Taiwan Semiconductor Mfg Method of effective electron beam background intensity compensation for photomask manufacturing
US6432588B1 (en) 2000-12-04 2002-08-13 Taiwan Semiconductor Manufacturing Company Method of forming an improved attenuated phase-shifting photomask
US6872507B2 (en) 2002-11-01 2005-03-29 Taiwan Semiconductor Manufacturing Company Radiation correction method for electron beam lithography
JP4463589B2 (ja) * 2003-08-21 2010-05-19 富士通マイクロエレクトロニクス株式会社 荷電粒子ビーム露光における下層構造に基づく後方散乱強度の生成方法及びその方法を利用した半導体装置の製造方法
KR100564597B1 (ko) * 2003-12-20 2006-03-28 삼성전자주식회사 포토마스크 및 그 제조 방법
JPWO2006104139A1 (ja) 2005-03-29 2008-09-11 株式会社アドバンテスト マルチコラム型電子ビーム露光装置
JP4389222B2 (ja) * 2005-05-02 2009-12-24 エルピーダメモリ株式会社 マスクデータ作成方法
WO2007129135A1 (en) * 2006-05-05 2007-11-15 Commissariat A L'energie Atomique Method for transferring a predetermined pattern reducing proximity effects
JP4889431B2 (ja) 2006-10-05 2012-03-07 株式会社アドバンテスト 電子ビーム露光装置及び電子ビーム露光方法
US7772575B2 (en) 2006-11-21 2010-08-10 D2S, Inc. Stencil design and method for cell projection particle beam lithography
JP5194770B2 (ja) 2007-12-20 2013-05-08 富士通セミコンダクター株式会社 半導体装置の製造方法及びそのプログラム
JP5217442B2 (ja) * 2008-01-08 2013-06-19 富士通セミコンダクター株式会社 露光データ作成方法及び露光方法
WO2009136441A1 (ja) 2008-05-09 2009-11-12 株式会社アドバンテスト 電子線描画装置及び電子線描画方法
US8716786B2 (en) 2008-06-17 2014-05-06 Infineon Technologies Ag Semiconductor device having different fin widths
JP2011129582A (ja) * 2009-12-15 2011-06-30 Toshiba Corp 露光量モニタ方法及び露光量モニタ用マスクの作製方法
US8524427B2 (en) 2011-04-14 2013-09-03 Taiwan Semiconductor Manufacturing Company, Ltd. Electron beam lithography system and method for improving throughput
JP6167663B2 (ja) * 2013-05-20 2017-07-26 大日本印刷株式会社 現像ローディング補正プログラム、計算機、描画システム、現像ローディング補正方法
US8975168B2 (en) 2013-05-28 2015-03-10 Stmicroelectronics, Inc. Method for the formation of fin structures for FinFET devices
US9324717B2 (en) 2013-12-28 2016-04-26 Texas Instruments Incorporated High mobility transistors
CN106030818B (zh) 2014-03-27 2020-09-01 英特尔公司 用于基于鳍状物的nmos晶体管的高移动性应变沟道
US9436787B2 (en) 2014-04-14 2016-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating an integrated circuit with optimized pattern density uniformity
US9594862B2 (en) 2014-06-20 2017-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating an integrated circuit with non-printable dummy features
US9496373B2 (en) 2015-04-02 2016-11-15 International Business Machines Corporation Damage-resistant fin structures and FinFET CMOS
US9514995B1 (en) 2015-05-21 2016-12-06 Globalfoundries Inc. Implant-free punch through doping layer formation for bulk FinFET structures
CN107710411B (zh) 2015-06-12 2022-07-26 英特尔公司 用于形成相同管芯上的具有变化的沟道材料的晶体管的技术
US9362179B1 (en) 2015-06-22 2016-06-07 International Business Machines Corporation Method to form dual channel semiconductor material fins
CN105093807B (zh) * 2015-09-16 2024-01-23 京东方科技集团股份有限公司 一种掩模板及其制备方法和曝光系统
US9929159B2 (en) 2016-02-25 2018-03-27 Globalfoundries Inc. Method, apparatus, and system having super steep retrograde well with silicon and silicon germanium fins
US10163898B2 (en) 2016-04-25 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods of forming FinFETs
US9859302B1 (en) 2016-06-29 2018-01-02 International Business Machines Corporation Fin-type field-effect transistor
US10361130B2 (en) 2017-04-26 2019-07-23 International Business Machines Corporation Dual channel silicon/silicon germanium complementary metal oxide semiconductor performance with interface engineering

Also Published As

Publication number Publication date
CN110941149B (zh) 2022-03-15
CN110941149A (zh) 2020-03-31
US11526081B2 (en) 2022-12-13
US11054748B2 (en) 2021-07-06
US20200098545A1 (en) 2020-03-26
US20230273524A1 (en) 2023-08-31
US11899367B2 (en) 2024-02-13
US20210405534A1 (en) 2021-12-30
TWI712866B (zh) 2020-12-11

Similar Documents

Publication Publication Date Title
TWI712866B (zh) 用於電子束微影及增加生產量的方法
US8329365B2 (en) Method for design and manufacture of diagonal patterns with variable shaped beam lithography
US8017286B2 (en) Method for design and manufacture of a reticle using a two-dimensional dosage map and charged particle beam lithography
US8221939B2 (en) Method and system for fracturing a pattern using charged particle beam lithography with multiple exposure passes having different dosages
US11126085B2 (en) Bias correction for lithography
US9057956B2 (en) Method and system for design of enhanced edge slope patterns for charged particle beam lithography
TW201123255A (en) Method and system for manufacturing a surface using charged particle beam lithography with variable beam blur
US20140353526A1 (en) Method and system for forming high accuracy patterns using charged particle beam lithography
JP2022533790A (ja) 局所パターン密度に対する荷電粒子ビーム露光を判定するための方法とシステム
US20160195805A1 (en) Method and System for Design of Enhanced Edge Slope Patterns for Charged Particle Beam Lithography
KR20120124407A (ko) 멀티 노광 패스를 갖는 대전 입자 빔 리소그래피를 이용한 패턴 분할 방법 및 시스템
KR102366045B1 (ko) 하전 입자 빔 묘화 방법 및 하전 입자 빔 묘화 장치
US10095116B2 (en) Lithography system and lithography method for improving image contrast
US20110159436A1 (en) Method and system for fracturing a pattern using charged particle beam lithography with multiple exposure passes
TWI567503B (zh) 用於帶電粒子束微影術用之增強圖案之設計的方法與系統
JP2008311311A (ja) 荷電粒子ビーム露光方法及び荷電粒子ビーム露光装置
US9424372B1 (en) System and method for data path handling, shot count minimization, and proximity effects correction related to mask writing process
TWI840559B (zh) 用於判定局部圖案密度之帶電粒子束曝光量之方法及系統
US20130252143A1 (en) Method and system for design of enhanced accuracy patterns for charged particle beam lithography
TWI546614B (zh) 用於降低使用荷電粒子束顯影術之製造變異的方法及系統