TW202008512A - 半導體元件及其製造方法 - Google Patents

半導體元件及其製造方法 Download PDF

Info

Publication number
TW202008512A
TW202008512A TW108126055A TW108126055A TW202008512A TW 202008512 A TW202008512 A TW 202008512A TW 108126055 A TW108126055 A TW 108126055A TW 108126055 A TW108126055 A TW 108126055A TW 202008512 A TW202008512 A TW 202008512A
Authority
TW
Taiwan
Prior art keywords
layer
semiconductor
semiconductor layers
dielectric
gate
Prior art date
Application number
TW108126055A
Other languages
English (en)
Other versions
TWI726365B (zh
Inventor
鄭兆欽
江宏禮
陳自強
陳奕升
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202008512A publication Critical patent/TW202008512A/zh
Application granted granted Critical
Publication of TWI726365B publication Critical patent/TWI726365B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/167Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System further characterised by the doping material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate
    • H01L29/1083Substrate region of field-effect devices of field-effect transistors with insulated gate with an inactive supplementary region, e.g. for preventing punch-through, improving capacity effect or leakage current

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

在製造半導體元件之方法中,鰭結構形成於底部鰭結構上方,其中鰭結構為交替堆疊第一半導體層及第二半導體層。具有側壁間隔物之犧牲閘極結構形成於鰭結構上方。去除未由犧牲閘極結構覆蓋之鰭結構之源極/汲極區域。橫向凹陷第二半導體層。介電內部間隔物形成在經凹陷第二半導體層之橫向端部。橫向凹陷第一半導體層。形成源極/汲極磊晶層以接觸經凹陷第一半導體層之橫向端部。去除第二半導體層,從而露出通道區域中之第一半導體層。圍繞第一半導體層形成閘極結構。

Description

半導體元件及其製造方法
隨著半導體工業已經發展到追求更高元件密度、更高效能及更低成本之奈米技術製程節點,在諸如多閘極場效電晶體(multi-gate field effect transistor)(包括鰭式場效電晶體(fin field effect transistor;Fin FET)及閘極全環繞場效電晶體(gate-all-around(GAA)FET)之三維設計之發展過程中遇到了來自製造及設計問題的雙重挑戰。在鰭式場效電晶體中,閘電極與通道區域之三個側表面相鄰,其中閘極介電層插入其間。因為閘極結構在三個表面上圍繞(包裹)鰭狀物,所以電晶體基本上具有控制穿過鰭或通道區域之電流的三個閘極。不幸地是,通道之第四側面,也就是通道的底部部分遠離閘電極且並不受嚴密的閘極控制。相反,在閘極全環繞場效電晶體中,通道區域之全部側表面被閘電極圍繞,這允許在通道區域中更充分消耗且由於更陡之亞閾值電流擺幅(sub-threshold current swing;SS)導致更少之短通道效應及更小之汲極誘導能障下降(drain induced barrier lowering;DIBL)。隨著電晶體尺寸不斷縮小至10-15nm以下之技術節點,需要進一步改進閘極全環繞場效電晶體。
10‧‧‧基板
11‧‧‧底部鰭結構
12‧‧‧雜質離子
15‧‧‧遮罩層
15A‧‧‧第一遮罩層
15B‧‧‧第二遮罩層
20‧‧‧第一半導體層
25‧‧‧第二半導體層
27‧‧‧腔體
30‧‧‧鰭結構
35‧‧‧鰭襯墊層
35A‧‧‧第一鰭襯墊層
35B‧‧‧第二鰭襯墊層
40‧‧‧隔離絕緣層
41‧‧‧凹陷絕緣材料層
50‧‧‧犧牲閘極結構
52‧‧‧犧牲閘極介電層
53‧‧‧毯覆層
54‧‧‧犧牲閘電極層
55‧‧‧閘極側壁
56‧‧‧墊氮化矽層
58‧‧‧氧化矽遮罩層
60‧‧‧介電材料層
62‧‧‧介電內部間隔物
64‧‧‧第二閘極側壁間隔物
80‧‧‧源極/汲極磊晶層
85‧‧‧襯墊層
90‧‧‧層間介電層
100‧‧‧閘極結構
102‧‧‧界面層
104‧‧‧閘極介電層
106‧‧‧功函數調整層
108‧‧‧閘電極層
A-A’、B-B’、C-C’‧‧‧線
當結合附圖閱讀時,根據以下詳細描述可更好地理解本揭示之實施方式。應強調,根據工業中的標準實務,各種特徵未按比例繪製,並且僅用作說明目的。事實上,出於論述清晰之目的,各特徵之尺寸可任意地增加或縮小。
第1A圖、第1B圖、第1C圖及第1D圖根據本揭示之實施例圖示閘極全環繞場效電晶體元件之各種視圖。
第2A圖、第2B圖、第2C圖及第2D圖根據本揭示之另一實施例圖示閘極全環繞場效電晶體元件之各種視圖。
第3圖根據本揭示之實施例圖示閘極全環繞場效電晶體元件之連續製造製程之不同階段之一者的視圖。
第4圖根據本揭示之實施例圖示閘極全環繞場效電晶體元件之連續製造製程之不同階段之一者的視圖。
第5圖根據本揭示之實施例圖示閘極全環繞場效電晶體元件之連續製造製程之不同階段之一者的視圖。
第6圖根據本揭示之實施例圖示閘極全環繞場效電晶體元件之連續製造製程之不同階段之一者的視圖。
第7圖根據本揭示之實施例圖示閘極全環繞場效電晶體元件之連續製造製程之不同階段之一者的視圖。
第8圖根據本揭示之實施例圖示閘極全環繞場效電晶體元件之連續製造製程之不同階段之一者的視圖。
第9圖根據本揭示之實施例圖示閘極全環繞場效電晶體元件之連續製造製程之不同階段之一者的視圖。
第10圖根據本揭示之實施例圖示閘極全環繞場效電晶體元件之連續製造製程之不同階段之一者的視圖。
第11A圖及第11B圖根據本揭示之實施例圖示閘極全環繞場效電晶體元件之連續製造製程之不同階段之一者的視圖。
第12A圖及第12B圖根據本揭示之實施例圖示閘極全環繞場效電晶體元件之連續製造製程之不同階段之一者的視圖。
第13A圖及第13B圖根據本揭示之實施例圖示閘極全環繞場效電晶體元件之連續製造製程之不同階段之一者的視圖。
第14A圖及第14B圖根據本揭示之實施例圖示閘極全環繞場效電晶體元件之連續製造製程之不同階段之一者的視圖。
第15A圖及第15B圖根據本揭示之實施例圖示閘極全環繞場效電晶體元件之連續製造製程之不同階段之一者的視圖。
第16A圖及第16B圖根據本揭示之實施例圖示閘極全環繞場效電晶體元件之連續製造製程之不同階段之一者的視圖。
第17A圖及第17B圖根據本揭示之實施例圖示閘極全環繞場效電晶體元件之連續製造製程之不同階段之一者的視圖。
第18A圖及第18B圖根據本揭示之實施例圖示閘極全環繞場效電晶體元件之連續製造製程之不同階段之一者的視圖。
第19A圖及第19B圖根據本揭示之實施例圖示閘極全環繞場效電晶體元件之連續製造製程之不同階段之一者的視圖。
第20A圖及第20B圖根據本揭示之實施例圖示閘極全環繞場效電晶體元件之連續製造製程之不同階段之一者的視圖。
第21A圖及第21B圖根據本揭示之實施例圖示閘極全環繞場效電晶體元件之連續製造製程之不同階段之一者的視圖。
第22A圖及第22B圖根據本揭示之另一實施例圖示閘極全環繞場效電晶體元件之連續製造製程之不同階段之一者的視圖。
第23A圖及第23B圖根據本揭示之另一實施例圖示閘極全環繞場效電晶體元件之連續製造製程之不同階段之一者的視圖。
第24A圖及第24B圖根據本揭示之另一實施例圖示閘極全環繞場效電晶體元件之連續製造製程之不同階段之一者的視圖。
第25A圖及第25B圖根據本揭示之另一實施例圖示閘極全環繞場效電晶體元件之連續製造製程之不同階段之一者的視圖。
第26A圖及第26B圖根據本揭示之另一實施例圖示閘極全環繞場效電晶體元件之連續製造製程之不同階段之一者的視圖。
第27A圖及第27B圖根據本揭示之另一實施例圖示閘極全環繞場效電晶體元件之連續製造製程之不同階段之一者的視圖。
第28A圖及第28B圖根據本揭示之另一實施例圖示閘極全環繞場效電晶體元件之連續製造製程之不同階段之一者的視圖。
第29A圖及第29B圖根據本揭示之另一實施例圖示閘極全環繞場效電晶體元件之連續製造製程之不同階段之一者的視圖。
第30A圖及第30B圖根據本揭示之另一實施例圖示閘極全環繞場效電晶體元件之連續製造製程之不同階段之一者的視圖。
第31A圖及第31B圖根據本揭示之另一實施例圖示閘極全環繞場效電晶體元件之連續製造製程之不同階段之一者的視圖。
應當理解,以下揭示內容提供許多不同實施例或實例,以便實施本揭示之一實施方式之不同特徵。下文描述組件及排列之特定實施例或實例以簡化本揭示之一實施方式。當然,此等實例僅為實例且不意欲為限制性。例如,元件之尺寸不限於本揭示之一實施方式之範圍或數值,但可取決於元件之處理條件及/或要求性質。此外,在隨後描述中在第二特徵上方或在第二特徵上第一特徵之形成可包括第一及第二特徵形成為直接接觸之實施例,以及亦可包括額 外特徵可形成在第一及第二特徵之間,使得第一及第二特徵可不直接接觸之實施例。為簡單及清晰起見,不同特徵可能任意地以不同的比例繪製出。
另外,空間相對用語,諸如「之下」、「下方」、「下部」、「上方」、「上部」及類似者,在此為便於描述可用於描述諸圖中所圖示一個元件或特徵與另一(些)元件或(多個)特徵之關係。除圖形中描繪之方向外,空間相對用語意圖是包含元件在使用或操作中之不同的方向。設備可為不同朝向(旋轉90度或在其他的方向)及在此使用之空間相對的描述詞可因此同樣地解釋。另外,術語「由...製成」可意謂「包含」或者「由...組成」。在本揭示之一實施方式中,「A、B及C之一者」意謂著「A、B及/或C」(A,B,C,A及B,A及C,B及C,或者A、B及C),並且並不意謂來自A之一個元件、來自B之一個元件及來自C之一個元件,除非另外描述。
在以下實施例中,除非另外描述,否則一個實施例之材料、配置、尺寸、操作及/或製程可用於另一實施例中,並且可忽略其細節說明。
在近十年已研究高遷移率通道材料及元件架構以延長摩耳定律之壽命。具有高Ge濃度之純Ge及SiGe,由於其具有更高之本質電洞(intrinsic hole)及電子遷移率之材料性質,為此種材料之有希望的候選者。對於Lg<12nm之良好回火(well-tempered)的元件縮放,將採用奈米線或奈米片結構以提供較好的短通道控制。因此,Ge或SiGe奈 米線元件被認為是有希望且有潛力之候選者以用於進一步縮小邏輯元件應用。為了獲得卓越的Ge奈米線元件效能,存在待解決之若干問題,例如:(1)閘極側壁間隔物之下之高介面狀態密度(Dit)及(2)由於Ge(0.66eV)相對於矽(1.2eV)之狹窄能隙而造成之高元件漏電流。
在本揭示之一實施方式中,提供用於解決上述問題之元件結構及其製造方法。
第1A圖至第1D圖根據本揭示之一實施例圖示閘極全環繞場效電晶體元件之各種視圖。第1A圖圖示沿X方向之橫截面視圖,鰭結構及通道(奈米線)在X方向延伸。第1B圖、第1C圖及第1D圖圖示沿Y方向之橫截面視圖,閘電極沿Y方向延伸。第1B圖為對應於第1A圖之線A-A'切割通道中心的橫截面視圖。第1C圖為對應於第1A圖之線B-B'切割閘極側壁間隔物中心的橫截面視圖。第1D圖為對應於第1A圖之線C-C'切割源極/汲極磊晶層的橫截面視圖。在一些實施例中,閘極全環繞場效電晶體為N通道場效電晶體。
如第1A圖至第1D圖圖示,底部鰭結構11設置於基板10上方。複數個半導體線20,作為通道,垂直佈置於底部鰭結構11上方。儘管在第1A圖及第1B圖中示出5條半導體線20,但垂直佈置的半導體線20之數目並不限於5條,且其可小至1且最高達15至20。在一些實施例中,半導體線20由Si1-xGex組成,其中x等於或大於約0.5,或半導體線20由Ge組成(x=1.0)。在一些實施例中,一或多個鰭襯墊層35設置於底部鰭結構11之側面上。在某些實施例 中,鰭襯墊層35包括設置成與底部鰭結構11接觸之第一鰭襯墊層35A及第二鰭襯墊層35B,第二鰭襯墊層35B由不同於第一鰭襯墊層35A之材料組成且設置於第一鰭襯墊層35A上方。在一些實施例中,底部鰭結構11之至少最上方部分包括由SiGe組成之一層。
閘極結構100包括圍繞半導體線20包裹之閘極介電層104及設置於閘極介電層104上方之閘電極層108。在一些實施例中,界面層102設置於閘極介電層104與半導體線20之間。在一些實施例中,一或多個功函數調整層106設置於閘電極層108與閘極介電層104之間。在一些實施例中,閘電極層108並不設置於半導體奈米線20之間且功函數調整層106填充相鄰半導體線20之間的縫隙。在其他實施例中,閘電極層108環繞半導體線20,以及界面層102、閘極介電層104及功函數調整層106。此外,如第1A圖及第1C圖所示,閘極側壁間隔物55設置在閘極結構100之相對側面上。
此外,源極/汲極磊晶層80設置以連接至半導體線20之水平端部。如第1A圖圖示,半導體線20之水平端部具有凹形的V形狀或U形狀。襯墊層85,其可為接觸蝕刻停止層(contact etch stop layer;CESL),設置於源極/汲極磊晶層80上方,以及層間介電(interlayer dielectric;ILD)層90設置於襯墊層85上方。在一些實施例中,源極/汲極磊晶層80由一半導體材料組成,此半導體材料具有比 半導體線20之半導體材料更高的能帶隙。在某些實施例中,源極/汲極磊晶層80由摻雜P之Si組成(SiP)。
在第1A圖至第1D圖中圖示之閘極全環繞場效電晶體進一步包括設置於閘極結構100與源極/汲極磊晶層80之間的介電內部間隔物62,閘極結構100設置於相鄰半導體線20之間。此外,由與介電內部間隔物62相同之材料組成的介電層60設置於源極/汲極磊晶層80與底部鰭結構11之間。
在一些實施例中,如第1A圖圖示,在多個半導體線20之至少一者與源極/汲極磊晶層80之間的介面位於多個閘極側壁間隔物55之一者之下。在某些實施例中,多個閘極側壁間隔物55之一者下面的位置對應於在X方向上切割閘極側壁間隔物55之中心的橫截面(Y-Z平面)。在一些實施例中,介面比閘極側壁間隔物55之中心線(第1A圖之線B-B')更靠近閘極結構100。在一些實施例中,整個介電內部間隔物62位於閘極側壁間隔物55之下。
在一些實施例中,閘極側壁間隔物55並不與半導體線20接觸。
第2A圖至第2D圖根據本揭示之另一實施例圖示閘極全環繞場效電晶體元件之各種視圖。第2A圖圖示沿X方向之橫截面視圖,鰭結構及通道(奈米線)沿X方向延伸。第2B圖、第2C圖及第2D圖圖示沿Y方向之橫截面視圖,閘電極沿Y方向延伸。第2B圖為對應於切割通道中心之第2A圖之線A-A'的橫截面視圖。第2C圖為對應於切割第一 閘極側壁間隔物中心之第2A圖之線B-B'的橫截面視圖。第2D圖為對應於切割源極/汲極磊晶層之第2A圖之線C-C'的橫截面視圖。在一些實施例中,閘極全環繞場效電晶體為N通道場效電晶體。
如第2A圖至第2D圖圖示,底部鰭結構11設置於基板10上方。複數個半導體線20,作為通道,垂直佈置於底部鰭結構11上方。儘管在第2A圖及第2B圖中示出五條半導體線20,但垂直佈置半導體線20之數目並不限於五,且其可小至1且最高達15至20。在一些實施例中,半導體線20由Si1-xGex組成,其中x等於或多於約0.5,或半導體線20由Ge組成(x=1.0)。在一些實施例中,一或多個鰭襯墊層35設置於底部鰭結構11之側面上。在某些實施例中,鰭襯墊層35包括設置成與底部鰭結構11接觸之第一鰭襯墊層35A及第二鰭襯墊層35B,第二鰭襯墊層35B由不同於第一鰭襯墊層35A之材料組成且設置於第一鰭襯墊層35A上方。在一些實施例中,底部鰭結構11之至少最上方部分包括由SiGe組成之一層。
閘極結構100包括圍繞半導體線20包裹之閘極介電層104及設置於閘極介電層104上方之閘電極層108。在一些實施例中,界面層102設置於閘極介電層104與半導體線20之間。在一些實施例中,一或多個功函數調整層106設置於閘電極層108與閘極介電層104之間。在一些實施例中,閘電極層108並不設置於半導體奈米線20之間且功函數調整層106填充相鄰半導體線20之間的縫隙。在其他實施例 中,閘電極層108環繞半導體線20,以及界面層102、閘極介電層104及功函數調整層106。此外,如第2A圖及第2C圖圖示,第一閘極側壁間隔物55設置在閘極結構100之相對側面上。
此外,源極/汲極磊晶層80設置以連接至半導體線20之水平端部。如第2A圖圖示,半導體線20之水平端部具有凹形的V形狀或U形狀。襯墊層85,其可為接觸蝕刻停止層(CESL),設置於源極/汲極磊晶層80上方,及層間介電(ILD)層90設置於襯墊層85上方。在一些實施例中,源極/汲極磊晶層80由一半導體材料組成,此半導體材料具有比半導體線20之半導體材料更高的能帶隙。在某些實施例中,源極/汲極磊晶層80由摻雜P之Si組成(SiP)。
在第2A圖至第2D圖中圖示之閘極全環繞場效電晶體進一步包括設置於閘極結構100與源極/汲極磊晶層80之間的介電內部間隔物62,閘極結構100設置於相鄰半導體線20之間。此外,由與介電內部間隔物62相同之材料組成的介電層60設置於源極/汲極磊晶層80與底部鰭結構11之間。另外,由與介電內部間隔物62相同之材料組成之第二側壁間隔物64設置於第一閘極側壁間隔物55與第2A圖圖示之襯墊層85之間。
在一些實施例中,如第2A圖圖示,在多個半導體線20之至少一者與源極/汲極磊晶層80之間的介面位於多個第一閘極側壁間隔物55之一者之下。在某些實施例中,多個第一閘極側壁間隔物55之一者下面的位置對應於 在X方向上切割第一閘極側壁間隔物55之中心的橫截面(Y-Z平面)。在一些實施例中,介面比第一閘極側壁間隔物55之中心線(第2A圖之線B-B')更靠近閘極結構100。在一些實施例中,整個介電內部間隔物62位於第一閘極側壁間隔物55下面。在其他的實施例中,在介電內部間隔物62與源極/汲極磊晶層80之間的介面位於第一側壁間隔物55之一者下面的區域外。
在一些實施例中,第一閘極側壁間隔物55並不與半導體線20接觸。在某些實施例中,第二閘極側壁間隔物64並不與半導體線20接觸。
第3圖至第21B圖根據本揭示之一實施例圖示用於製造第1A圖至第1D圖中圖示之閘極全環繞場效電晶體元件的連續製程。應理解,可以在由第3圖至第21B圖圖示之製程之前、期間及之後提供附加操作,並且可以替換或除去如下所述之一些操作以獲得此方法的另外實施例。操作/製程之順序可為互換的。
如第3圖圖示,將雜質離子(摻雜劑)12植入矽基板10中以形成阱區域。執行離子植入以防止擊穿效應(punch-through effect)。在一些實施例中,基板10在至少其表面部分上包括單晶半導體層。基板10可包含單晶半導體材料,諸如但不限於Si、Ge、SiGe、GaAs、InSb、GaP、GaSb、InAlAs、InGaAs、GaSbP、GaAsSb及InP。在一個實施例中、基板10由晶體矽組成。
基板10在其表面區域中可包括一或多個緩衝層(未圖示)。緩衝層可用於將晶格常數從基板之晶格常數逐漸變化至源極/汲極區域之晶格常數。緩衝層可由磊晶生長之單晶半導體材料形成,此材料諸如但不限於Si、Ge、GeSn、SiGe、GaAs、InSb、GaP、GaSb、InAlAs、InGaAs、GaSbP、GaAsSb、GaN、GaP及InP。在特定實施例中,基板10包括磊晶生長於矽基板10上之矽鍺(SiGe)緩衝層。矽鍺緩衝層之鍺濃度可從最底部緩衝層之30原子%鍺增加至最頂部緩衝層之70原子%鍺。基板10可包括已適當摻雜有雜質(例如,P型或N型導電性)之各種區域。摻雜劑12例如為用於N型鰭式場效電晶體之硼(BF2)及用於P型鰭式場效電晶體之磷。
如第4圖圖示,堆疊半導體層形成於基板10上方。堆疊半導體層包括多個第一半導體層20及多個第二半導體層25。此外,遮罩層15形成於堆疊半導體層上方。
多個第一半導體層20及多個第二半導體層25由具有不同晶格常數之材料組成,且可包括一或多層Si、Ge、SiGe、GaAs、InSbGaPGaSb、InAlAs、InGaAs、GaSbP、GaAsSb或InP。
在一些實施例中,多個第一半導體層20及多個第二半導體層25由Si、Si化合物、SiGe、Ge或Ge化合物組成。在一個實施例中,多個第一半導體層20為Si1-xGex,其中x大於約0.5,或者為Ge(x=1.0),且第二半導體層25為Si或Si1-yGey,其中y等於或小於約0.6,且x>y。在本揭示 之一實施方式中,「M」化合物或「M基化合物」意謂化合物之大多數為M。
在第4圖中,設置五層第一半導體層20及五層第二半導體層25。然而,層數並不限於五,且第一半導體層及第二半導體層的層數可分別小至1,及在一些實施例中,形成各2至20層第一半導體層20及第二半導體層25。藉由調整堆疊層數,可調整閘極全環繞場效電晶體元件之驅動電流。
第一半導體層20及第二半導體層25磊晶形成於基板10上方。第一半導體層20之厚度可等於或大於第二半導體層25之厚度,並且在一些實施例中,第一半導體層20之厚度在約5nm至約50nm之範圍中,及在其他實施例中,第一半導體層20之厚度在約10nm至約30nm之範圍中。第二半導體層25之厚度範圍在一些實施例中為約5nm至約30nm,以及在其他實施例中為約10nm至約20nm。每個第一半導體層20之厚度可為相同的,或可不同。
在一些實施例中,底部第一半導體層20(最接近基板10之層)比其餘的第一半導體層20厚。底部第一半導體層20之厚度範圍在一些實施例中為約10nm至約50nm,或在其他實施例中為約20nm至約40nm。
在一些實施例中,遮罩層15包括第一遮罩層15A及第二遮罩層15B。第一遮罩層15A為由氧化矽組成之墊氧化層,其可藉由熱氧化而形成。第二遮罩層15B由氮化矽(SiN)組成,其藉由化學氣相沉積(chemical vapor deposition;CVD)形成,包括低壓化學氣相沉積(LPCVD)及電漿增強化學氣相沉積(PECVD)、物理氣相沉積(PVD)、原子層沉積(ALD)或其他適當製程而形成。藉由使用包括光微影及蝕刻之圖案化操作而將遮罩層15圖案化成遮罩圖案。
接下來,如第5圖圖示,藉由使用圖案化遮罩層而圖案化第一半導體層20及第二半導體層25之堆疊層,從而堆疊層形成為X方向上延伸之鰭結構30。
鰭片結構30可藉由任何適當方法圖案化。例如,可使用包括雙圖案化(double-patterning)或多圖案化(multi-patterning)製程之一或多個微影製程圖案化鰭結構。大體上,雙圖案化或多圖案化製程結合微影及自對準製程,從而允許產生之圖案的間距例如小於使用單個、直接的微影製程獲得的間距。例如,在一個實施例中,犧牲層形成於基板上方並且使用微影製程來圖案化。使用自對準製程沿圖案化犧牲層形成間隔物。隨後去除犧牲層,則剩餘間隔物或心軸可用以圖案化鰭結構。
在第5圖中,在Y方向上佈置兩個鰭結構30。但鰭結構30數目並不受限,且可小至1個及大至三或更多個。在一些實施例中,一或多個虛設鰭結構形成於鰭結構30之兩側上以改進圖案化操作中之圖案保真度(pattern fidelity)。如第5圖圖示,鰭結構30具有由堆疊的第一半導體層20、第二半導體層25組成之上部部分及阱部分11,其對應於底部鰭結構。
鰭結構30之上部部分沿Y方向之寬度W1的範圍在一些實施例中為約10nm至約40nm,且在其他實施例中為約20nm至約30nm。鰭結構30沿Z方向之高度H1的範圍為約100nm至約200nm。
在形成鰭結構30之後,包括一或多層絕緣材料之絕緣材料層41形成於基板10上方以使鰭結構30完全嵌入絕緣層41中。絕緣層41之絕緣材料可包括氧化矽、氮化矽、氮氧化矽(SiON)、SiOCN、SiCN、氟摻雜矽玻璃(FSG)、或低介電常數介電材料,由低壓化學氣相沉積(LPCVD)、電漿化學氣相沉積或可流動化學氣相沉積形成。可在絕緣層41形成之後執行退火操作。隨後,執行平坦化操作,諸如化學機械拋光(chemical mechanical polishing;CMP)方法及/或回蝕刻方法,使得最上層第二半導體層25之頂表面從第6圖圖示之絕緣材料層41暴露出。
在一些實施例中,在形成絕緣材料層41之前,一或多個鰭襯墊層35形成於第5圖之結構上方,如第6圖圖示。襯墊層35由SiN或氮化矽基材料(例如,SiON、SiCN或SiOCN)組成。在一些實施例中,鰭襯墊層35包括形成於基板10上方之第一鰭襯墊層及底部鰭結構11之側面,及形成於第一鰭襯墊層35A上之第二鰭襯墊層35B。在一些實施例中,襯墊層每一者均具有約1nm與約20nm之間的厚度。在一些實施例中,第一鰭襯墊層35A包括氧化矽且具有約0.5nm與約5nm之間的厚度,以及第二鰭襯墊層35B包括氮化矽且具有約0.5nm與約5nm之間的厚度。鰭襯墊層 35可經由諸如物理氣相沉積(PVD)、化學氣相沉積(CVD)或原子層沉積(ALD)之一或多個製程而沉積,但可利用任何可接受之製程。
隨後,如第7圖圖示,將絕緣材料層41凹陷以形成隔離絕緣層40,以便暴露鰭結構30之上部部分。在此操作中,鰭結構30藉由隔離絕緣層40彼此電分離,隔離絕緣層40也稱為淺溝槽隔離(shallow trench isolation;STI)。在第7圖圖示之實施例中,凹陷絕緣材料層41直到暴露最底部的第一半導體層20。在其他實施例中,也部分暴露阱層11之上部部分。第一半導體層20做為隨後部分去除之犧牲層,且第二半導體層25後續形成為閘極全環繞場效電晶體之通道層。
在形成隔離絕緣層40之後,形成犧牲閘極介電層52,如第8圖圖示。犧牲閘極介電層52包括一或多層絕緣材料,諸如氧化矽基材料。在一個實施例中,使用由化學氣相沉積形成之氧化矽。在一些實施例中,犧牲閘極介電層52之厚度範圍為約1nm至約5nm。
第9圖圖示犧牲閘極結構50形成於暴露的鰭結構30上方之後的結構。犧牲閘極結構50包括犧牲閘電極54及犧牲閘極介電層52。犧牲閘極結構50形成於將成為通道區域之鰭結構30的部分之上。犧牲閘極結構50定義閘極全環繞場效電晶體之通道區域。
犧牲閘極結構50藉由首先將犧牲閘極介電層52毯覆式沉積於鰭結構30上方而形成,如第9圖圖示。隨後 將犧牲閘電極層54毯覆式沉積於犧牲閘極介電層52上及鰭結構30上方,使得鰭結構30完全嵌入犧牲閘電極層54中。犧牲閘電極層54包括矽,諸如多晶矽或非晶矽。在一些實施例中,犧牲閘電極層54之厚度範圍為約100nm至約200nm。在一些實施例中,對犧牲閘電極層54進行平坦化操作。犧牲閘極介電層52及犧牲閘電極層54使用包括低壓化學氣相沉積及電漿增強化學氣相沉積之化學氣相沉積、物理氣相沉積、原子層沉積或其他適當的製程而沉積。隨後,遮罩層形成於犧牲閘電極層54上方。遮罩層包括墊氮化矽層56及氧化矽遮罩層58。
接下來,對遮罩層執行圖案化操作及將犧牲閘電極層54圖案化成犧牲閘極結構50,如第9圖圖示。犧牲閘極結構50包括犧牲閘極介電層52、犧牲閘電極層54(例如,多晶矽)、墊氮化矽層56及氧化矽遮罩層58。藉由圖案化犧牲閘極結構50,第一半導體層20及第二半導體層25之堆疊層部分暴露於犧牲閘極結構50之相對側上,從而定義源極/汲極(source/drain;S/D)區域,如第9圖圖示。在本揭示之一實施方式中,源極及汲極可交互使用且其結構實質上相同。在第9圖中,形成一個犧牲閘極結構50,但犧牲閘極結構50之數目不限於一個。在一些實施例中,兩個或兩個以上犧牲閘極結構50以X方向佈置。在某些實施例中,一或多個虛設犧牲閘極結構形成於犧牲閘極結構50之兩側上以改進圖案保真度。
在形成犧牲閘極結構50之後,藉由使用化學氣相沉積或其他適當方法共形地形成用於閘極側壁間隔物55之絕緣材料的毯覆層53,如第10圖圖示。毯覆層53以共形方式沉積,以使其在犧牲閘極結構50之垂直表面(例如側壁)、水平表面及頂部上形成為具有實質上相等的厚度。在一些實施例中,沉積毯覆層53沉積至約2nm至約10nm之厚度範圍。在一些實施例中,毯覆層53之絕緣材料為氮化矽基材料,諸如SiN、SiON、SiOCN或SiCN及其組合。在某些實施例中,絕緣材料為SiOC、SiCON及SiCN之一者。
第11A圖及第11B圖圖示與第10圖相同之結構。第11A圖圖示透視圖及第11B圖圖示對應於切割鰭結構30之第11A圖之線X1-X1的橫截面視圖。在第11B圖中,未圖示墊氮化矽層56及氧化矽遮罩層58。
此外,如第12A圖及第12B圖圖示,閘極側壁間隔物55藉由非等向性(anisotropic)蝕刻而形成於犧牲閘極結構50之相對側壁上,及隨後將鰭結構30之源極/汲極區域凹陷成等於或低於隔離絕緣層40之頂表面。第12A圖圖示透視圖及第12B圖圖示對應於第11A圖之線X1-X1的橫截面視圖。在第12B圖中,未圖示墊氮化矽層56及氧化矽遮罩層58。
在形成毯覆層53之後,使用例如反應性離子蝕刻(reactive ion etching;RIE)對毯覆層53執行非等向性蝕刻。在非等向性蝕刻製程期間,從水平面去除大部分絕緣材料,從而在諸如犧牲閘極結構50之側壁及所暴露鰭結構 30之側壁的垂直表面上留下介電間隔物層。遮罩層58可從側壁間隔物暴露。在一些實施例中,隨後可執行等向性(isotropic)蝕刻製程以從所暴露鰭結構30之源極/汲極區域之上部部分去除絕緣材料。
隨後,藉由使用乾式蝕刻及/或濕式蝕刻,將鰭結構30之源極/汲極區域向下凹陷成等於或低於隔離絕緣層40之頂表面。如第12A圖及第12B圖圖示,亦去除形成於所暴露鰭結構30之源極/汲極區域上的閘極側壁間隔物55。在此階段,犧牲閘極結構50下面之第一半導體層20及第二半導體層25之堆疊層的端部部分具有實質上平坦的面,其與閘極側壁間隔物55齊平,如第12B圖圖示。在一些實施例中,略微水平蝕刻第一半導體層20及第二半導體層25之堆疊層的端部部分。
隨後,如第13A圖及第13B圖圖示,水平凹陷(蝕刻)第二半導體層25,以使第二半導體層25之邊緣實質上位於閘極側壁間隔物55下方,且形成腔體27。第13A圖圖示透視圖及第13B圖圖示對應於切割鰭結構30之第11A圖之線X1-X1的橫截面視圖。在第13B圖中,未圖示墊氮化矽層56及氧化矽遮罩層58。如第13B圖圖示,第二半導體層25之端部部分(邊緣)具有凹形形狀,諸如V形狀或U形狀。將第二半導體層25從包括一個閘極側壁間隔物55之平面凹陷之深度D1的範圍為約5nm至約10nm。第一半導體層25之蝕刻步驟包括濕式蝕刻及/或乾式蝕刻。諸如氫 氧化四甲銨(TMAH)溶液之濕蝕刻劑可用於選擇性地蝕刻第二半導體層25。
隨後,如第14A圖及第14B圖圖示,介電材料層60形成於第13A圖及第13B圖之結構上方。第14A圖圖示透視圖及第14B圖圖示對應於切割鰭結構30之第11A圖之線X1-X1的橫截面視圖。在第14B圖中,未圖示墊氮化矽層56及氧化矽遮罩層58。在一些實施例中,介電材料層60包括氮化矽基材料,諸如SiN、SiON、SiOCN或SiCN及其組合,且不同於閘極側壁間隔物55之材料。在某些實施例中,介電材料為氮化矽。介電材料層60完全填充第14B圖圖示之腔體27。介電材料層60可使用包括低壓化學氣相沉積及電漿增強化學氣相沉積之化學氣相沉積、物理氣相沉積、原子層沉積或其他適當的製程而形成。
接下來,如第15A圖及第15B圖圖示,執行一或多個蝕刻操作以形成介電內部間隔物62。第15A圖圖示透視圖及第15B圖圖示對應於切割鰭結構30之第11A圖之線X1-X1的橫截面視圖。在第15B圖中,未圖示墊氮化矽層56及氧化矽遮罩層58。蝕刻操作包括一或多個濕式蝕刻及/或乾式蝕刻。在某些實施例中,蝕刻在一些實施例中為等向性蝕刻。在一些實施例中,介電內部間隔物62之沿Y方向的最大厚度範圍為約0.5nm至約5nm。如第15A圖及第15B圖圖示,介電材料層60之一部分保留在底部鰭結構11上方,而去除形成於閘極側壁間隔物55及隔離絕緣層40上之介電材料層60。
隨後,如第16A圖及第16B圖圖示,水平凹陷(蝕刻)第一半導體層20,以使第一半導體層20之邊緣實質上位於閘極側壁間隔物55下方,且形成腔體22。第16A圖圖示透視圖及第16B圖圖示對應於第11A圖之線X1-X1的橫截面視圖。在第16B圖中,未圖示墊氮化矽層56及氧化矽遮罩層58。如第16B圖圖示,第一半導體層20之端部部分(邊緣)具有凹形形狀,諸如V形狀或U形狀。將第一半導體層20從包括一個閘極側壁間隔物55之表面凹陷之深度D2的範圍為約7nm至約15nm。第一半導體層25之蝕刻步驟包括濕式蝕刻及/或乾式蝕刻。諸如氫氧化銨(NH4OH)、四甲銨溶液之濕蝕刻劑可用於選擇性地蝕刻第一半導體層20。在一些實施例中,深度D2大於深度D1。如第16A圖圖示,第一半導體層20藉由此蝕刻步驟與閘極側壁間隔物55及介電內部間隔物62分離。
在形成腔體22之後,形成源極/汲極(S/D)磊晶層80,如第17A圖及第17B圖圖示。第17A圖圖示透視圖及第17B圖圖示對應於第11A圖之線X1-X1的橫截面視圖。在第17B圖中,未圖示墊氮化矽層56及氧化矽遮罩層58。源極/汲極磊晶層80包括用於N型通道場效電晶體之一或多層Si、SiP、SiC及SiCP。藉由使用化學氣相沉積、原子層沉積或分子束磊晶(molecular beam epitaxy;MBE)之磊晶生長方法,形成源極/汲極磊晶層80。如第17B圖圖示,在多個第一半導體層20之至少一者與源極/汲極磊晶層80之間的介面位於閘極側壁間隔物55之一者之下。
隨後,形成襯墊層85及隨後形成層間介電(ILD)層90,如第18A圖及第18B圖圖示。第18A圖圖示透視圖及第18B圖圖示對應於第11A圖之線X1-X1的橫截面視圖。
襯墊層85由氮化矽基材料,諸如氮化矽組成,其在後續蝕刻操作中作為接觸蝕刻停止層(CESL)。層間介電層90之材料包括諸如氧化矽、SiCOH及SiOC之化合物,此化合物包括Si、O、C及/或H。諸如聚合物之有機材料可用於層間介電層90。在形成層間介電層90之後,執行諸如化學機械拋光之平坦化操作,以便暴露犧牲閘電極層54,如第18A圖及第18B圖圖示。
接下來,如第19A圖及第19B圖圖示,去除犧牲閘電極層54及犧牲閘極介電層52,從而暴露鰭結構30之通道區域。第19A圖圖示透視圖及第19B圖圖示對應於第11A圖之線X1-X1的橫截面視圖。層間介電層90在去除犧牲閘極結構50期間保護源極/汲極磊晶層80。使用電漿乾式蝕刻及/或濕式蝕刻可去除犧牲閘極結構50。當犧牲閘電極層54為多晶矽及層間介電層90為氧化矽時,可使用諸如TMAH溶液之濕蝕刻劑選擇性地去除犧牲閘電極層54。此後使用電漿乾式蝕刻及/或濕式蝕刻去除犧牲閘極介電層52。
在去除犧牲閘極結構50之後,去除鰭結構30之通道區域中的第二半導體層25,從而形成第一半導體層20 之線,如第20A圖及第20B圖圖示。第20A圖圖示透視圖及第20B圖圖示對應於第11A圖之線X1-X1的橫截面視圖。
可使用可選擇性地蝕刻第二半導體層25之蝕刻劑去除或蝕刻第二半導體層25。當第一半導體層20為Si且第二半導體層25為Ge或SiGe時,可使用濕蝕刻劑選擇性地去除第一半導體層20,濕蝕刻劑諸如但不限於氫氧化四甲銨(TMAH)、乙二胺鄰苯二酚(EDP)或氫氧化鉀(KOH)溶液。
在形成第一半導體層20之線後,形成閘極結構100,如第21A圖及第21B圖圖示。第21A圖圖示透視圖及第21B圖圖示對應於第11A圖之線X1-X1的橫截面視圖。閘極介電層104圍繞每個通道層(第一半導體層20之線)形成,且閘電極層108形成於閘極介電層104上方。
在某些實施例中,閘極介電層104包括一或多層介電材料,諸如氧化矽、氮化矽或高介電常數介電材料、其他適合介電材料、及/或其組合。高介電常數介電材料之實例包括HfO2、HfSiO、HfSiON、HfTaO、HfTiO、HfZrO、氧化鋯、氧化鋁、氧化鈦、二氧化鉿-氧化鋁(HfO2-Al2O3)合金、其他適合的高介電常數介電材料、及/或其組合。在一些實施例中,界面層102形成於通道層與閘極介電層104之間。閘極介電層104可藉由化學氣相沉積、原子層沉積或任何適合方法形成。在一個實施例中,閘極介電層104使用諸如原子層沉積之高度共形沉積製程而形成,以確保圍繞每個通道層形成具有均等厚度之閘極介電層 104。在一個實施例中閘極介電層102之厚度範圍為約1nm至約6nm。
在一些實施例中,閘電極層108形成於閘極介電層104上方以圍繞每個通道層。閘電極108包括一或多層導電材料,諸如多晶矽、鋁、銅鈦、鉭、鎢、鈷、鉬、氮化鉭、矽化鎳、矽化鈷、TiN、WN、TiAl、TiAlN、TaCN、TaC、TaSiN、金屬合金、其他適合材料、及/或其組合。閘電極層108可藉由化學氣相沉積、原子層沉積、電鍍或其他適當方法而形成。閘電極層108亦沉積於層間介電層90之頂表面上方。隨後藉由例如化學機械拋光將形成於層間介電層90上方之閘極介電層104及閘電極層108平坦化,直到露出層間介電層90。
在某些實施例中,一或多個功函數調整層106插入閘電極層108與閘極介電層104之間。功函數調整層106由導電材料組成,導電材料諸如單層TiN、TaN、TaAlC、TiC、TaC、Co、Al、TiAl、HfTi、TiSi、TaSi或TiAlC,或者兩種或更多種此等材料之多層。對於N型通道場效電晶體,TaN、TaAlC、TiN、TiC、Co、TiAl、HfTi、TiSi及TaSi之一或多種用作功函數調整層。功函數調整層106可藉由原子層沉積、物理氣相沉積、化學氣相沉積、電子束蒸發、或其他適當製程形成。此外,功函數調整層106可分別形成用於N型通道場效電晶體及P型通道場效電晶體,此N型通道場效電晶體及P型通道場效電晶體可使用不同金屬層。
應理解,閘極全環繞場效電晶體進行進一步CMOS製程以形成各種特徵,諸如觸點/通孔、互連金屬層、介電層、鈍化層等等。
第22A圖至第31B圖根據本揭示之另一實施例圖示用於製造第2A圖至第2D圖中圖示之閘極全環繞場效電晶體元件的連續製程。應理解,可以在由第22A圖至第31B圖圖示之製程之前、期間及之後提供附加操作,並且可以替換或除去如下所述之一些操作以獲得此方法的另外實施例。操作/製程之順序可為互換的。
第22A圖圖示透視圖及第22B圖圖示對應於第22A圖之線X1-X1的橫截面視圖。在第22B圖中,未圖示墊氮化矽層56及氧化矽遮罩層58。
在形成第11A圖及第11B圖圖示之結構之後,使用例如反應性離子蝕刻(RIE)對毯覆層53執行非等向性蝕刻。在非等向性蝕刻製程期間,從水平表面去除大部分絕緣材料,從而在垂直表面上留下介電間隔物層,例如犧牲閘極結構50的閘極側壁間隔物55。此外,亦去除形成於暴露鰭結構30之源極/汲極區域之上部部分上方的絕緣材料53(毯覆層53),如第22A圖及第22B圖中圖示。因而,第一半導體層20及第二半導體層25之堆疊結構暴露在源極/汲極區域處。
隨後,如第23A圖及第23B圖圖示,水平凹陷(蝕刻)第二半導體層25,以使第二半導體層25之邊緣實質上位於閘極側壁間隔物55下方,且形成腔體27。第23A 圖圖示透視圖及第23B圖圖示對應於切割鰭結構30之第22A圖之線X1-X1的橫截面視圖。在第23B圖中,未圖示墊氮化矽層56及氧化矽遮罩層58。如第23B圖圖示,在一些實施例中,第二半導體層25之端部部分(邊緣)具有凹形形狀,諸如V形狀或U形狀。第二半導體層25從包括一個閘極側壁間隔物55之平面凹陷之深度D3的範圍為約5nm至約10nm。第一半導體層25之蝕刻步驟包括濕式蝕刻及/或乾式蝕刻。諸如氫氧化四甲銨(TMAH)溶液之濕蝕刻劑可用於選擇性地蝕刻第二半導體層25,而不蝕刻第一半導體層20。
接下來,如第24A圖及第24B圖圖示,介電材料層60形成於第23A圖及第23B圖之結構上方。第24A圖圖示透視圖及第24B圖圖示對應於切割鰭結構30之第22A圖之線X1-X1的橫截面視圖。在第24B圖中,未圖示墊氮化矽層56及氧化矽遮罩層58。在一些實施例中,介電材料層60包括氮化矽基材料,諸如SiN、SiON、SiOCN或SiCN及其組合,且不同於閘極側壁間隔物55之材料。在某些實施例中,介電材料為氮化矽。介電材料層60完全填充腔體27及相鄰第一半導體層20之間的間隙,如第24B圖圖示。介電材料層60可使用包括低壓化學氣相沉積及電漿增強化學氣相沉積之化學氣相沉積、物理氣相沉積、原子層沉積或其他適合製程形成。
接下來,如第25A圖及第25B圖圖示,執行一或多個蝕刻操作以形成介電內部間隔物62。第25A圖圖示透 視圖及第25B圖圖示對應於第22A圖之線X1-X1的橫截面視圖。在第25B圖中,未圖示墊氮化矽層56及氧化矽遮罩層58。蝕刻操作包括一或多個濕式蝕刻操作及/或乾式蝕刻操作。在某些實施例中,蝕刻在一些實施例中為等向性蝕刻。在一些實施例中,介電內部間隔物62沿Y方向之最大厚度的範圍為約0.5nm至約5nm。如第25A圖及第25B圖圖示,介電材料層60之一部分保留在底部鰭結構11上方,且介電材料60之一部分保留在閘極側壁間隔物55上作為第二閘極側壁間隔物64。在一些實施例中,第二閘極側壁間隔物64之厚度範圍為約2nm至約15nm。去除形成於隔離絕緣層40上之介電材料層60。
隨後,如第26A圖及第26B圖圖示,水平凹陷(蝕刻)第一半導體層20,以使第一半導體層20之邊緣實質上位於閘極側壁間隔物55下方,且形成腔體22。第26A圖圖示透視圖及第26B圖圖示對應於第22A圖之線X1-X1的橫截面視圖。在第26B圖中,未圖示墊氮化矽層56及氧化矽遮罩層58。如第26B圖圖示,在一些實施例中,第一半導體層20之端部部分(邊緣)具有凹形形狀,諸如V形狀或U形狀。第一半導體層20從包括一個閘極側壁間隔物55之表面之平面凹陷之深度D4的範圍為約7nm至約15nm。第一半導體層25之蝕刻步驟包括濕式蝕刻及/或乾式蝕刻。諸如氫氧化銨(NH4OH)、四甲銨溶液之濕蝕刻劑可用於選擇性地蝕刻第一半導體層20,而不蝕刻第二半導體層25。在一些實施例中,深度D4大於深度D3。如第26A圖圖示,第一 半導體層20藉由此蝕刻步驟與第一閘極側壁間隔物55及第二閘極側壁間隔物64分離。
在形成腔體22之後,形成源極/汲極(S/D)磊晶層80,如第27A圖及第27B圖圖示。第27A圖圖示透視圖及第27B圖圖示對應於第22A圖之線X1-X1的橫截面視圖。在第27B圖中,未圖示墊氮化矽層56及氧化矽遮罩層58。源極/汲極磊晶層80包括用於N型通道場效電晶體之一或多層Si、SiP、SiC及SiCP。源極/汲極磊晶層80藉由使用化學氣相沉積、原子層沉積或分子束磊晶(MBE)之磊晶生長方法而形成。如第27B圖圖示,第一半導體層20之至少一者與源極/汲極磊晶層80之間的介面位於閘極側壁間隔物55之一者之下。
隨後,形成襯墊層85及隨後形成層間介電(ILD)層90,如第28A圖及第28B圖圖示。第28A圖圖示透視圖及第28B圖圖示對應於第22A圖之線X1-X1的橫截面視圖。
襯墊層85由諸如氮化矽之氮化矽基材料組成,並且在後續蝕刻操作中作為接觸蝕刻停止層(CESL)。層間介電層90之材料包括諸如氧化矽、SiCOH及SiOC之化合物,此化合物包括Si、O、C及/或H。諸如聚合物之有機材料可用於層間介電層90。在形成層間介電層90之後,執行諸如化學機械拋光之平坦化操作,以便暴露犧牲閘電極層54,如第28A圖及第28B圖圖示。
接下來,如第29A圖及第29B圖圖示,去除犧牲閘電極層54及犧牲閘極介電層52,從而暴露鰭結構30之通道區域。第29A圖圖示透視圖及第29B圖圖示對應於第22A圖之線X1-X1的橫截面視圖。層間介電層90在去除犧牲閘極結構50期間保護源極/汲極磊晶層80。可使用電漿乾式蝕刻及/或濕式蝕刻去除犧牲閘極結構50。當犧牲閘電極層54為多晶矽及層間介電層90為氧化矽時,諸如TMAH溶液之濕蝕刻劑可用於選擇性地去除犧牲閘電極層54。此後使用電漿乾式蝕刻及/或濕式蝕刻去除犧牲閘極介電層52。
在去除犧牲閘極結構50之後,去除鰭結構30之通道區域中的第二半導體層25,從而形成第一半導體層20之線,如第30A圖及第30B圖圖示。第30A圖圖示透視圖及第30B圖圖示對應於第22A圖之線X1-X1的橫截面視圖。
使用可選擇性蝕刻第二半導體層25之蝕刻劑去除或蝕刻第二半導體層25。當第一半導體層20為Si及第二半導體層25為Ge或SiGe時,第一半導體層20可使用一濕蝕刻劑選擇性地去除,此濕蝕刻劑諸如但不限於氫氧化四甲銨(TMAH)、乙二胺鄰苯二酚(EDP)或氫氧化鉀(KOH)溶液。
在形成第一半導體層20之線之後,形成閘極結構100,如第31A圖及第31B圖圖示。第31A圖圖示透視圖及第31B圖圖示對應於第31A圖之線X1-X1的橫截面視圖。閘極介電層104圍繞每個通道層(第一半導體層20之線)形成,及閘電極層108形成於閘極介電層104上方。
在某些實施例中,閘極介電層104包括一或多層介電材料,諸如氧化矽、氮化矽或高介電常數介電材料,其他適合介電材料,及/或其組合。高介電常數介電材料之實例包括HfO2、HfSiO、HfSiON、HfTaO、HfTiO、HfZrO、氧化鋯、氧化鋁、氧化鈦、二氧化鉿-氧化鋁(HfO2-Al2O3)合金、其他適合高介電常數介電材料,及/或其組合。在一些實施例中,界面層102形成於通道層與閘極介電層104之間。閘極介電層104可藉由化學氣相沉積、原子層沉積或任何適合方法而形成。在一個實施例中,使用諸如原子層沉積之高度共形沉積製程形成閘極介電層104,以確保圍繞每個通道層具有均勻厚度之閘極介電層的形成。在一個實施例中閘極介電層102之厚度範圍為約1nm至約6nm。
在一些實施例中,閘電極層108形成於閘極介電層104上方以圍繞每個通道層。閘電極108包括一或多層導電材料,諸如多晶矽、鋁、銅、鈦、鉭、鎢、鈷、鉬、氮化鉭、矽化鎳、矽化鈷、TiN、WN、TiAl、TiAlN、TaCN、TaC、TaSiN、金屬合金、其他適合材料,及/或其組合。閘電極層108可藉由化學氣相沉積、原子層沉積、電鍍、或其他適合方法而形成。閘電極層亦沉積於層間介電層90之頂表面上方。接下來藉由使用例如化學機械拋光將形成於層間介電層90上方之閘極介電層104及閘電極層108平坦化,直到露出層間介電層90。
在某些實施例中,將一或多個功函數調整層106插入閘極介電層104與閘電極層108之間。功函數調整層106由導電材料組成,導電材料諸如單層TiN、TaN、TaAlC、TiC、TaC、Co、Al、TiAl、HfTi、TiSi、TaSi或TiAlC,或者兩種或更多種此等材料之多層。對於N型通道場效電晶體,TaN、TaAlC、TiN、TiC、Co、TiAl、HfTi、TiSi及TaSi之一或多者用作功函數調整層。功函數調整層106可藉由原子層沉積、物理氣相沉積、化學氣相沉積、電子束蒸發或其他適當製程而形成。此外,可分別針對可使用不同金屬層之N型通道場效電晶體及P型通道場效電晶體形成功函數調整層106。
應理解,閘極全環繞場效電晶體經歷另外的CMOS製程以形成各種特徵,諸如觸點/通孔、互連金屬層、介電層、鈍化層等等。
本文描述之各種實施例或實例相較於現有技術提供若干優勢。例如,在本揭示之一實施方式中,通道(半導體線)不與閘極側壁間隔物接觸且閘極側壁間隔物不與源極/汲極磊晶層(SiP層)接觸。因此,可以減少閘極側壁間隔物之下之介面狀態密度(Dit)。此外,藉由使用比通道之Ge或SiGe更大的能隙材料來接觸通道之端部,可能減少Ge能隙至能隙通道漏電流(band-to-band channel leakage)。另外,因為介電材料層之剩餘層設置在源極/汲極磊晶層之底部處,所以有可能減少基板漏電流。
應當理解,並非所有優點都必須在本文中論述,無特別的優點為所有實施例或實例所必需,並且其他實施例或實例可以提供不同的優點。
根據本揭示之一實施方式之一個態樣,在半導體元件之製造方法中,形成鰭結構,其中包括複數個第一半導體層及複數個第二半導體層交替堆疊,於底部鰭結構上方。具有複數個側壁間隔物之犧牲閘極結構形成於鰭結構上方。複數個側壁間隔物以垂直於半導體基板之主表面的方向形成。去除未被犧牲閘極結構覆蓋之鰭結構的源極/汲極區域。橫向凹陷第二半導體層。複數個介電內部間隔物形成於凹陷第二半導體層之橫向端部上。橫向凹陷第一半導體層。形成源極/汲極磊晶層以接觸凹陷第一半導體層之橫向端部。去除第二半導體層,從而露出通道區域中之第一半導體層。形成閘極結構圍繞第一半導體層。在上述及以下實施例之一或多者中,第一半導體層中的至少一者與源極/汲極磊晶層之間的介面位於側壁間隔物中的一者之下。在上述及以下實施例之一或多者中,介面比側壁間隔物中的一者的中心線更接近閘極結構。在上述及以下實施例之一或多者中,側壁間隔物不與第一半導體層接觸。在上述及以下實施例之一或多者中,形成介電內部間隔物之步驟包括形成介電層及蝕刻此介電層,且源極/汲極磊晶層藉由此介電層之一部分與底部鰭結構分隔。在上述及以下實施例之一或多者中,側壁間隔物之材料不同於介電內部間隔物之材料。在上述及以下實施例之一或多者中,介電內部間隔物之材料為氮化矽。在 上述及以下實施例之一或多者中,側壁間隔物之材料為SiOC、SiCON及SiCN中的一者。在上述及以下實施例之一或多者中,第一半導體層由Ge或Si1-xGex組成,其中0.5
Figure 108126055-A0202-12-0034-59
x<1,且第二半導體層由Si1-yGey組成,其中0.2
Figure 108126055-A0202-12-0034-60
y
Figure 108126055-A0202-12-0034-61
0.6且x>y。
根據本揭示之一實施方式之另一態樣,在半導體元件之製造方法中,形成鰭結構,其中鰭結構包含複數個第一半導體層及複數個第二半導體層交替堆疊,於底部鰭結構上方。形成具有複數個側壁間隔物的犧牲閘極結構於鰭結構上方。側壁間隔物以垂直於半導體基板之主表面的方向形成。去除未由犧牲閘極結構覆蓋之鰭結構的源極/汲極區域中的第二半導體層。形成介電層。蝕刻源極/汲極區域中之介電層及第一半導體層,從而在第二半導體層之橫向端部處形成複數個介電內部間隔物。橫向凹陷第一半導體層。形成源極/汲極磊晶層以接觸凹陷第一半導體層之橫向端部。去除第二半導體層,從而露出通道區域中的第一半導體層。形成閘極結構圍繞第一半導體層。在上述及以下實施例之一或多者中,第一半導體層中的至少一者與源極/汲極磊晶層之間的介面位於側壁間隔物中的一者之下。在上述及以下實施例之一或多者中,側壁間隔物並不與第一半導體層接觸。在上述及以下實施例之一或多者中,側壁間隔物之材料不同於介電內部間隔物之材料。在上述及以下實施例之一或多者中,介電內部間隔物之材料為氮化矽。在上述及以下實施例之一或多者中,側壁間隔物之材料為SiOC、SiCON及SiCN 中的一者。在上述及以下實施例之一或多者中,第一半導體層由Ge或Si1-xGex組成,其中0.5
Figure 108126055-A0202-12-0035-62
x<1,及第二半導體層由Si1-yGey組成,其中0.2
Figure 108126055-A0202-12-0035-63
y
Figure 108126055-A0202-12-0035-64
0.6且x>y。在上述及以下實施例之一或多者中,在形成介電內部間隔物之後,介電層之一部分保留在側壁間隔物上。在上述及以下實施例之一或多者中,源極/汲極磊晶層藉由介電層之一部分與底部鰭結構分離。
根據本揭示之一實施方式之另一態樣,在半導體元件之製造方法中,形成鰭結構,其中包括複數個第一半導體層及複數個第二半導體層交替堆疊,於底部鰭結構上方。犧牲閘極結構形成於鰭結構上方。側壁間隔物形成於犧牲閘極結構之相對側面上。去除鰭結構之源極/汲極區域。橫向凹陷第二半導體層。介電內部間隔物形成於凹陷第二半導體層之橫向端部上。橫向凹陷第一半導體層。形成源極/汲極磊晶層以接觸凹陷第一半導體層之橫向端部。形成層間介電層。去除犧牲閘極結構。去除第二半導體層,從而露出通道區域中之第一半導體層。閘極結構圍繞第一半導體層。在上述及以下實施例之一或多者中,側壁間隔物之材料不同於介電內部間隔物之材料。
根據本揭示之一實施方式之一個態樣,半導體元件包括垂直佈置的複數個半導體線,其每一者均具有通道區域、連接至半導體線之複數個端部的源極/汲極磊晶層、具有圍繞半導體線形成之複數個側壁間隔物的閘極結構、及設置於閘極結構與源極/汲極磊晶層之間之複數個介電內部 間隔物。半導體線中的至少一者與源極/汲極磊晶層之間的介面位於側壁間隔物中的一者之下。在上述及以下實施例之一或多者中,側壁間隔物並不與半導體線接觸。在上述及以下實施例之一或多者中,介面比側壁間隔物中的一者的中心線更接近閘極結構。在上述及以下實施例之一或多者中,半導體線之端部具有V形或U形橫截面。在上述及以下實施例之一或多者中,側壁間隔物之材料不同於介電內部間隔物之材料。在上述及以下實施例之一或多者中,介電內部間隔物之材料為氮化矽。在上述及以下實施例之一或多者中,側壁間隔物之材料為SiOC、SiCON及SiCN中的一者。在上述及以下實施例之一或多者中,半導體線由Ge或Si1-xGex組成,其中0.5
Figure 108126055-A0202-12-0036-65
x<1.0。在上述及以下實施例之一或多者中,源極/汲極磊晶層包括SiP。在上述及以下實施例之一或多者中,整個介電內部間隔物位於側壁間隔物之下。
根據本揭示之一實施方式之另一態樣,半導體元件包括:垂直佈置的複數個半導體線,其每一者均具有通道區域;連接至半導體線之複數個端部的源極/汲極磊晶層;閘極結構,具有圍繞半導體線形成之複數個第一側壁間隔物;設置於閘極結構與源極/汲極磊晶層之間的複數個介電內部間隔物;及設置在第一側壁間隔物上之第二側壁間隔物。第一側壁間隔物不與半導體線接觸。在上述及以下實施例之一或多者中,第二側壁間隔物並不與半導體線接觸。在上述及以下實施例之一或多者中,半導體導線之至少一者與源極/汲極磊晶層之間的介面位於第一側壁間隔物之一者之 下。在上述及以下實施例之一或多者中,介電內部間隔物之至少一者與源極/汲極磊晶層之間的介面位於第一側壁間隔物之一者之下的區域外。在上述及以下實施例之一或多者中,第二側壁間隔物之材料與介電內部間隔物之材料相同。在上述及以下實施例之一或多者中,第一側壁間隔物之材料不同於介電內部間隔物之材料。在上述及以下實施例之一或多者中,介電內部間隔物之材料為氮化矽。在上述及以下實施例之一或多者中,第一側壁間隔物之材料為SiOC、SiCON及SiCN之一者。在上述及以下實施例之一或多者中,半導體線由Ge或Si1-xGex組成,其中0.5
Figure 108126055-A0202-12-0037-66
x<1.0。
根據本揭示之一實施方式之另一態樣,半導體元件包括垂直佈置的複數個半導體線,其每一者均具有通道區域、連接至半導體線之複數個端部的源極/汲極磊晶層、具有圍繞半導體線形成之複數個側壁間隔物的閘極結構、及設置於閘極結構與源極/汲極磊晶層之間之複數個介電內部間隔物。側壁間隔物不與半導體線接觸。
上文概述若干實施例之特徵或實例,使得熟習此項技術者可更好地理解本揭示之一實施方式之態樣。熟習此項技術者應瞭解,可輕易使用本揭示之一實施方式作為設計或修改其他製程及結構的基礎,以便實施本文所介紹之實施例或實例的相同目的及/或實現相同優勢。熟習此項技術者亦應認識到,此類等效結構並未脫離本揭示之一實施方式之精神及範疇,且可在不脫離本揭示之一實施方式之精神及範疇的情況下產生本文的各種變化、替代及更改。
11‧‧‧底部鰭結構
20‧‧‧半導體線
55‧‧‧閘極側壁間隔物
60‧‧‧介電層
62‧‧‧介電內部間隔物
80‧‧‧源極/汲極磊晶層
85‧‧‧襯墊層
90‧‧‧層間介電層
100‧‧‧閘極結構
102‧‧‧界面層
104‧‧‧閘極介電層
106‧‧‧功函數調整層
108‧‧‧閘電極層
A-A’、B-B’、C-C’‧‧‧線

Claims (20)

  1. 一種半導體元件的製造方法,包括以下步驟:
    形成一鰭結構,其包含複數個第一半導體層及複數個第二半導體層交替堆疊於一底部鰭結構上方;
    在該鰭結構上方形成具有複數個側壁間隔物的一犧牲閘極結構,該些側壁間隔物以垂直於一半導體基板之一主表面的一方向形成;
    去除未被該犧牲閘極結構覆蓋的該鰭結構的一源極/汲極區域;
    橫向凹陷該些第二半導體層;
    在該些經凹陷第二半導體層之橫向端部上形成複數個介電內部間隔物;
    橫向凹陷該些第一半導體層;
    形成一源極/汲極磊晶層以接觸該些經凹陷第一半導體層之橫向端部;
    去除該些第二半導體層,從而露出一通道區域中之該些第一半導體層;以及
    形成一閘極結構圍繞該些第一半導體層。
  2. 如請求項1所述之方法,其中在該些第一半導體層中的至少一者與該源極/汲極磊晶層之間的一介面位於該些側壁間隔物中的一者之下。
  3. 如請求項2所述之方法,其中該介面比該些側壁間隔物中的一者的一中心線更靠近該閘極結構。
  4. 如請求項1所述之方法,其中該些側壁間隔物不與該些第一半導體層接觸。
  5. 如請求項1所述之方法,其中:
    形成該些介電內部間隔物之步驟包括形成一介電層及蝕刻該介電層,及
    該源極/汲極磊晶層藉由該介電層之一部分與該底部鰭結構分隔。
  6. 如請求項1所述之方法,其中該些側壁間隔物之一材料不同於該些介電內部間隔物之一材料。
  7. 如請求項6所述之方法,其中該些介電內部間隔物之該材料為氮化矽。
  8. 如請求項6所述之方法,其中該些側壁間隔物之該材料為SiOC、SiCON及SiCN中的一者。
  9. 如請求項1所述之方法,其中該些第一半導體層由Ge或Si 1-xGe x組成,其中0.5
    Figure 108126055-A0202-13-0002-67
    x<1,且該些第二半導體層由Si 1-yGe y組成,其中0.2
    Figure 108126055-A0202-13-0002-68
    y
    Figure 108126055-A0202-13-0002-69
    0.6且x>y。
  10. 一種半導體元件的製造方法,包括以下步驟:
    形成一鰭結構,其中該鰭結構包含複數個第一半導體層及複數個第二半導體層交替堆疊於一底部鰭結構上方;
    在該鰭結構上方形成具有複數個側壁間隔物的一犧牲閘極結構,該些側壁間隔物以垂直於一半導體基板之一主表面的一方向形成;
    去除未由該犧牲閘極結構覆蓋之該鰭結構的一源極/汲極區域中的該些第二半導體層;
    形成一介電層;
    在該源極/汲極區域中蝕刻該介電層及該些第一半導體層,從而在該些第二半導體層之橫向端部形成複數個介電內部間隔物;
    橫向凹陷該些第一半導體層;
    形成一源極/汲極磊晶層以接觸該些經凹陷第一半導體層之橫向端部;
    去除該些第二半導體層,從而露出一通道區域中的該些第一半導體層;以及
    形成一閘極結構圍繞該些第一半導體層。
  11. 如請求項10所述之方法,其中在該些第一半導體層中的至少一者與該源極/汲極磊晶層之間的一介面位於該些側壁間隔物中的一者之下。
  12. 如請求項10所述之方法,其中該些側壁間隔物不與該些第一半導體層接觸。
  13. 如請求項10所述之方法,其中該些側壁間隔物之一材料不同於該些介電內部間隔物之一材料。
  14. 如請求項13所述之方法,其中該些介電內部間隔物之該材料為氮化矽。
  15. 如請求項13所述之方法,其中該些側壁間隔物之該材料為SiOC、SiCON及SiCN中的一者。
  16. 如請求項10所述之方法,其中該些第一半導體層由Ge或Si 1-xGe x組成,其中0.5
    Figure 108126055-A0202-13-0003-70
    x<1,且該 些第二半導體層由Si 1-yGe y組成,其中0.2
    Figure 108126055-A0202-13-0004-71
    y
    Figure 108126055-A0202-13-0004-72
    0.6且x>y。
  17. 如請求項10所述之方法,其中在形成該些介電內部間隔物之後,該介電層之一部分保留在該些側壁間隔物上。
  18. 如請求項10所述之方法,其中該源極/汲極磊晶層藉由該介電層之一部分與該底部鰭結構分隔。
  19. 一種半導體元件,包括:
    垂直佈置的複數個半導體線,其每一者均具有一通道區域;
    一源極/汲極磊晶層,其連接至該些半導體線之複數個端部;
    一閘極結構,具有圍繞該些半導體線形成之複數個側壁間隔物;以及
    複數個介電內部間隔物,設置在該閘極結構與該源極/汲極磊晶層之間,
    其中在該些半導體線中的至少一者與該源極/汲極磊晶層之間的一介面位於該些側壁間隔物中的一者之下。
  20. 如請求項19所述之半導體元件,其中該些側壁間隔物不與該些半導體線接觸。
TW108126055A 2018-07-31 2019-07-23 半導體元件及其製造方法 TWI726365B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862712868P 2018-07-31 2018-07-31
US62/712,868 2018-07-31
US16/396,405 2019-04-26
US16/396,405 US11038043B2 (en) 2018-07-31 2019-04-26 Semiconductor device and manufacturing method thereof

Publications (2)

Publication Number Publication Date
TW202008512A true TW202008512A (zh) 2020-02-16
TWI726365B TWI726365B (zh) 2021-05-01

Family

ID=69227879

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108126055A TWI726365B (zh) 2018-07-31 2019-07-23 半導體元件及其製造方法

Country Status (3)

Country Link
US (3) US11038043B2 (zh)
CN (1) CN110783200B (zh)
TW (1) TWI726365B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI780729B (zh) * 2020-05-29 2022-10-11 台灣積體電路製造股份有限公司 半導體結構及其製造方法
US11699742B2 (en) 2020-05-29 2023-07-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with varying numbers of channel layers and method of fabrication thereof
TWI832259B (zh) * 2021-07-09 2024-02-11 台灣積體電路製造股份有限公司 奈米結構電晶體裝置及半導體裝置之形成方法

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11038043B2 (en) * 2018-07-31 2021-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10825919B2 (en) * 2019-02-21 2020-11-03 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of fabricating semiconductor devices having gate-all-around structure with inner spacer last process
KR20200136688A (ko) * 2019-05-28 2020-12-08 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US11799018B2 (en) * 2019-08-23 2023-10-24 Semiconductor Manufacturing International (Shanghai) Corporation Semiconductor structure and method for forming the same
US11695055B2 (en) 2020-03-03 2023-07-04 Taiwan Semiconductor Manufacturing Co., Ltd. Passivation layers for semiconductor devices
US11450738B2 (en) * 2020-03-27 2022-09-20 Intel Corporation Source/drain regions in integrated circuit structures
US11581414B2 (en) 2020-03-30 2023-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around devices with optimized gate spacers and gate end dielectric
KR20210124731A (ko) * 2020-04-07 2021-10-15 삼성전자주식회사 게이트 스페이서를 갖는 반도체 소자들
US11670692B2 (en) 2020-05-13 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around devices having self-aligned capping between channel and backside power rail
DE102021109275A1 (de) * 2020-05-13 2021-11-18 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around-vorrichtungen mit selbstausgerichteter abdeckung zwischen kanal und rückseitiger leistungsschiene
US11532702B2 (en) 2020-05-19 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain isolation structures for leakage prevention
US11437492B2 (en) 2020-05-20 2022-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture
DE102020127567A1 (de) 2020-05-20 2021-11-25 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung und verfahren zu ihrer herstellung
US11271113B2 (en) 2020-06-12 2022-03-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US11322505B2 (en) 2020-06-30 2022-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. Ferroelectric random access memory devices and methods
US20220122893A1 (en) * 2020-10-19 2022-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Device with Leakage Current Suppression and Method for Forming the Same
US11670550B2 (en) 2021-01-21 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Nanostructure field-effect transistor device and method of forming
US11710737B2 (en) 2021-02-05 2023-07-25 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid semiconductor device
US11545559B2 (en) 2021-04-14 2023-01-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US20230009347A1 (en) * 2021-07-09 2023-01-12 Taiwan Semiconductor Manufacturing Company Limited Semiconductor devices and methods of manufacturing thereof
FR3125915A1 (fr) * 2021-10-07 2023-02-03 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede de gravure selective isotrope de silicium

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9006829B2 (en) 2012-08-24 2015-04-14 Taiwan Semiconductor Manufacturing Company, Ltd. Aligned gate-all-around structure
US9209247B2 (en) 2013-05-10 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned wrapped-around structure
US8969149B2 (en) 2013-05-14 2015-03-03 International Business Machines Corporation Stacked semiconductor nanowires with tunnel spacers
US9246003B2 (en) * 2013-11-19 2016-01-26 Globalfoundries Inc. FINFET structures with fins recessed beneath the gate
US9136332B2 (en) 2013-12-10 2015-09-15 Taiwan Semiconductor Manufacturing Company Limited Method for forming a nanowire field effect transistor device having a replacement gate
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9515172B2 (en) * 2014-01-28 2016-12-06 Samsung Electronics Co., Ltd. Semiconductor devices having isolation insulating layers and methods of manufacturing the same
US9608116B2 (en) 2014-06-27 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. FINFETs with wrap-around silicide and method forming the same
US9647098B2 (en) 2014-07-21 2017-05-09 Samsung Electronics Co., Ltd. Thermionically-overdriven tunnel FETs and methods of fabricating the same
US9412817B2 (en) 2014-12-19 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide regions in vertical gate all around (VGAA) devices and methods of forming same
US9536738B2 (en) 2015-02-13 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (VGAA) devices and methods of manufacturing the same
US9502265B1 (en) 2015-11-04 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (VGAA) transistors and methods of forming the same
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US10164012B2 (en) 2015-11-30 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9583399B1 (en) * 2015-11-30 2017-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
CN108475697A (zh) 2015-12-22 2018-08-31 英特尔公司 具有嵌入式电介质间隔的纳米线晶体管
TW201730837A (zh) 2016-02-26 2017-09-01 沙升駿 高安全性共享經濟之送貨系統及方法
US9773886B1 (en) 2016-03-15 2017-09-26 Samsung Electronics Co., Ltd. Nanosheet and nanowire devices having doped internal spacers and methods of manufacturing the same
US9711608B1 (en) * 2016-06-03 2017-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10840381B2 (en) 2016-08-10 2020-11-17 International Business Machines Corporation Nanosheet and nanowire MOSFET with sharp source/drain junction
US9653289B1 (en) 2016-09-19 2017-05-16 International Business Machines Corporation Fabrication of nano-sheet transistors with different threshold voltages
US9923055B1 (en) 2016-10-31 2018-03-20 International Business Machines Corporation Inner spacer for nanosheet transistors
KR102564325B1 (ko) 2017-01-04 2023-08-07 삼성전자주식회사 다수의 채널 영역을 가지는 반도체 장치
US10410931B2 (en) 2017-01-09 2019-09-10 Samsung Electronics Co., Ltd. Fabricating method of nanosheet transistor spacer including inner spacer
US9954058B1 (en) 2017-06-12 2018-04-24 International Business Machines Corporation Self-aligned air gap spacer for nanosheet CMOS devices
US9991352B1 (en) 2017-07-17 2018-06-05 Globalfoundries Inc. Methods of forming a nano-sheet transistor device with a thicker gate stack and the resulting device
US10211307B2 (en) 2017-07-18 2019-02-19 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of manufacturing inner spacers in a gate-all-around (GAA) FET through multi-layer spacer replacement
US10361278B2 (en) 2017-08-30 2019-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10629679B2 (en) * 2017-08-31 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10714592B2 (en) 2017-10-30 2020-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10818777B2 (en) 2017-10-30 2020-10-27 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10355102B2 (en) 2017-11-15 2019-07-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing the same
KR102399071B1 (ko) * 2017-11-17 2022-05-17 삼성전자주식회사 반도체 장치
US10263100B1 (en) * 2018-03-19 2019-04-16 International Business Machines Corporation Buffer regions for blocking unwanted diffusion in nanosheet transistors
US11038043B2 (en) 2018-07-31 2021-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11335604B2 (en) 2018-10-31 2022-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI780729B (zh) * 2020-05-29 2022-10-11 台灣積體電路製造股份有限公司 半導體結構及其製造方法
US11699742B2 (en) 2020-05-29 2023-07-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with varying numbers of channel layers and method of fabrication thereof
TWI832259B (zh) * 2021-07-09 2024-02-11 台灣積體電路製造股份有限公司 奈米結構電晶體裝置及半導體裝置之形成方法

Also Published As

Publication number Publication date
TWI726365B (zh) 2021-05-01
US20210320191A1 (en) 2021-10-14
US11581426B2 (en) 2023-02-14
CN110783200A (zh) 2020-02-11
US20200044061A1 (en) 2020-02-06
US11038044B2 (en) 2021-06-15
US20200044060A1 (en) 2020-02-06
US11038043B2 (en) 2021-06-15
CN110783200B (zh) 2023-03-10

Similar Documents

Publication Publication Date Title
TWI726365B (zh) 半導體元件及其製造方法
US20210265464A1 (en) Semiconductor device and manufacturing method thereof
US11594615B2 (en) Semiconductor device and method of manufacturing the same
KR102027037B1 (ko) 반도체 디바이스 제조 방법 및 반도체 디바이스
KR102354010B1 (ko) 반도체 디바이스 및 그 제조 방법
US10090157B2 (en) Semiconductor device and manufacturing method thereof
US10629679B2 (en) Method of manufacturing a semiconductor device and a semiconductor device
KR102354012B1 (ko) 반도체 디바이스의 제조 방법 및 반도체 디바이스
TW202038338A (zh) 半導體元件的製造方法及其元件
US11823957B2 (en) Method of manufacturing a semiconductor device and a semiconductor device
US11854900B2 (en) Semiconductor device and method of forming the same
US11894446B2 (en) Method of manufacturing a semiconductor device
TWI746351B (zh) 半導體裝置與其製造方法
KR102495777B1 (ko) 멀티-층 내부 스페이서들을 갖는 멀티-게이트 디바이스들 및 그 제조 방법들
US20230377984A1 (en) Method of manufacturing a semiconductor device and a semiconductor device
TW202127511A (zh) 半導體裝置的形成方法