TW202000971A - 薄氧化鉿膜中摻雜劑濃度之可調性 - Google Patents

薄氧化鉿膜中摻雜劑濃度之可調性 Download PDF

Info

Publication number
TW202000971A
TW202000971A TW108117266A TW108117266A TW202000971A TW 202000971 A TW202000971 A TW 202000971A TW 108117266 A TW108117266 A TW 108117266A TW 108117266 A TW108117266 A TW 108117266A TW 202000971 A TW202000971 A TW 202000971A
Authority
TW
Taiwan
Prior art keywords
hafnium
substrate
bis
zirconium
iii
Prior art date
Application number
TW108117266A
Other languages
English (en)
Other versions
TWI815891B (zh
Inventor
葛納茲 卡巴席安
達信 黃
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202000971A publication Critical patent/TW202000971A/zh
Application granted granted Critical
Publication of TWI815891B publication Critical patent/TWI815891B/zh

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Plasma & Fusion (AREA)
  • Ceramic Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Electrochromic Elements, Electrophoresis, Or Variable Reflection Or Absorption Elements (AREA)

Abstract

茲描述沉積強鐵電性氧化鉿薄膜的方法。氧化鉿單層係在第一製程循環形成,第一製程循環包含使基板相繼接觸鉿前驅物、淨化氣體、第一氧化劑和淨化氣體。摻雜氧化鉿單層係在第二製程循環形成,第二製程循環包含使基板相繼接觸鉿前驅物、淨化氣體、摻雜劑前驅物、淨化氣體、第二氧化劑和淨化氣體。亦描述氧化鉿薄膜。

Description

薄氧化鉿膜中摻雜劑濃度之可調性
本發明實施例係關於電子裝置製造領域,特別係關於積體電路(IC)製造。更特別地,本發明實施例提供摻雜氧化鉿薄膜,其中摻雜劑量可特別控制。
積體電路已發展成複雜裝置,其單一晶片包括數百萬個電晶體、電容器和電阻器。在積體電路發展過程中,功能密度(即每晶片面積的互連裝置數量)普遍增加,同時幾何尺寸(即製造製程可製造的最小部件(或線路))減小。
電晶體為一般形成在半導體裝置上的電路部件或元件。除了電容器、電感器、電阻器、二極體、導線或其他元件,取決於電路設計,還有許多電晶體形成於半導體裝置。金氧半導體場效電晶體(MOSFET)係一種場效電晶體(FET)。MOSFET具有絕緣閘極,閘極電壓決定裝置的導電率。利用施加電壓量改變導電率的能力可用於放大或切換電子信號。
大體而言,電晶體包括形成在源極區與汲極區間的閘極堆疊。源極區與汲極區可包括基板的摻雜區,及呈現適合特定應用的摻雜分佈。閘極堆疊位於通道區上方,且可包括插置在閘極電極與基板內通道區間的閘極介電層。
負電容FET(NCFET)已提出做為替代電晶體。NCFET具有和MOSFET一樣的結構,除了鐵電薄膜用作閘極絕緣體。鐵電材料用作閘極絕緣體時會產生負電容。
摻雜氧化鉿膜展現鐵電性,鐵電性易受摻雜劑濃度影響。就厚度小至2奈米(nm)的薄膜而言,HfO2 單層和摻雜劑單層總計不超過20-30個。若插入幾乎整個摻雜劑膜單層來摻雜HfO2 膜,此係典型原子層沉積(ALD)情況,則堆疊的摻雜劑濃度將為約3-5莫耳%的整數倍。因此,習知一個接一個單層加入摻雜劑的方法將造成罕見可達成、1-10莫耳%的摻雜程度,此乃大多數摻雜氧化鉿膜達成鐵電性所需。故需要精密控制/微調薄膜的摻雜劑濃度和鐵電性。
茲描述製造積體電路的設備和方法。在一或更多實施例中,描述沉積膜的方法。在一實施例中,第一沉積循環包含在處理腔室中使基板接觸鉿前驅物以沉積含鉿單層、清除處理腔室的鉿前驅物、使基板接觸第一氧化劑以與含鉿單層反應而形成氧化鉿單層,及清除處理腔室的第一氧化劑。第二沉積循環包含在處理腔室中使基板接觸鉿前驅物以沉積第二含鉿單層、清除處理腔室的鉿前驅物、使基板接觸摻雜劑前驅物以於具第二含鉿單層的基板上沉積含摻雜劑層、清除處理腔室的摻雜劑前驅物、使基板接觸第二氧化劑以與含鉿單層和含摻雜劑層反應而於基板上形成摻雜氧化鉿膜,及清除基板的第二氧化劑。
在一或更多實施例中,描述沉積膜的方法。氧化鉿單層係在第一製程循環形成,第一製程循環包含使基板相繼接觸鉿前驅物、淨化氣體、第一氧化劑和淨化氣體。摻雜氧化鉿單層係在第二製程循環形成,第二製程循環包含使基板相繼接觸鉿前驅物、淨化氣體、摻雜劑前驅物、淨化氣體、第二氧化劑和淨化氣體。第一製程循環或第二製程循環重複一或更多次,以形成厚度約0.5至約10 nm的摻雜氧化鉿膜。
在一或更多實施例中,描述在基板上的薄膜。薄膜包含小於或等於約50個摻雜摻雜劑的HfO2 單層,摻雜劑包含一或更多的鋁(Al)、矽(Si)、鋯(Zr)、釔(Y)、鑭(La)、釓(Gd)或鍶(Sr)。摻雜劑存量為約1莫耳%至約9莫耳%,其中薄膜具有約10至約50微庫侖/平方公分(50 µC cm-2 )的殘餘極化量。
在敘述本發明的數個示例性實施例前,應理解本發明不限於下文所述構造或處理步驟細節。本發明當能具有其他實施例並以各種方式實踐或施行。
本說明書和後附申請專利範圍所用「基板」一詞係指進行處理的表面或部分表面。熟諳此技術者亦應理解,除非內文另行指明,否則指稱基板可指僅部分基板。此外,指稱沉積至基板上可指裸基板和具一或更多膜或特徵結構沉積或形成於上的基板。
本文所用「基板」一詞係指任何基板或形成於基板上以於製造製程期間進行膜處理的材料表面。例如,可進行處理的基板表面包括諸如矽、氧化矽、應變矽、矽上絕緣體(SOI)、碳摻雜氧化矽、非晶矽、摻雜矽、鍺、砷化鎵、玻璃、藍寶石等材料和任何其他材料,例如金屬、金屬氮化物、金屬合金與其他導電材料,此視應用而定。基板包括、但不限於半導體晶圓。基板可接觸預處理製程,以拋光、蝕刻、還原、氧化、羥基化(或產生或接枝目標化學基元而給予化學官能性)、退火及/或烘烤基板表面。除了直接對基板表面本身進行處理,在本發明中,所述任一膜處理步驟亦可在形成於基板的底層進行,此另詳述於後,「基板表面」一詞擬包括內文所指底層。故例如,當膜/層或部分膜/層沉積至基板表面時,新沉積膜/層的露出表面便成為基板表面。給定基板表面所含物將取決於待沉積材料及所用特定化學物質。
本說明書和後附申請專利範圍所用「前驅物」、「反應物」、「反應氣體」等用詞可互換使用指稱任何可與基板表面反應的氣態物種。
本文所用「原子層沉積」或「循環沉積」係指相繼接觸二或更多反應化合物以沉積材料層至基板表面。基板或部分基板相繼接觸二或更多反應化合物,反應化合物引入處理腔室的反應區。在時域ALD製程中,接觸各反應化合物由延時隔開,使化合物得黏著及/或於基板表面反應,接著清除處理腔室。反應化合物據述相繼接觸基板。在空間ALD製程中,基板表面的不同部分或基板表面的材料同時接觸二或更多反應化合物,使基板上的任一給定點同時實質不接觸超過一種反應化合物。在本說明書和後附申請專利範圍中,在此所用「實質」一詞如熟諳此技術者所理解意指一小部分的基板可能因擴散而同時接觸多個反應氣體,然同時接觸非意圖使然。
在一時域ALD製程態樣中,第一反應氣體(即第一前驅物或化合物A)脈衝供給反應區,然後經第一延時。接著,第二前驅物或化合物B脈衝供給反應區,然後經第二延時。在各延時期間,淨化氣體(例如氬)引入處理腔室,以清除反應區或自反應區移除任何殘留反應化合物或反應副產物。或者,淨化氣體可持續流遍整個沉積製程,如此在反應化合物脈衝間的延時期間僅有淨化氣體流動。反應化合物交替脈衝供給,直到預定膜或膜厚形成於基板表面。在任一情況下,脈衝供給化合物A、淨化氣體、化合物B和淨化氣體的ALD製程係一個循環。循環可始於化合物A或化合物B,並繼續循環的相應順序,直到達成具預定厚度的膜。
在一空間ALD製程實施例中,第一反應氣體和第二反應氣體(例如氮氣)同時輸送到反應區,但被惰性氣簾及/或真空簾隔開。基板相對氣體輸送設備移動,使基板上的任一給定點接觸第一反應氣體和第二反應氣體。
大體而言,電晶體包括形成在源極區與汲極區間的閘極堆疊。源極區與汲極區可包括基板的摻雜區,及呈現適合特定應用的摻雜分佈。閘極堆疊位於通道區上方,且可包括插置在閘極電極與基板內通道區間的閘極介電層。負電容場效電晶體(NCFET)具有和MOSFET一樣的結構,除了鐵電薄膜(或鐵電/介電膜堆疊)用作閘極絕緣體。鐵電材料用作閘極絕緣體時會產生負電容FET。在一或更多實施例中,氧化鉿薄膜有利地具有鐵電性,特別係當摻雜劑(例如鋁)攙入主體晶格時。
本文所用「鐵電性」一詞係指具自發電極化的材料特性,此可藉由施加外部電場而反轉。
ALD製造的一或更多複合薄膜實施例具有許多應用,包括、但不限於邏輯與記憶應用。更特別地,薄膜(例如摻雜摻雜劑(例如ZrO2 、Al2 O3 、Y2 O3 等)的氧化鉿(HfO2 ))展現鐵電性,低功率邏輯與記憶應用對此深感興趣。
傳統上,摻雜氧化鉿ALD膜以[A-B]-[C-B]沉積製程形成。傳統上,氧化鉿單層係在第一製程循環形成,第一製程循環包含使基板相繼接觸鉿前驅物、淨化氣體、第一氧化劑和淨化氣體。摻雜氧化鉿單層係在第二製程循環形成,第二製程循環包含使基板相繼接觸摻雜劑前驅物、淨化氣體、第二氧化劑和淨化氣體。第一製程循環或第二製程循環可重複一或更多次來形成膜。
第二習用製程涉及以[AC]-[B]製程共伴流入鉿前驅物和摻雜劑前驅物。特定言之,摻雜氧化鉿單層係藉由使基板接觸鉿前驅物與摻雜劑前驅物、然後依序為淨化氣體、氧化劑和淨化氣體而形成。
大多數摻雜氧化鉿(HfO2 )膜的鐵電性在摻雜劑約4莫耳%至約9莫耳%時達到最大。對於更低及更高摻雜劑濃度,鐵電性急劇陡降。
本文所述實施例有利地提供摻雜氧化鉿膜,並具有精密控制/微調摻雜劑濃度的能力。根據一或更多實施例,藉由改變各單層的摻雜劑濃度,可顯著改善薄膜中的摻雜劑可調性。此摻雜劑可調性進而有利地提供對摻雜氧化鉿膜鐵電性的優異控制。特別地,實施例提供在薄膜(即厚度約2 nm及包含約30個單層的膜)中低至約1.5莫耳%的摻雜劑可調性。
不擬侷限於理論,據信本文所述一或更多方法實施例的重要性在於對極薄膜來說,2 nm或至多30個循環,此方法有利地可在約1.5至約9莫耳%的範圍內達成多個濃度(增量1.5莫耳%),藉以微調鐵電薄膜性質。
對於一或更多摻雜氧化鉿(HfO2 )膜實施例,每一含摻雜劑層涉及在脈衝供給及清除摻雜劑前驅物前脈衝供給及清除含鉿前驅物,然後為氧化劑脈衝。如此,不到100%的含摻雜劑層由摻雜劑組成。
在一或更多實施例中,描述沉積膜的方法。在一實施例中,第一沉積循環包含在處理腔室中使基板接觸鉿前驅物以沉積含鉿單層、清除處理腔室的鉿前驅物、使基板接觸第一氧化劑以與含鉿單層反應而形成氧化鉿單層,及清除處理腔室的第一氧化劑。第二沉積循環包含在處理腔室中使基板接觸鉿前驅物以沉積第二含鉿層、清除處理腔室的鉿前驅物、使基板接觸摻雜劑前驅物以於具第二含鉿層的基板上沉積含摻雜劑層、清除處理腔室的摻雜劑前驅物、使基板接觸第二氧化劑以與含鉿單層和含摻雜劑層反應而於基板上形成摻雜氧化鉿單層,及清除基板的第二氧化劑。
第1圖圖示根據本發明一或更多實施例,沉積膜的方法100的流程圖。參照第1圖,方法100包含第一沉積循環110和第二沉積循環130。方法100始於操作105:把基板放入處理腔室。
基板可為熟諳此技術者已知的任何基板。在一或更多實施例中,基板包含一或更多的矽(Si)、氧化矽(SiO2 )、鍺(Ge)、矽鍺(SiGe)、二硫化鉬(MoS2 )、二硒化鉬(MoSe2 )、二硫化鎢(WS2 )、二硒化鎢(WSe2 )、氮化鈦(TiN)、氮化鉭(TaN)、鎢(W)、鉑(Pt)或銥(Ir)。
在操作114中,在處理腔室中,使基板接觸鉿前驅物,以沉積含鉿單層。
在一或更多實施例中,鉿前驅物選自一或更多的四(乙基甲基醯胺基)鉿(IV)(TEMAHf)、四(二甲基醯胺基)鉿(IV)(TDMAHf)、四(二乙基醯胺基)鉿(IV)(TDEAHf)、四氯化鉿(HfCl4 )、異丙氧化鉿異丙醇加成物、叔丁氧化鉿(IV)、二甲基雙(環戊二烯基)鉿(IV)、雙(三甲基矽基)醯胺基氯化鉿(IV)、雙(甲基-η5 -環戊二烯基)甲氧基甲基鉿或雙(甲基-η5 -環戊二烯基)二甲基鉿。
在操作116中,清除處理腔室的鉿前驅物。清除可用任何不與基板、基板上的膜及/或處理腔室壁反應的適合氣體完成。適合淨化氣體包括、但不限於氮(N2 )、氦(He)和氬(Ar)。
在操作118中,使基板接觸第一氧化劑,以與含鉿單層反應而形成氧化鉿單層。在一或更多實施例中,第一氧化劑選自水(H2 O)、分子氧(O2 )、臭氧(O3 )、直接O2 電漿或遠端O2 電漿。在操作120中,清除處理腔室的第一氧化劑。
第二沉積循環130始於操作132:在處理腔室中,使基板接觸鉿前驅物,以沉積第二含鉿單層。
在一或更多實施例中,鉿前驅物選自一或更多的四(乙基甲基醯胺基)鉿(IV)(TEMAHf)、四(二甲基醯胺基)鉿(IV)(TDMAHf)、四(二乙基醯胺基)鉿(IV)(TDEAHf)、四氯化鉿(HfCl4 )、異丙氧化鉿異丙醇加成物、叔丁氧化鉿(IV)、二甲基雙(環戊二烯基)鉿(IV)、雙(三甲基矽基)醯胺基氯化鉿(IV)、雙(甲基-η5 -環戊二烯基)甲氧基甲基鉿或雙(甲基-η5 -環戊二烯基)二甲基鉿。
如熟諳此技術者所知,用於第二沉積循環的鉿前驅物可為和用於第一沉積循環一樣的前驅物,或者鉿前驅物可為不同前驅物。在一或更多實施例中,用於第一沉積循環的鉿前驅物和用於第二沉積循環的鉿前驅物一樣。
在操作134中,清除處理腔室的鉿前驅物。在操作136中,使基板接觸摻雜劑前驅物,以於具第二含鉿單層的基板上沉積含摻雜劑層。
在一或更多實施例中,摻雜劑選自一或更多的鋁(Al)、矽(Si)、鋯(Zr)、釔(Y)、鑭(La)、釓(Gd)或鍶(Sr)。在一或更多實施例中,摻雜劑選自一或更多的氧化鋁、氧化矽、氧化鋯、氧化釔、氧化鑭、氧化釓或氧化鍶。
在一或更多實施例中,摻雜劑前驅物選自一或更多的三甲基鋁(TMA)、三乙基鋁(TEA)、氯化鋁(AlCl3 )、四氯化矽(SiCl4 )、六氯二矽烷(Si2 Cl6 )、雙(二乙基胺基)矽烷、三(二甲基胺基)矽烷、三(異丙基環戊二烯基)鑭、三(2,2,6,6-四甲基-3,5-庚二酸鑭(III)(La(thd)3 )、三(環戊基二烯基)鑭(III)(LaCp3 )、三(異丙基環戊二烯基)鑭(III)(La(Cpi Pr)3 )、四(乙基甲基醯胺基)鋯(IV)(TEMAZr)、四(二甲基醯胺基)鋯(IV)(TDMAZr)、四(2,2,6,6-四甲基-3,5-庚二酸)鋯、2-乙基己酸鋯(IV)、二丁氧化(雙-2,4-戊二酸)鋯(IV)、四(二乙基醯胺基)鋯(IV)(TDEAZr)、二甲基雙(五甲基環戊二烯基)鋯(IV)、雙(甲基-η5 -環戊二烯基)甲氧基甲基鋯、雙(環戊二烯基)二氫化鋯(IV)、四氯化鋯(ZrCl4 )、三(環戊基二烯基)釔(III)(YCp3 )、三(甲基環戊二烯基)釔(III)((MeCp)3 Y)、三(乙基環戊二烯基)釔(III)((EtCp)3 Y)、三(2,2,6,6-四甲基-3,5-庚二酸)釔(III)(Y(thd)3 )、三(2,2,6,6-四甲基-3,5-庚二酸)釓(III)(Gd(thd)3 )、三(甲基環戊二烯基)釓(III)((MeCp)3 Gd)、三(乙基環戊二烯基)釓(III)((EtCp)3 Gd)、雙(三異丙基環戊二烯基)鍶(II)((i -Pr3 Cp)2 Sr)、雙(三甲基正丙基環戊二烯基)鍶(II)((n -PrMe3 Cp)2 Sr)或雙(甲基環戊二烯基)鍶(II)(Sr(thd)2 )。
在操作138中,清除處理腔室的摻雜劑前驅物。在操作140中,使基板接觸第二氧化劑,以與含鉿及含摻雜劑物種反應形成摻雜含鉿層,而於基板上形成摻雜氧化鉿膜。
在一或更多實施例中,第二氧化劑選自H2 O、分子氧(O2 )、臭氧(O3 )、直接O2 電漿或遠端O2 電漿。如熟諳此技術者所知,用於第二沉積循環的氧化劑可為和用於第一沉積循環一樣的氧化劑,或者用於第二沉積循環的氧化劑可不同於用於第一沉積循環的氧化劑。在一或更多實施例中,第一氧化劑和第二氧化劑個別選自H2 O、分子氧(O2 )、臭氧(O3 )、直接O2 電漿或遠端O2 電漿。在一或更多實施例中,用於第一沉積循環的氧化劑和用於第二沉積循環的氧化劑一樣。
在操作142中,清除基板的第二氧化劑。
如熟諳此技術者所知,清除處理腔室包含使淨化氣體流過基板上方。在一或更多實施例中,淨化氣體選自一或更多的Ar、N2 或He。淨化氣體可用於清除處理腔室的鉿前驅物、第一氧化劑、摻雜劑前驅物或第二氧化劑。在一些實施例中,相同淨化氣體用於各清除操作。在其他實施例中,不同淨化氣體用於不同清除操作。
參照第2A圖至第2F圖,一或更多實施例係針對膜堆疊200。在一些實施例中,膜堆疊200係負電容場效電晶體(NCFET)的閘極堆疊的一部分。
膜堆疊200形成在基板210上,基板可為任何適合材料或形狀。在所示實施例中,基板210係平坦表面,膜堆疊200以方框表示。然熟諳此技術者將理解,基板210可具有一或更多特徵結構(例如溝槽或通孔),膜堆疊200可形成順應基板210的表面形狀。
在一或更多實施例中,基板210包含一或更多的矽(Si)、氧化矽(SiO2 )、鍺(Ge)、矽鍺(SiGe)、二硫化鉬(MoS2 )、二硒化鉬(MoSe2 )、二硫化鎢(WS2 )、二硒化鎢(WSe2 )、氮化鈦(TiN)、氮化鉭(TaN)、鉑(Pt)、銥(Ir)或鎢(W)。
在一或更多實施例中,基板210包含矽晶圓或矽鰭片並具有0.5-2 nm的氧化矽層間介電質。
在一或更多實施例中,在第一沉積循環期間,基板210放在處理腔室250中接觸鉿前驅物,以沉積含鉿單層220至基板210上,此如第2A圖所示。鉿前驅物可為任何適合鉿前驅物,包括、但不限於第1圖方法所述相關鉿前驅物。
清除處理腔室250的鉿前驅物。在一或更多實施例中,清除處理腔室250包含使淨化氣體(未圖示)流過基板210上方。在一或更多實施例中,淨化氣體選自一或更多的Ar、N2 或He。
參照第2B圖,基板210在處理腔室250中接觸第一氧化劑(未圖示),以與含鉿單層220反應而形成氧化鉿單層230。在一或更多實施例中,第一氧化劑選自H2 O、分子氧(O2 )、臭氧(O3 )、直接O2 電漿或遠端O2 電漿。接著清除處理腔室250的第一氧化劑。
參照第2C圖,在第二沉積循環中,基板210在處理腔室250a中接觸鉿前驅物,以沉積第二含鉿單層240。如熟諳此技術者所知,用於第一沉積循環的處理腔室250可和用於第二沉積循環的處理腔室250a一樣或不同。在一或更多實施例中,用於第一沉積循環的處理腔室為和用於第二沉積循環一樣的處理腔室。在一或更多實施例中,用於第一沉積循環的處理腔室為和用於第二沉積循環不同的處理腔室。接著清除處理腔室250a的鉿前驅物。在一或更多實施例中,清除處理腔室250a包含使淨化氣體(未圖示)流過基板210上方。在一或更多實施例中,淨化氣體選自一或更多的Ar、N2 或He。
參照第2D圖,基板210在處理腔室250a中接觸摻雜劑前驅物,以於具第二含鉿單層240的基板210上沉積含摻雜劑層260。參照第3A圖至第3D圖,不擬侷限於理論,據信摻雜劑前驅物不與鉿前驅物反應,而是吸附於鉿脈衝供給期間的未反應位點或置換一些吸附鉿前驅物。第3A圖圖示具氧化鉿單層230的基板210。氧化鉿單層230的表面有一些活性位點232。雖然活性位點232繪示成均勻間隔,但諳練技術人員將明白此僅描述之用。在第3B圖中,鉿前驅物接觸基板210和化學吸附於活性位點232的鉿物種242。儘管鉿前驅物與基板表面的反應係自限性,然至少一些活性位點244仍可用、但難以接近鉿前驅物(例如因立體障礙)。在第3C圖中,摻雜劑前驅物接觸基板210,並與可用活性位點244結合而於具鉿物種242的表面形成摻雜劑物種262。氧化後,如第3D圖所示,鉿物種242和摻雜劑物種262即氧化形成摻雜氧化鉿膜255。摻雜氧化鉿膜255繪示為氧化鉿膜256與摻雜劑氧化物膜257的堆集。在一或更多實施例中,摻雜氧化鉿膜255包含或本質由約40莫耳%的鋁摻雜劑組成。摻雜氧化鉿膜270可具有許多層摻雜氧化鉿膜255,故膜堆疊200的濃度可從約1.5莫耳%摻雜劑濃度增量調整至至多約40莫耳%。儘管示出離散邊界,然諳練技術人員將明白,摻雜劑原子、鉿原子和氧原子乃無規分散遍及摻雜氧化鉿膜255。
一或更多含鋁層實施例含有約1莫耳%至約9莫耳%的氧化鋁(Al2 O3 )。莫耳%可以熟諳此技術者已知的任何機構測量。例如,莫耳%可利用一或更多的X光光電子能譜(XPS)、原子發射光譜(AES)、二次離子質譜(SIMS)、Rutherford反散射光譜(RBS)、能量色散X光光譜(EDX)或電子能量損失光譜(EELS)測量,但不以此為限。
接著清除處理腔室250a的摻雜劑前驅物。如上所述,在一或更多實施例中,清除處理腔室250a包含使淨化氣體(未圖示)流過基板210上方。在一或更多實施例中,淨化氣體選自一或更多的Ar、N2 或He。
參照第2E圖,基板210在處理腔室250a中接觸第二氧化劑,以與第二含鉿單層240和含摻雜劑層260反應而於基板210上形成摻雜氧化鉿膜270。在一或更多實施例中,第二氧化劑選自H2 O、分子氧(O2 )、臭氧(O3 )、直接O2 電漿或遠端O2 電漿。接著清除處理腔室250a的第二氧化劑。如上所述,在一或更多實施例中,清除處理腔室250a包含使淨化氣體(未圖示)流過基板210上方。在一或更多實施例中,淨化氣體選自一或更多的Ar、N2 或He。
如熟諳此技術者所知,用於第二沉積循環的氧化劑可為和用於第一沉積循環一樣的氧化劑,或者用於第二沉積循環的氧化劑可不同於用於第一沉積循環的氧化劑。
在一或更多實施例中,摻雜氧化鉿膜270包含約1莫耳%至約40莫耳%的摻雜劑。在一或更多實施例中,摻雜氧化鉿膜270包含約1莫耳%至約9莫耳%的摻雜劑。莫耳%係測量存於摻雜氧化鉿膜的氧化物型摻雜劑量。例如,在一或更多實施例中,摻雜劑包含鋁(Al),摻雜氧化鉿膜係鋁摻雜氧化鉿膜。一或更多鋁摻雜氧化鉿膜實施例含有約1莫耳%至約40莫耳%的氧化鋁(Al2 O3 )。一或更多特定鋁摻雜氧化鉿膜實施例含有約1莫耳%至約9莫耳%的氧化鋁(Al2 O3 )。莫耳%可以熟諳此技術者已知的任何機構測量。例如,莫耳%可利用一或更多的X光光電子能譜(XPS)、原子發射光譜(AES)、二次離子質譜(SIMS)、Rutherford反散射光譜(RBS)、能量色散X光光譜(EDX)或電子能量損失光譜(EELS)測量,但不以此為限。
在一或更多實施例中,摻雜氧化鉿膜270的厚度為約0.5奈米(nm)至約10 nm,包括約0.5 nm至約8 nm、約0.5 nm至約7 nm、約0.5 nm至約6 nm、約0.5 nm至約5 nm、約0.5 nm至約4 nm、約0.5 nm至約3 nm及約0.5 nm至約2 nm。在一些實施例中,摻雜氧化鉿膜的厚度為小於約10 nm,包括小於約9 nm、小於約8 nm、小於約7 nm、小於約6 nm、小於約5 nm、小於約4 nm、小於約3 nm及小於約2 nm。在一些實施例中,摻雜氧化鉿膜的厚度為約0.5 nm、約1 nm、約1.5 nm、約2 nm、約2.5 nm、約3 nm、約3.5 nm、約4 nm、約4.5 nm、約5 nm、約5.5 nm、約6 nm、約6.5 nm、約7 nm、約7.5 nm、約8 nm、約8.5 nm、約9 nm、約9.5 nm及約10 nm。
在一或更多實施例中,摻雜氧化鉿膜具有總計小於或等於約150個單層,包括總計小於或等於約140個單層,包括總計小於或等於約130個單層、總計小於或等於約120個單層、總計小於或等於約110個單層、總計小於或等於約100個單層、總計小於或等於約90個單層、總計小於或等於約80個單層、總計小於或等於約70個單層、總計小於或等於約60個單層、總計小於或等於約50個單層,包括總計小於或等於約45個單層、總計小於或等於約40個單層、總計小於或等於約35個單層、總計小於或等於約30個單層、總計小於或等於約25個單層或總計小於或等於約20個單層。
參照第2F圖,方法包含重複第一沉積循環或第二沉積循環一或更多次,以形成厚度T約0.5至約10 nm並具總計小於或等於約150個單層的摻雜氧化鉿膜270。
參照第2A圖至第2F圖,在一或更多實施例中,沉積膜的方法包含在第一製程循環形成氧化鉿單層230,第一製程循環包含使基板210相繼接觸鉿前驅物、淨化氣體、第一氧化劑和淨化氣體。摻雜氧化鉿膜270係在第二製程循環形成,第二製程循環包含使基板210相繼接觸鉿前驅物、淨化氣體、摻雜劑前驅物、淨化氣體、第二氧化劑和淨化氣體。第一製程循環或第二製程循環可重複一或更多次,以形成厚度約0.5 nm至約10 nm的摻雜氧化鉿膜。
一或更多實施例係針對薄膜,此亦稱作膜堆疊。在一些實施例中,薄膜係負電容場效電晶體(NCFET)的閘極堆疊的一部分。在一或更多實施例中,薄膜包含小於或等於約30個摻雜摻雜劑的HfO2 單層,摻雜劑包含一或更多的鋁(Al)、矽(Si)、鋯(Zr)、釔(Y)、鑭(La)、釓(Gd)或鍶(Sr),摻雜劑存量為約2莫耳%至約9莫耳%,其中薄膜具有約10至約50µC cm-2 的殘餘極化量。
在一或更多實施例中,薄膜具有約10至約50µC cm-2 的殘餘極化量,包括約10 µC cm-2 、約15 µC cm-2 、約20 µC cm-2 、約25 µC cm-2 、約30 µC cm-2 、約35 µC cm-2 、約40 µC cm-2 、約45 µC cm-2 及約50 µC cm-2
薄膜含有總計1莫耳%至約40莫耳%的摻雜劑。在一或更多特定實施例中,薄膜包含小於約30個摻雜氧化鋁的氧化鉿(HfO2 )單層,氧化鋁存量為約4莫耳%至約8莫耳%。薄膜的厚度為約0.5 nm至約10 nm。
第4圖圖示電漿系統800的方塊圖,用於進行一或更多實施例的至少一些方法。所示電漿系統800具有處理腔室801。可動基座802支托置於處理腔室801的基板803。基座802可包含靜電夾盤(ESC)、嵌入ESC的直流(DC)電極和冷卻/加熱基底。在一實施例中,基座802用作移動陰極。在一實施例中,ESC包含Al2 O3 材料、Y2 O3 或電子裝置製造領域的一般技術人士已知的其他陶瓷材料。DC電源804連接基座802的DC電極。在一些實施例中,基座802包括加熱器(未圖示),加熱器能使基板溫度升高至第一溫度。雖然靜電夾盤繪示為基座802,熟諳此技術者將理解此僅為舉例說明,其他基座類型當落在本發明範圍內。
如第4圖所示,基板803經由開口808裝載及放到基座802上。電漿系統800包含入口,藉由質量流量控制器811輸入一或更多製程氣體812至電漿源813。包含噴淋頭814的電漿源813耦接處理腔室801,以接收一或更多製程氣體812而產生電漿。電漿源813耦接射頻(RF)電源810。電漿源813藉由噴淋頭814,利用高頻電場,在處理腔室801中由一或更多製程氣體812產生電漿815。電漿815包含電漿粒子,例如離子、電子、自由基或上述任一組合物。在一實施例中,電源810以約400千赫至約162兆赫的頻率供應約50瓦(W)至約3000 W的功率,以產生電漿815。
電漿偏壓功率805經由RF匹配807耦接基座802(例如陰極),以激發電漿。在一實施例中,電漿偏壓功率805以約2兆赫至60兆赫的頻率提供不大於1000 W的偏壓功率,在一特定實施例中為約13兆赫。亦可提供電漿偏壓功率806,例如以約400千赫至約60兆赫的頻率提供不大於1000 W的另一偏壓功率,在一特定實施例中為約60兆赫。電漿偏壓功率806和電漿偏壓功率805連接RF匹配807,以提供雙頻偏壓功率。在一實施例中,施加至基座802的總偏壓功率為約10 W至約3000 W。
如第4圖所示,壓力控制系統809提供處理腔室801壓力。腔室801具有一或更多排放出口816,以排空腔室處理期間製造的揮發性產物。在一實施例中,電漿系統800係電感耦合電漿(ICP)系統。在一實施例中,電漿系統800係電容耦合電漿(CCP)系統。
在一些實施例中,控制系統817耦接處理腔室801。控制系統817包含處理器818、耦接處理器818的溫度控制器819、耦接處理器818的記憶體820和耦接處理器818的輸入/輸出裝置821。記憶體820可包括一或更多的暫時記憶體(例如隨機存取記憶體)和非暫時記憶體(例如儲存器)。
在一實施例中,處理器818具有控制下列一或更多者的構造:在處理腔室中使基板接觸鉿前驅物;在處理腔室中清除基板;在處理腔室中使基板接觸摻雜劑前驅物;或在基板上形成薄膜,薄膜包含少於或等於約50個摻雜摻雜劑的HfO2 單層。
控制系統817可配置以進行所述至少一些方法,且可為軟體或硬體或二者組合。電漿系統800可為此領域已知的任何高性能處理電漿系統類型,例如、但不限於蝕刻器、清洗器、熔爐或任何其他製造電子裝置的電漿系統。
第5圖圖示根據本發明一或更多實施例的系統900,用於處理基板。系統900可稱作叢集工具。系統900包括內含機械手912的中央移送站910。機械手912繪示為單片機械手;然熟諳此技術者將明白其他機械手912的構造當落在本發明範圍內。機械手912配置以在連接中央移送站910的腔室間移動一或更多基板。
至少一預清洗腔室920連接中央移送站910。預清洗腔室920可包括一或更多的加熱器、輻射源或電漿源。預清洗腔室920流體連通活化劑。示例性預清洗腔室920為第4圖所示電漿系統800。
在一些實施例中,有兩個預清洗腔室920連接中央移送站910。在第5圖所示實施例中,預清洗腔室920當作在工作介面905與中央移送站910間的中轉室。工作介面905可包括一或更多機械手906來將基板從卡匣移動到預清洗腔室920。機械手912接著將基板從預清洗腔室920移動到系統900內的其他腔室。
沉積腔室930連接中央移送站910。沉積腔室930包含基座來支托基板。沉積腔室930流體連通一或更多反應氣源,以提供一或更多反應氣流至沉積腔室930。沉積腔室的反應氣體包括可於基板上形成單層的分子。
沉積腔室930可為任何適合腔室,以提供分子流及控制基板溫度。第4圖所示電漿系統800亦可用作沉積腔室930。基板可利用通過隔離閥914的機械手912移動進出沉積腔室930。
選擇性沉積腔室940亦可連接中央移送站910。選擇性沉積腔室940可為任何適合沉積腔室,包括、但不限於CVD、ALD、PECVD、PEALD或PVD腔室。在一些實施例中,選擇性沉積腔室940包含ALD腔室。ALD腔室可為時域腔室,其中反應氣體相繼接觸基板,如此在任何給定時間只有一種反應氣體存於腔室。在一些實施例中,ALD腔室係空間ALD腔室,其中反應氣體同時流入處理腔室的不同區域,反應氣體由氣簾隔開,以防止反應氣體間產生氣相反應。在空間ALD腔室中,基板在處理腔室含有不同反應氣體的區域間移動,以沉積膜。
其他處理腔室可連接中央移送站910。在所示實施例中,灰化腔室960經由隔離閥914連接中央移送站910。灰化腔室960可為任何適合腔室,以於選擇性沉積後移除薄膜。
至少一控制器950耦接中央移送站910、預清洗腔室920、沉積腔室930、選擇性沉積腔室940或灰化腔室960。在一些實施例中,超過一個控制器950連接各別腔室或站,主控處理器耦接各獨立處理器,以控制系統900。控制器950可為用於工業設定的任一型通用電腦處理器、微控制器、微處理器等,用以控制各種腔室和子處理器。
至少一控制器950可具有處理器952、耦接處理器952的記憶體954、耦接處理器952的輸入/輸出裝置956和聯繫不同電子部件的支援電路958。記憶體954可包括一或更多的暫時記憶體(例如隨機存取記憶體)和非暫時記憶體(例如儲存器)。
處理器的記憶體954或電腦可讀取媒體可為一或更多容易取得的記憶體,例如隨機存取記憶體(RAM)、唯讀記憶體(ROM)、軟碟、硬碟或任何其他類型的本端或遠端數位儲存器。記憶體954可保存指令集,指令集由處理器952操作以控制系統900的參數和部件。支援電路958耦接處理器952,以依習知方式支援處理器。電路可包括如快取記憶體儲存器、電源、時脈電路、輸入/輸出電路和次系統等。
製程通常儲存於記憶體做為軟體常式,處理器執行以促使處理腔室進行本發明製程。軟體常式亦可由第二處理器(未圖示)儲存及/或執行,第二處理器遠離處理器控制的硬體。本發明的一些或所有方法亦可以硬體進行。如此,製程可以軟體實現及利用電腦系統執行、於硬體(例如特殊應用積體電路)或其他硬體類型實現,或為軟體與硬體組合。由處理器執行時,軟體常式將通用電腦轉換成特定用途電腦(控制器),以控制腔室操作來進行製程。
除非本文另行指明或明確與內文牴觸,否則本文以術語「一」與「該」及類似指涉對象描述所述材料和方法(特別係下文申請專利範圍)應解釋成涵蓋單數和複數形式。除非本文另行指明,否則本文提及數值範圍僅欲做為個別提及落在範圍內的各獨立數值簡略表達形式,各獨立數值如本文個別提及般併入說明書。除非本文另行指明或明確與內文牴觸,否則本文所述所有方法可依任何適合順序進行。除非另外聲明,否則本文提供任一和所有實例或示例性語言(例如「例如」)僅擬用於更佳地說明材料和方法,而不會對範圍構成限制。說明書的任何語言都不應解釋成指示任一非主張元件為實踐所述材料和方法的必要條件。
整份說明書提及的「一個實施例」、「某些實施例」、「一或更多實施例」或「一實施例」意指該實施例描述的特定特徵、結構、材料或特性係包括在本發明的至少一實施例內。故說明書各處出現的「在一或更多實施例中」、「在某些實施例中」、「在一個實施例中」或「在一實施例中」等用語不必然指稱本發明的同一實施例。另外,在一或更多實施例中,特定特徵、結構、材料或特性可以任何適合方式結合。
雖然本發明已參照特定實施例敘述,然應理解該等實施例僅為說明本發明的原理和應用。熟諳此技術者將明白,在不脫離本發明的精神和範圍下,當可對本發明方法和設備作各種更動與潤飾。因此,本發明擬包括落在後附申請專利範圍內的修改例與變化例和均等物。
100‧‧‧方法 110、130‧‧‧沉積循環 105、114、116、118、120、132、134、136、138、140、142‧‧‧操作 200‧‧‧膜堆疊 210‧‧‧基板 220、240‧‧‧含鉿單層 230‧‧‧氧化鉿單層 232、244‧‧‧活性位點 242‧‧‧鉿物種 250、250a‧‧‧處理腔室 255、256‧‧‧氧化鉿膜 257‧‧‧摻雜劑氧化物膜 260‧‧‧含摻雜劑層 262‧‧‧摻雜劑物種 270‧‧‧摻雜氧化鉿膜 800‧‧‧電漿系統 801‧‧‧處理腔室 802‧‧‧基座 803‧‧‧基板 804‧‧‧DC電源 805、806‧‧‧偏壓功率 807‧‧‧RF匹配 808‧‧‧開口 809‧‧‧壓力控制系統 810‧‧‧RF電源 811‧‧‧質量流量控制器 812‧‧‧製程氣體 813‧‧‧電漿源 814‧‧‧噴淋頭 815‧‧‧電漿 816‧‧‧排放出口 817‧‧‧控制系統 818‧‧‧處理器 819‧‧‧溫度控制器 820‧‧‧記憶體 821‧‧‧輸入/輸出裝置 900‧‧‧系統 905‧‧‧工作介面 906、912‧‧‧機械手 910‧‧‧移送站 914‧‧‧隔離閥 920‧‧‧預清洗腔室 930、940‧‧‧沉積腔室 950‧‧‧控制器 952‧‧‧處理器 954‧‧‧記憶體 956‧‧‧輸入/輸出裝置 958‧‧‧支援電路 960‧‧‧灰化腔室 T‧‧‧厚度
為讓本發明的上述概要特徵更明顯易懂,可配合參考實施例說明,部分實施例乃圖示在附圖。然應注意所附圖式僅說明本發明典型實施例,故不宜視為限定本發明範圍,因為本發明可接納其他等效實施例。本文所述實施例僅為舉例說明而不限於附圖圖式,其中相同的元件符號代表相似的元件。
第1圖圖示根據所述實施例,形成薄膜的方法實施例流程圖;
第2A圖圖示根據一或更多實施例,基板的截面圖;
第2B圖圖示根據一或更多實施例,基板的截面圖;
第2C圖圖示根據一或更多實施例,基板的截面圖;
第2D圖圖示根據一或更多實施例,基板的截面圖;
第2E圖圖示根據一或更多實施例,基板的截面圖;
第2F圖圖示根據一或更多實施例,基板的截面圖;
第3A圖圖示根據一或更多實施例,基板的截面圖;
第3B圖圖示根據一或更多實施例,基板的截面圖;
第3C圖圖示根據一或更多實施例,基板的截面圖;
第3D圖圖示根據一或更多實施例,基板的截面圖;
第4圖係根據本發明一或更多實施例,處理腔室的方塊圖;及
第5圖係根據本發明一或更多實施例,叢集工具系統的方塊圖。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
200‧‧‧膜堆疊
210‧‧‧基板
270‧‧‧摻雜氧化鉿膜
T‧‧‧厚度

Claims (20)

  1. 一種沉積一膜的方法,該方法包含以下步驟: 一第一沉積循環,包含以下步驟:在一處理腔室中,使一基板接觸一鉿前驅物,以沉積一含鉿單層;清除該處理腔室的該鉿前驅物;使該基板接觸一第一氧化劑,以與該含鉿單層反應而形成一氧化鉿單層;清除該處理腔室的該第一氧化劑;及一第二沉積循環,包含以下步驟:在一處理腔室中,使該基板接觸一鉿前驅物,以沉積一第二含鉿單層;清除該處理腔室的該鉿前驅物;使該基板接觸一摻雜劑前驅物,以於具該第二含鉿單層的該基板上沉積一含摻雜劑層;清除該處理腔室的該摻雜劑前驅物;使該基板接觸一第二氧化劑,以與該含鉿單層與該含摻雜劑層反應而於該基板上形成一摻雜氧化鉿膜;及清除該處理腔室的該第二氧化劑。
  2. 如請求項1所述之方法,其中該摻雜劑選自一或更多的鋁(Al)、矽(Si)、鋯(Zr)、釔(Y)、鑭(La)、釓(Gd)或鍶(Sr)。
  3. 如請求項1所述之方法,其中該鉿前驅物選自一或更多的四(乙基甲基醯胺基)鉿(IV)(TEMAHf)、四(二甲基醯胺基)鉿(IV)(TDMAHf)、四(二乙基醯胺基)鉿(IV)(TDEAHf)、四氯化鉿(HfCl4 )、異丙氧化鉿異丙醇加成物、叔丁氧化鉿(IV)、二甲基雙(環戊二烯基)鉿(IV)、雙(三甲基矽基)醯胺基氯化鉿(IV)、雙(甲基-η5 -環戊二烯基)甲氧基甲基鉿或雙(甲基-η5 -環戊二烯基)二甲基鉿。
  4. 如請求項1所述之方法,其中該摻雜劑前驅物選自一或更多的三甲基鋁(TMA)、三乙基鋁(TEA)、氯化鋁(AlCl3 )、四氯化矽(SiCl4 )、六氯二矽烷(Si2 Cl6 )、雙(二乙基胺基)矽烷、三(二甲基胺基)矽烷、三(異丙基環戊二烯基)鑭、三(2,2,6,6-四甲基-3,5-庚二酸鑭(III)(La(thd)3 )、三(環戊基二烯基)鑭(III)(LaCp3 )、三(異丙基環戊二烯基)鑭(III)(La(Cpi Pr)3 )、四(乙基甲基醯胺基)鋯(IV)(TEMAZr)、四(二甲基醯胺基)鋯(IV)(TDMAZr)、四(2,2,6,6-四甲基-3,5-庚二酸)鋯、2-乙基己酸鋯(IV)、二丁氧化(雙-2,4-戊二酸)鋯(IV)、四(二乙基醯胺基)鋯(IV)(TDEAZr)、二甲基雙(五甲基環戊二烯基)鋯(IV)、雙(甲基-η5 -環戊二烯基)甲氧基甲基鋯、雙(環戊二烯基)二氫化鋯(IV)、四氯化鋯(ZrCl4 )、三(環戊基二烯基)釔(III)(YCp3 )、三(甲基環戊二烯基)釔(III)((MeCp)3 Y)、三(乙基環戊二烯基)釔(III)((EtCp)3 Y)、三(2,2,6,6-四甲基-3,5-庚二酸)釔(III)(Y(thd)3 )、三(2,2,6,6-四甲基-3,5-庚二酸)釓(III)(Gd(thd)3 )、三(甲基環戊二烯基)釓(III)((MeCp)3 Gd)、三(乙基環戊二烯基)釓(III)((EtCp)3 Gd)、雙(三異丙基環戊二烯基)鍶(II)((i -Pr3 Cp)2 Sr)、雙(三甲基正丙基環戊二烯基)鍶(II)((n -PrMe3 Cp)2 Sr)或雙(甲基環戊二烯基)鍶(II)(Sr(thd)2 )。
  5. 如請求項1所述之方法,其中該摻雜氧化鉿膜包含約1莫耳%至約40莫耳%的摻雜劑。
  6. 如請求項1所述之方法,其中該第一氧化劑和該第二氧化劑個別選自H2 O、分子氧(O2 )、臭氧(O3 )、直接O2 電漿或遠端O2 電漿。
  7. 如請求項1所述之方法,其中該清除該處理腔室包含使一淨化氣體流過該基板上方。
  8. 如請求項7所述之方法,其中該淨化氣體選自一或更多的Ar、N2 或He。
  9. 如請求項1所述之方法,其中該基板包含一或更多的矽、SiO2 、Ge、SiGe、MoS2 、MoSe2 、WS2 、WSe2 、TiN、TaN、Pt、Ir或W。
  10. 如請求項1所述之方法,進一步包含重複該第一沉積循環或該第二沉積循環一或更多次,以形成厚度約0.5至約10 nm並具總計小於或等於約150個單層的一摻雜氧化鉿膜。
  11. 一種沉積一薄膜的方法,該方法包含以下步驟: 在一第一製程循環形成一氧化鉿單層,該第一製程循環包含使一基板相繼接觸一鉿前驅物、一淨化氣體、一第一氧化劑和一淨化氣體;在一第二製程循環形成一摻雜氧化鉿單層,該第二製程循環包含使該基板相繼接觸一鉿前驅物、一淨化氣體、一摻雜劑前驅物、一淨化氣體、一第二氧化劑和一淨化氣體;及重複該第一製程循環或該第二製程循環一或更多次,以形成厚度約0.5 nm至約10 nm的一摻雜氧化鉿膜。
  12. 如請求項11所述之方法,其中該鉿前驅物選自一或更多的四(乙基甲基醯胺基)鉿(IV)(TEMAHf)、四(二甲基醯胺基)鉿(IV)(TDMAHf)、四(二乙基醯胺基)鉿(IV)(TDEAHf)、四氯化鉿(HfCl4 )、異丙氧化鉿異丙醇加成物、叔丁氧化鉿(IV)、二甲基雙(環戊二烯基)鉿(IV)、雙(三甲基矽基)醯胺基氯化鉿(IV)、雙(甲基-η5 -環戊二烯基)甲氧基甲基鉿或雙(甲基-η5 -環戊二烯基)二甲基鉿。
  13. 如請求項11所述之方法,其中該摻雜劑前驅物選自一或更多的三甲基鋁(TMA)、三乙基鋁(TEA)、氯化鋁(AlCl3 )、四氯化矽(SiCl4 )、六氯二矽烷(Si2 Cl6 )、雙(二乙基胺基)矽烷、三(二甲基胺基)矽烷、三(異丙基環戊二烯基)鑭、三(2,2,6,6-四甲基-3,5-庚二酸鑭(III)(La(thd)3 )、三(環戊基二烯基)鑭(III)(LaCp3 )、三(異丙基環戊二烯基)鑭(III)(La(Cpi Pr)3 )、四(乙基甲基醯胺基)鋯(IV)(TEMAZr)、四(二甲基醯胺基)鋯(IV)(TDMAZr)、四(2,2,6,6-四甲基-3,5-庚二酸)鋯、2-乙基己酸鋯(IV)、二丁氧化(雙-2,4-戊二酸)鋯(IV)、四(二乙基醯胺基)鋯(IV)(TDEAZr)、二甲基雙(五甲基環戊二烯基)鋯(IV)、雙(甲基-η5 -環戊二烯基)甲氧基甲基鋯、雙(環戊二烯基)二氫化鋯(IV)、四氯化鋯(ZrCl4 )、三(環戊基二烯基)釔(III)(YCp3 )、三(甲基環戊二烯基)釔(III)((MeCp)3 Y)、三(乙基環戊二烯基)釔(III)((EtCp)3 Y)、三(2,2,6,6-四甲基-3,5-庚二酸)釔(III)(Y(thd)3 )、三(2,2,6,6-四甲基-3,5-庚二酸)釓(III)(Gd(thd)3 )、三(甲基環戊二烯基)釓(III)((MeCp)3 Gd)、三(乙基環戊二烯基)釓(III)((EtCp)3 Gd)、雙(三異丙基環戊二烯基)鍶(II)((i -Pr3 Cp)2 Sr)、雙(三甲基正丙基環戊二烯基)鍶(II)((n -PrMe3 Cp)2 Sr)或雙(甲基環戊二烯基)鍶(II)(Sr(thd)2 )。
  14. 如請求項11所述之方法,其中該摻雜氧化鉿膜包含約1莫耳%至約40莫耳%的摻雜劑氧化物。
  15. 如請求項11所述之方法,其中該第一氧化劑和該第二氧化劑個別選自H2 O、分子氧(O2 )、臭氧(O3 )、直接O2 電漿或遠端O2 電漿。
  16. 如請求項11所述之方法,其中該淨化氣體選自一或更多的Ar、N2 或He。
  17. 如請求項11所述之方法,其中該摻雜氧化鉿膜具有總計小於或等於約30個單層。
  18. 一種在一基板上的薄膜,該薄膜包含小於或等於約30個摻雜一摻雜劑的HfO2 單層,該摻雜劑包含一或更多的鋁(Al)、矽(Si)、鋯(Zr)、釔(Y)、鑭(La)、釓(Gd)或鍶(Sr),該摻雜劑存量為約1莫耳%至約9莫耳%,其中該薄膜具有約10至約50 µC cm-2 的一殘餘極化量。
  19. 如請求項18所述之薄膜,其中該薄膜包含小於約30個摻雜氧化鋁的HfO2 單層,該氧化鋁存量為約4莫耳%至約8莫耳%。
  20. 如請求項19所述之薄膜,其中該薄膜具有約0.5 nm至約10 nm的一厚度。
TW108117266A 2018-06-21 2019-05-20 薄膜及沉積薄膜的方法 TWI815891B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201862688120P 2018-06-21 2018-06-21
US62/688,120 2018-06-21

Publications (2)

Publication Number Publication Date
TW202000971A true TW202000971A (zh) 2020-01-01
TWI815891B TWI815891B (zh) 2023-09-21

Family

ID=68982139

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108117266A TWI815891B (zh) 2018-06-21 2019-05-20 薄膜及沉積薄膜的方法

Country Status (6)

Country Link
US (1) US20190393029A1 (zh)
JP (1) JP7123189B2 (zh)
KR (1) KR102538510B1 (zh)
CN (1) CN112313775A (zh)
TW (1) TWI815891B (zh)
WO (1) WO2019245751A1 (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7123622B2 (ja) 2018-05-18 2022-08-23 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
CN111549329A (zh) * 2020-05-13 2020-08-18 湘潭大学 铁电薄膜的制备方法、铁电存储器及其制备方法
WO2023223677A1 (ja) * 2022-05-18 2023-11-23 パナソニックIpマネジメント株式会社 キャパシタ、電気回路、回路基板、機器、及び蓄電デバイス
CN114988470B (zh) * 2022-05-26 2024-04-05 湘潭大学 一种氧化铪基铁电薄膜、电容结构、晶体管及制备方法

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
KR101304395B1 (ko) * 2004-05-12 2013-09-10 어플라이드 머티어리얼스, 인코포레이티드 하프늄-함유 높은-k 유전체 물질의 원자 층 증착을 위한 장치 및 방법
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US7560395B2 (en) * 2005-01-05 2009-07-14 Micron Technology, Inc. Atomic layer deposited hafnium tantalum oxide dielectrics
US7508648B2 (en) * 2005-02-08 2009-03-24 Micron Technology, Inc. Atomic layer deposition of Dy doped HfO2 films as gate dielectrics
JP2007266464A (ja) * 2006-03-29 2007-10-11 Hitachi Ltd 半導体集積回路装置の製造方法
US20080182427A1 (en) 2007-01-26 2008-07-31 Lars Oberbeck Deposition method for transition-metal oxide based dielectric
JP5801632B2 (ja) * 2011-07-15 2015-10-28 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
KR101799146B1 (ko) 2012-04-05 2017-11-17 도쿄엘렉트론가부시키가이샤 반도체 디바이스의 제조 방법 및 기판 처리 시스템
US9231206B2 (en) * 2013-09-13 2016-01-05 Micron Technology, Inc. Methods of forming a ferroelectric memory cell
JP6616070B2 (ja) 2013-12-01 2019-12-04 ユージェヌス インコーポレイテッド 誘電性複合体構造の作製方法及び装置
US20150255267A1 (en) * 2014-03-09 2015-09-10 Tokyo Electron Limited Atomic Layer Deposition of Aluminum-doped High-k Films
US10153155B2 (en) * 2015-10-09 2018-12-11 University Of Florida Research Foundation, Incorporated Doped ferroelectric hafnium oxide film devices

Also Published As

Publication number Publication date
US20190393029A1 (en) 2019-12-26
WO2019245751A1 (en) 2019-12-26
CN112313775A (zh) 2021-02-02
KR102538510B1 (ko) 2023-06-01
TWI815891B (zh) 2023-09-21
JP2021528856A (ja) 2021-10-21
KR20210010659A (ko) 2021-01-27
TW202338140A (zh) 2023-10-01
JP7123189B2 (ja) 2022-08-22

Similar Documents

Publication Publication Date Title
KR102658746B1 (ko) 플라즈마 및/또는 열 처리를 사용하여 산화하프늄 기반 강유전체 재료의 성능을 개선하기 위한 방법들
CN108493152B (zh) 创建气隙的方法
TWI680496B (zh) 高壓縮/拉伸的翹曲晶圓上的厚鎢硬遮罩膜沉積
TWI815891B (zh) 薄膜及沉積薄膜的方法
US7902018B2 (en) Fluorine plasma treatment of high-k gate stack for defect passivation
KR101639464B1 (ko) 유효 산화물 두께가 감소된 하이-k게이트 스택의 형성 방법
US9224594B2 (en) Surface preparation with remote plasma
CN101401194B (zh) 使用低能量等离子体系统制造高介电常数晶体管栅极的方法和装置
WO2006039029A2 (en) A method for forming a thin complete high-permittivity dielectric layer
KR101713336B1 (ko) 라이너의 제거 처리 방법
KR20200128449A (ko) 하프늄 옥사이드 기반 강유전체 (ferroelectric) 재료에 대한 캡핑 (capping) 층
JP2004193409A (ja) 絶縁膜の形成方法
JP7307745B2 (ja) 窒化ハフニウム層による酸化ハフニウムの強誘電特性の変更
TWI838267B (zh) 薄膜及沉積薄膜的方法
TW202247469A (zh) 以偶極膜工程化的mosfet閘極
TWI621218B (zh) 包含鍺之半導體元件及其形成方法
KR20230054721A (ko) 게르마늄에 대한 확산 배리어들
TW202335080A (zh) 形成金屬氮化物膜之方法
TW202338144A (zh) 電漿輔助膜形成方法
TW201413828A (zh) 在鍺或iii-v族半導體元件上形成矽酸鉭層的方法及裝置