CN112313775A - 薄氧化铪膜中掺杂剂浓度的可调性 - Google Patents

薄氧化铪膜中掺杂剂浓度的可调性 Download PDF

Info

Publication number
CN112313775A
CN112313775A CN201980040933.4A CN201980040933A CN112313775A CN 112313775 A CN112313775 A CN 112313775A CN 201980040933 A CN201980040933 A CN 201980040933A CN 112313775 A CN112313775 A CN 112313775A
Authority
CN
China
Prior art keywords
hafnium
tris
bis
substrate
iii
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201980040933.4A
Other languages
English (en)
Inventor
戈尔纳兹·卡尔巴西安
基思·T·王
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN112313775A publication Critical patent/CN112313775A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Ceramic Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Electrochromic Elements, Electrophoresis, Or Variable Reflection Or Absorption Elements (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

描述沉积具有强铁电性的氧化铪薄膜的方法。在第一工艺循环中形成氧化铪单层,第一工艺循环包括使基板顺序暴露于铪前驱物、净化气体、第一氧化剂和净化气体。在第二工艺循环中形成掺杂氧化铪单层,第二工艺循环包括使基板顺序暴露于铪前驱物、净化气体、掺杂剂前驱物、净化气体、第二氧化剂和净化气体。还描述氧化铪薄膜。

Description

薄氧化铪膜中掺杂剂浓度的可调性
技术领域
本公开内容的实施方式涉及电子装置制造领域,并且具体地,涉及集成电路(IC)制造。更具体地,本公开内容的实施方式提供掺杂氧化铪薄膜,其中掺杂剂量可特别控制。
背景技术
集成电路已发展成复杂装置,其单一芯片(chip)上可包括数百万个晶体管、电容器和电阻器。在集成电路发展过程中,功能密度(即每芯片面积的互连装置数量)普遍增加,同时几何尺寸(即使用制造工艺可制造的最小部件(或线路))减小。
晶体管为一般形成在半导体装置上的电路部件或元件。除了电容器、电感器、电阻器、二极管、导线或其他元件,根据电路设计,还有许多晶体管可形成于半导体装置上。金属氧化物半导体场效晶体管(MOSFET)是一种场效晶体管(FET)。MOSFET具有绝缘栅极,栅极电压决定装置的导电率。利用施加电压量改变导电率的能力用于放大或切换电子信号。
大体而言,晶体管包括形成在源极区与漏极区之间的栅极堆叠。源极区和漏极区可包括基板的掺杂区,并且可以呈现适合特定应用的掺杂分布。栅极堆叠位于沟道区上方,且可包括插置在栅极电极与基板中的沟道区之间的栅极电介质。
负电容FET(NCFET)已被提出做为替代晶体管。NCFET具有和MOSFET一样的结构,不同之处在于:将铁电薄膜用作栅极绝缘体。在将铁电材料用作栅极绝缘体时会产生负电容。
掺杂氧化铪膜展现出易受掺杂剂浓度影响的铁电性。就厚度小至2纳米(nm)的薄膜而言,HfO2单层和掺杂剂单层总计不超过20-30个。若插入几乎整个掺杂剂膜单层来掺杂HfO2膜,如同典型原子层沉积(ALD)的情况,则这些堆叠中的掺杂剂浓度将为约3-5摩尔(mol)%的整数倍。因此,传统的一个接一个单层加入掺杂剂的方法将造成很少可实现的、1-10摩尔%范围内的掺杂程度,该掺杂程度是大多数掺杂氧化铪膜实现铁电性所必需的。因此,需要精密控制/微调薄膜的掺杂剂浓度和铁电性。
发明内容
描述制造集成电路的设备和方法。在一个或更多个实施方式中,描述沉积膜的方法。在一个实施方式中,第一沉积循环包括:在处理腔室中使基板暴露于铪前驱物以沉积含铪单层、清除处理腔室的铪前驱物、使基板暴露于第一氧化剂以与含铪单层反应而形成氧化铪单层、和清除处理腔室的第一氧化剂。第二沉积循环包括:在处理腔室中使基板暴露于铪前驱物以沉积第二含铪单层、清除处理腔室的铪前驱物、使基板暴露于掺杂剂前驱物以于具有第二含铪单层的基板上沉积含掺杂剂层、清除处理腔室的掺杂剂前驱物、使基板暴露于第二氧化剂以与含铪单层和含掺杂剂层反应而于基板上形成掺杂氧化铪膜、和清除基板的第二氧化剂。
在一个或更多个实施方式中,描述沉积膜的方法。在第一工艺循环中形成氧化铪单层,第一工艺循环包括使基板顺序暴露于铪前驱物、净化气体、第一氧化剂和净化气体。在第二工艺循环中形成掺杂氧化铪单层,第二工艺循环包括使基板顺序暴露于铪前驱物、净化气体、掺杂剂前驱物、净化气体、第二氧化剂和净化气体。将第一工艺循环或第二工艺循环重复一次或更多次,以形成厚度约0.5nm至约10nm的掺杂氧化铪膜。
在一个或更多个实施方式中,描述在基板上的薄膜。薄膜包括小于或等于约50个掺杂有掺杂剂的HfO2单层,掺杂剂包括铝(Al)、硅(Si)、锆(Zr)、钇(Y)、镧(La)、钆(Gd)或锶(Sr)中的一种或更多种。掺杂剂以约1摩尔%至约9摩尔%的量存在,其中薄膜具有约10至约50微库仑/平方厘米(50μC cm-2)的残余极化量(remanent polarization)。
附图说明
可通过参照实施方式(一些实施方式图示于附图中)来详细理解本公开内容的上述特征,及以上简要概述的有关本公开内容的更具体的描述。然而,应注意,附图仅图示本公开内容的典型实施方式,因此不被视为限制本公开内容的范围,因为本公开内容可允许其他等效实施方式。本文所描述的实施方式在附图的各图中通过示例而非限制的方式示出,其中相似的元件符号代表相似的元件。
图1图示根据本文所描述的实施方式,形成薄膜的方法的一个实施方式的流程图;
图2A图示根据一个或更多个实施方式的基板的截面图;
图2B图示根据一个或更多个实施方式的基板的截面图;
图2C图示根据一个或更多个实施方式的基板的截面图;
图2D图示根据一个或更多个实施方式的基板的截面图;
图2E图示根据一个或更多个实施方式的基板的截面图;
图2F图示根据一个或更多个实施方式的基板的截面图;
图3A图示根据一个或更多个实施方式的基板的截面图;
图3B图示根据一个或更多个实施方式的基板的截面图;
图3C图示根据一个或更多个实施方式的基板的截面图;
图3D图示根据一个或更多个实施方式的基板的截面图;
图4是根据本公开内容的一个或更多个实施方式的处理腔室的方块图;和
图5是根据本公开内容的一个或更多个实施方式的群集工具系统(cluster toolsystem)的方块图。
具体实施方式
在描述本公开内容的数个示例性实施方式前,应理解,本公开内容不限于下文所述构造或处理步骤的细节。本公开内容当能具有其他实施方式并以各种方式实践或执行。
如本说明书和随附权利要求书中所使用的,术语“基板”是指在其上进行处理的表面或部分表面。本领域技术人员还应理解,除非上下文另外明确指出,否则提及基板可指仅部分基板。此外,提及沉积至基板上可指裸基板和具有一个或更多个膜或特征结构沉积或形成于其上的基板。
本文所使用的“基板”是指于制造工艺期间在其上进行膜处理的任何基板或形成于基板上的材料表面。例如,可在其上进行处理的基板表面包括诸如硅、氧化硅、应变硅、绝缘体上硅(SOI)、碳掺杂氧化硅、非晶硅、掺杂硅、锗、砷化镓、玻璃、蓝宝石之类的材料和任何其他材料,诸如金属、金属氮化物、金属合金和其他导电材料,此视应用而定。基板包括但不限于半导体晶片。基板可暴露于预处理工艺,以抛光、蚀刻、还原、氧化、羟基化(或产生或接枝目标化学部分而赋予化学官能性)、退火和/或烘烤基板表面。除了直接对基板表面本身进行处理,在本公开内容中,所披露的任一膜处理步骤亦可在形成于基板上的底层(underlayer)上进行,如下文更详细地披露的,并且术语“基板表面”旨在包括上下文所指的底层。因此,例如,当膜/层或部分膜/层沉积至基板表面上时,新沉积膜/层的露出表面便成为基板表面。给定基板表面所含物将取决于待沉积材料以及所使用的特定化学物质。
如本说明书和随附权利要求书中所使用的,术语“前驱物”、“反应物”、“反应气体”和类似术语可互换使用,以指称任何可与基板表面反应的气态物种。
本文所使用的“原子层沉积”或“循环沉积”是指顺序暴露于两种或更多种反应化合物,以沉积材料层至基板表面。将基板或部分基板分别暴露于两种或更多种反应化合物,所述反应化合物被引入处理腔室的反应区。在时域ALD工艺中,暴露于各反应化合物由延时隔开,使得各化合物粘着和/或于基板表面反应,接着从处理腔室清除。这些反应化合物被称为顺序地暴露于基板。在空间ALD工艺中,基板表面的不同部分或基板表面上的材料同时暴露于两种或更多种反应化合物,使得基板上的任一给定点同时实质上不暴露于超过一种反应化合物。如在本说明书和随附权利要求书中中所使用的,在这方面所使用的术语“实质上”如本领域技术人员所理解的意指一小部分的基板可能因扩散而同时暴露于多个反应气体,然同时暴露非意图使然。
在时域ALD工艺的一个方面中,第一反应气体(即第一前驱物或化合物A)被脉冲供给反应区,然后经第一延时。接着,第二前驱物或化合物B被脉冲供给反应区,然后经第二延时。在各延时期间,净化气体(诸如氩)被引入处理腔室,以净化反应区或从反应区移除任何残留反应化合物或反应副产物。或者,净化气体可在整个沉积工艺期间持续流动,如此在反应化合物的脉冲供给之间的延时期间仅有净化气体流动。反应化合物被交替脉冲供给,直到在基板表面上形成预定的膜或膜厚度。在任一情况下,脉冲供给化合物A、净化气体、化合物B和净化气体的ALD工艺是一个循环。循环可始于化合物A或化合物B,并继续循环的相应顺序,直到获得具有预定厚度的膜。
在空间ALD工艺的实施方式中,第一反应气体和第二反应气体(例如,氮气)被同时输送到反应区,但被惰性气帘和/或真空帘隔开。基板相对于气体输送设备移动,使基板上的任一给定点暴露于第一反应气体和第二反应气体。
大体而言,晶体管包括形成在源极区与漏极区之间的栅极堆叠。源极区和漏极区可包括基板的掺杂区,并且可以呈现适合特定应用的掺杂分布。栅极堆叠位于沟道区上方,且可包括插置在栅极电极与基板中的沟道区之间的栅极电介质。负电容场效晶体管(NCFET)具有和MOSFET一样的结构,不同之处在于:将铁电薄膜(或铁电/介电膜的堆叠)用作栅极绝缘体。在将铁电材料用作栅极绝缘体时会产生负电容FET。在一个或更多个实施方式中,氧化铪薄膜有利地具有铁电性,特别是当掺杂剂(例如,铝)掺入主体晶格中时。
如本文所使用的,“铁电性”是指具有自发电极化的材料特性,其可通过施加外部电场而反转。
通过ALD制造的一个或更多个实施方式的复合薄膜具有许多应用,包括但不限于逻辑与存储器应用。更具体地,薄膜(诸如掺杂有掺杂剂(例如ZrO2、Al2O3、Y2O3和类似者)的氧化铪(HfO2))展现出铁电性,低功率逻辑与存储器应用对此深感兴趣。
传统上,掺杂氧化铪ALD膜通过[A-B]-[C-B]沉积工艺形成。传统上,氧化铪单层在第一工艺循环中形成,第一工艺循环包括:使基板顺序暴露于铪前驱物、净化气体、第一氧化剂和净化气体。掺杂氧化铪单层在第二工艺循环中形成,第二工艺循环包括:使基板顺序暴露于掺杂剂前驱物、净化气体、第二氧化剂和净化气体。第一工艺循环或第二工艺循环可重复一次或更多次来形成膜。
第二传统工艺涉及以[AC]-[B]工艺共伴流入铪前驱物和掺杂剂前驱物。具体地,掺杂氧化铪单层是通过使基板暴露于铪前驱物和掺杂剂前驱物二者、然后依序为净化气体、氧化剂和净化气体而形成。
大多数掺杂氧化铪(HfO2)膜的铁电性在掺杂剂为约4摩尔%至约9摩尔%时达到最大。对于更低和更高的掺杂剂浓度水平,铁电性急剧陡降。
本文所描述的实施方式有利地提供掺杂氧化铪膜,并具有精密控制/微调掺杂剂浓度的能力。根据一个或更多个实施方式,通过改变各单层中的掺杂剂浓度,可显著改善薄膜中的掺杂剂可调性。此掺杂剂可调性进而有利地提供对掺杂氧化铪膜的铁电性的优异控制。特别地,实施方式提供在薄膜(即厚度为约2nm且包括约30个单层的膜)中低至约1.5摩尔%的掺杂剂可调性。
不拟局限于理论,据信本文所描述的一个或更多个实施方式的方法的重要性在于:对2nm或达到30个循环的极薄膜来说,此方法有利地可在约1.5至约9摩尔%的范围内实现多个浓度(增量1.5摩尔%),使得能够微调铁电膜性质。
对于一个或更多个实施方式的掺杂氧化铪(HfO2)膜,每一含掺杂剂层涉及在脉冲供给和清除掺杂剂前驱物之前,脉冲供给和清除含铪前驱物,然后为氧化剂脉冲。如此,每个含掺杂剂层的少于100%由掺杂剂组成。
在一个或更多个实施方式中,描述沉积膜的方法。在一个实施方式中,第一沉积循环包括:在处理腔室中使基板暴露于铪前驱物以沉积含铪单层、清除处理腔室的铪前驱物、使基板暴露于第一氧化剂以与含铪单层反应而形成氧化铪单层、和清除处理腔室的第一氧化剂。第二沉积循环包括:在处理腔室中使基板暴露于铪前驱物以沉积第二含铪层、清除处理腔室的铪前驱物、使基板暴露于掺杂剂前驱物以于具有第二含铪层的基板上沉积含掺杂剂层、清除处理腔室的掺杂剂前驱物、使基板暴露于第二氧化剂以与含铪单层和含掺杂剂层反应而于基板上形成掺杂氧化铪单层、和清除基板的第二氧化剂。
图1图示根据本公开内容的一个或更多个实施方式,沉积膜的方法100的流程图。参照图1,方法100包括第一沉积循环110和第二沉积循环130。方法100始于操作105:把基板放入处理腔室。
基板可为本领域技术人员已知的任何基板。在一个或更多个实施方式中,基板包括硅(Si)、氧化硅(SiO2)、锗(Ge)、硅锗(SiGe)、二硫化钼(MoS2)、二硒化钼(MoSe2)、二硫化钨(WS2)、二硒化钨(WSe2)、氮化钛(TiN)、氮化钽(TaN)、钨(W)、铂(Pt)或铱(Ir)中的一种或更多种。
在操作114中,在处理腔室中,使基板暴露于铪前驱物,以沉积含铪单层。
在一个或更多个实施方式中,铪前驱物选自四(乙基甲基酰胺基)铪(IV)(TEMAHf)、四(二甲基酰胺基)铪(IV)(TDMAHf)、四(二乙基酰胺基)铪(IV)(TDEAHf)、四氯化铪(HfCl4)、异丙氧化铪异丙醇加成物、叔丁氧化铪(IV)、二甲基双(环戊二烯基)铪(IV)、双(三甲基硅基)酰胺基氯化铪(IV)、双(甲基-η5-环戊二烯基)甲氧基甲基铪、或双(甲基-η5-环戊二烯基)二甲基铪中的一种或更多种。
在操作116中,清除处理腔室的铪前驱物。清除可用任何不与基板、基板上的膜、和/或处理腔室壁反应的适合气体完成。适合的净化气体包括但不限于氮(N2)、氦(He)和氩(Ar)。
在操作118中,使基板暴露于第一氧化剂,以与含铪单层反应而形成氧化铪单层。在一个或更多个实施方式中,第一氧化剂选自水(H2O)、分子氧(O2)、臭氧(O3)、直接O2等离子体或远程O2等离子体。在操作120中,清除处理腔室的第一氧化剂。
第二沉积循环130始于操作132:在处理腔室中,使基板暴露于铪前驱物,以沉积第二含铪单层。
在一个或更多个实施方式中,铪前驱物选自四(乙基甲基酰胺基)铪(IV)(TEMAHf)、四(二甲基酰胺基)铪(IV)(TDMAHf)、四(二乙基酰胺基)铪(IV)(TDEAHf)、四氯化铪(HfCl4)、异丙氧化铪异丙醇加成物、叔丁氧化铪(IV)、二甲基双(环戊二烯基)铪(IV)、双(三甲基硅基)酰胺基氯化铪(IV)、双(甲基-η5-环戊二烯基)甲氧基甲基铪、或双(甲基-η5-环戊二烯基)二甲基铪中的一种或更多种。
如本领域技术人员所知,用于第二沉积循环的铪前驱物可以是与用于第一沉积循环一样的前驱物,或者铪前驱物可为不同的前驱物。在一个或更多个实施方式中,用于第一沉积循环的铪前驱物和用于第二沉积循环的铪前驱物一样。
在操作134中,清除处理腔室的铪前驱物。在操作136中,使基板暴露于掺杂剂前驱物,以于具有第二含铪单层的基板上沉积含掺杂剂层。
在一个或更多个实施方式中,掺杂剂选自铝(Al)、硅(Si)、锆(Zr)、钇(Y)、镧(La)、钆(Gd)或锶(Sr)中的一种或更多种。在一个或更多个实施方式中,掺杂剂选自氧化铝、氧化硅、氧化锆、氧化钇、氧化镧、氧化钆或氧化锶中的一种或更多种。
在一个或更多个实施方式中,掺杂剂前驱物选自三甲基铝(TMA)、三乙基铝(TEA)、氯化铝(AlCl3)、四氯化硅(SiCl4)、六氯二硅烷(Si2Cl6)、双(二乙基胺基)硅烷、三(二甲基胺基)硅烷、三(异丙基环戊二烯基)镧、三(2,2,6,6-四甲基-3,5-庚二酸)镧(III)(La(thd)3)、三(环戊基二烯基)镧(III)(LaCp3)、三(异丙基环戊二烯基)镧(III)(La(CpiPr)3)、四(乙基甲基酰胺基)锆(IV)(TEMAZr)、四(二甲基酰胺基)锆(IV)(TDMAZr)、四(2,2,6,6-四甲基-3,5-庚二酸)锆、2-乙基己酸锆(IV)、二丁氧化(双-2,4-戊二酸)锆(IV)、四(二乙基酰胺基)锆(IV)(TDEAZr)、二甲基双(五甲基环戊二烯基)锆(IV)、双(甲基-η5-环戊二烯基)甲氧基甲基锆、双(环戊二烯基)二氢化锆(IV)、四氯化锆(ZrCl4)、三(环戊基二烯基)钇(III)(YCp3)、三(甲基环戊二烯基)钇(III)((MeCp)3Y)、三(乙基环戊二烯基)钇(III)((EtCp)3Y)、三(2,2,6,6-四甲基-3,5-庚二酸)钇(III)(Y(thd)3)、三(2,2,6,6-四甲基-3,5-庚二酸)钆(III)(Gd(thd)3)、三(甲基环戊二烯基)钆(III)((MeCp)3Gd)、三(乙基环戊二烯基)钆(III)((EtCp)3Gd)、双(三异丙基环戊二烯基)锶(II)((i-Pr3Cp)2Sr)、双(三甲基正丙基环戊二烯基)锶(II)((n-PrMe3Cp)2Sr)、或双(甲基环戊二烯基)锶(II)(Sr(thd)2)中的一种或更多种。
在操作138中,清除处理腔室的掺杂剂前驱物。在操作140中,使基板暴露于第二氧化剂,以与形成掺杂含铪层的含铪及含掺杂剂物种反应,而于基板上形成掺杂氧化铪膜。
在一个或更多个实施方式中,第二氧化剂选自H2O、分子氧(O2)、臭氧(O3)、直接O2等离子体或远程O2等离子体。如本领域技术人员所知,用于第二沉积循环的氧化剂可以是与用于第一沉积循环一样的氧化剂,或者用于第二沉积循环的氧化剂可不同于用于第一沉积循环的氧化剂。在一个或更多个实施方式中,第一氧化剂和第二氧化剂独立地选自H2O、分子氧(O2)、臭氧(O3)、直接O2等离子体或远程O2等离子体。在一个或更多个实施方式中,用于第一沉积循环的氧化剂和用于第二沉积循环的氧化剂一样。
在操作142中,清除基板的第二氧化剂。
如本领域技术人员所知,净化处理腔室包括使净化气体流过基板上方。在一个或更多个实施方式中,净化气体选自Ar、N2或He中的一种或更多种。净化气体可用于清除处理腔室的铪前驱物、第一氧化剂、掺杂剂前驱物或第二氧化剂。在一些实施方式中,相同净化气体用于各清除操作。在其他实施方式中,不同净化气体用于不同清除操作。
参照图2A至图2F,一个或更多个实施方式涉及膜堆叠200。在一些实施方式中,膜堆叠200是负电容场效晶体管(NCFET)的栅极堆叠的一部分。
膜堆叠200形成在基板210上,基板可为任何适合的材料或形状。在所示实施方式中,基板210是平坦表面,膜堆叠200以方框表示。然而,本领域技术人员将理解,基板210可具有一个或更多个特征结构(例如沟槽或过孔),膜堆叠200可形成顺应基板210的表面形状。
在一个或更多个实施方式中,基板210包括硅(Si)、氧化硅(SiO2)、锗(Ge)、硅锗(SiGe)、二硫化钼(MoS2)、二硒化钼(MoSe2)、二硫化钨(WS2)、二硒化钨(WSe2)、氮化钛(TiN)、氮化钽(TaN)、铂(Pt)、铱(Ir)或钨(W)中的一种或更多种。
在一个或更多个实施方式中,基板210包括硅晶片或硅鳍片并具有0.5-2nm的氧化硅层间电介质。
在一个或更多个实施方式中,在第一沉积循环期间,将基板210放置在处理腔室250中并暴露于铪前驱物,以沉积含铪单层220至基板210上,此如图2A所示。铪前驱物可为任何适合的铪前驱物,包括但不限于图1方法所述相关铪前驱物。
清除处理腔室250的铪前驱物。在一个或更多个实施方式中,净化处理腔室250包括使净化气体(未示出)流过基板210上方。在一个或更多个实施方式中,净化气体选自Ar、N2或He中的一种或更多种。
参照图2B,基板210在处理腔室250中暴露于第一氧化剂(未示出),以与含铪单层220反应而形成氧化铪单层230。在一个或更多个实施方式中,第一氧化剂选自H2O、分子氧(O2)、臭氧(O3)、直接O2等离子体或远程O2等离子体。接着清除处理腔室250的第一氧化剂。
参照图2C,在第二沉积循环中,基板210在处理腔室250a中暴露于铪前驱物,以沉积第二含铪单层240。如本领域技术人员所知,用于第一沉积循环的处理腔室250可与用于第二沉积循环的处理腔室250a一样或不同。在一个或更多个实施方式中,用于第一沉积循环的处理腔室是与用于第二沉积循环一样的处理腔室。在一个或更多个实施方式中,用于第一沉积循环的处理腔室是与用于第二沉积循环不同的处理腔室。接着清除处理腔室250a的铪前驱物。在一个或更多个实施方式中,净化处理腔室250a包括使净化气体(未示出)流过基板210上方。在一个或更多个实施方式中,净化气体选自Ar、N2或He中的一种或更多种。
参照图2D,基板210在处理腔室250a中暴露于掺杂剂前驱物,以于具有第二含铪单层240的基板210上沉积含掺杂剂层260。参照图3A至图3D,不拟局限于理论,据信掺杂剂前驱物不与铪前驱物反应,而是吸附于铪脉冲供给期间的未反应位点或置换一些吸附铪前驱物。图3A图示具有氧化铪单层230的基板210。氧化铪单层230的表面有一些活性位点232。虽然活性位点232绘示成均匀间隔,但本领域技术人员将明白这仅仅是为了描述的目的。在图3B中,铪前驱物暴露于基板210并且铪物种242化学吸附于活性位点232。尽管铪前驱物与基板表面的反应是自限性的,然而至少一些活性位点244仍可用、但难以接近铪前驱物(例如因空间位阻(steric hindrance))。在图3C中,掺杂剂前驱物暴露于基板210,并与可用活性位点244结合而于具有铪物种242的表面形成掺杂剂物种262。氧化后,如图3D所示,铪物种242和掺杂剂物种262即氧化形成掺杂氧化铪膜255。掺杂氧化铪膜255绘示为氧化铪膜256与掺杂剂氧化物膜257的聚集体(conglomeration)。在一个或更多个实施方式中,掺杂氧化铪膜255包括或本质上由约40摩尔%的铝掺杂剂组成。掺杂氧化铪膜270可具有许多层掺杂氧化铪膜255,因此,膜堆叠200的浓度可从约1.5摩尔%掺杂剂浓度增量调整至达约40摩尔%。尽管示出离散边界,然本领域技术人员将明白,掺杂剂原子、铪原子和氧原子无规地分散遍及掺杂氧化铪膜255。
一个或更多个实施方式的含铝层含有约1摩尔%至约9摩尔%的氧化铝(Al2O3)。摩尔%可以本领域技术人员已知的任何机构测量。例如,摩尔%可利用X射线光电子能谱(XPS)、原子发射光谱(AES)、二次离子质谱(SIMS)、Rutherford反散射光谱(Rutherfordbackscatter spectrometry,RBS)、能量色散X射线光谱(EDX)或电子能量损失光谱(EELS)中的一种或更多种来测量,但不限于此。
接着清除处理腔室250a的掺杂剂前驱物。如上所述,在一个或更多个实施方式中,净化处理腔室250a包括使净化气体(未示出)流过基板210上方。在一个或更多个实施方式中,净化气体选自一个或更多个的Ar、N2或He。
参照图2E,基板210在处理腔室250a中暴露于第二氧化剂,以与第二含铪单层240和含掺杂剂层260反应而于基板210上形成掺杂氧化铪膜270。在一个或更多个实施方式中,第二氧化剂选自H2O、分子氧(O2)、臭氧(O3)、直接O2等离子体或远程O2等离子体。接着清除处理腔室250a的第二氧化剂。如上所述,在一个或更多个实施方式中,净化处理腔室250a包括使净化气体(未示出)流过基板210上方。在一个或更多个实施方式中,净化气体选自Ar、N2或He中的一种或更多种。
如本领域技术人员所知,用于第二沉积循环的氧化剂可以是与用于第一沉积循环一样的氧化剂,或者用于第二沉积循环的氧化剂可不同于用于第一沉积循环的氧化剂。
在一个或更多个实施方式中,掺杂氧化铪膜270包括约1摩尔%至约40摩尔%的掺杂剂。在一个或更多个具体实施方式中,掺杂氧化铪膜270包括约1摩尔%至约9摩尔%的掺杂剂。摩尔%是测量存在于掺杂氧化铪膜中的氧化物型掺杂剂的量。例如,在一个或更多个实施方式中,掺杂剂包括铝(Al),掺杂氧化铪膜是铝掺杂氧化铪膜。一个或更多个实施方式的铝掺杂氧化铪膜含有约1摩尔%至约40摩尔%的氧化铝(Al2O3)。一个或更多个具体实施方式的铝掺杂氧化铪膜含有约1摩尔%至约9摩尔%的氧化铝(Al2O3)。摩尔%可以本领域技术人员已知的任何机构测量。例如,摩尔%可利用X射线光电子能谱(XPS)、原子发射光谱(AES)、二次离子质谱(SIMS)、Rutherford反散射光谱(RBS)、能量色散X射线光谱(EDX)或电子能量损失光谱(EELS)中的一种或更多种来测量,但不限于此。
在一个或更多个实施方式中,掺杂氧化铪膜270的厚度范围为约0.5纳米(nm)至约10nm,包括约0.5nm至约8nm、约0.5nm至约7nm、约0.5nm至约6nm、约0.5nm至约5nm、约0.5nm至约4nm、约0.5nm至约3nm、和约0.5nm至约2nm。在一些实施方式中,掺杂氧化铪膜的厚度为小于约10nm,包括小于约9nm、小于约8nm、小于约7nm、小于约6nm、小于约5nm、小于约4nm、小于约3nm、和小于约2nm。在一些实施方式中,掺杂氧化铪膜的厚度为约0.5nm、约1nm、约1.5nm、约2nm、约2.5nm、约3nm、约3.5nm、约4nm、约4.5nm、约5nm、约5.5nm、约6nm、约6.5nm、约7nm、约7.5nm、约8nm、约8.5nm、约9nm、约9.5nm和约10nm。
在一个或更多个实施方式中,掺杂氧化铪膜具有总计小于或等于约150个单层,包括总计小于或等于约140个单层,包括总计小于或等于约130个单层、总计小于或等于约120个单层、总计小于或等于约110个单层、总计小于或等于约100个单层、总计小于或等于约90个单层、总计小于或等于约80个单层、总计小于或等于约70个单层、总计小于或等于约60个单层、总计小于或等于约50个单层,包括总计小于或等于约45个单层、总计小于或等于约40个单层、总计小于或等于约35个单层、总计小于或等于约30个单层、总计小于或等于约25个单层、或总计小于或等于约20个单层。
参照图2F,方法可包括重复第一沉积循环或第二沉积循环一次或更多次,以形成厚度T约0.5nm至约10nm并且具有总计小于或等于约150个单层的掺杂氧化铪膜270。
参照图2A至图2F,在一个或更多个实施方式中,沉积膜的方法包括在第一工艺循环中形成氧化铪单层230,第一工艺循环包括使基板210顺序暴露于铪前驱物、净化气体、第一氧化剂和净化气体。掺杂氧化铪膜270在第二工艺循环中形成,第二工艺循环包括使基板210顺序暴露于铪前驱物、净化气体、掺杂剂前驱物、净化气体、第二氧化剂和净化气体。第一工艺循环或第二工艺循环可重复一次或更多次,以形成厚度约0.5nm至约10nm的掺杂氧化铪膜。
一个或更多个实施方式涉及薄膜,此亦称作膜堆叠。在一些实施方式中,薄膜是负电容场效晶体管(NCFET)的栅极堆叠的一部分。在一个或更多个实施方式中,薄膜包括小于或等于约30个掺杂有掺杂剂的HfO2单层,掺杂剂包括铝(Al)、硅(Si)、锆(Zr)、钇(Y)、镧(La)、钆(Gd)或锶(Sr)中的一种或更多种,掺杂剂以约2摩尔%至约9摩尔%的量存在,其中薄膜具有约10至约50μC cm-2的残余极化量。
在一个或更多个实施方式中,薄膜具有约10至约50μC cm-2的残余极化量,包括约10μC cm-2、约15μC cm-2、约20μC cm-2、约25μC cm-2、约30μC cm-2、约35μC cm-2、约40μC cm-2、约45μC cm-2、和约50μC cm-2
薄膜含有总计1摩尔%至约40摩尔%的掺杂剂。在一个或更多个具体实施方式中,薄膜包括小于约30个掺杂有氧化铝的氧化铪(HfO2)单层,氧化铝以约4摩尔%至约8摩尔%的量存在。薄膜的厚度范围为约0.5nm至约10nm。
图4示出等离子体系统800的方块图,用于执行一个或更多个实施方式的至少一些方法。所示等离子体系统800具有处理腔室801。可移动基座802用于保持置于处理腔室801中的基板803。基座802可包括静电吸盘(ESC)、嵌入ESC的直流(DC)电极、和冷却/加热基底。在一实施方式中,基座802用作移动阴极。在一实施方式中,ESC包括Al2O3材料、Y2O3、或电子装置制造领域的普通技术人员已知的其他陶瓷材料。DC电源804可连接至基座802的DC电极。在一些实施方式中,基座802包括加热器(未示出),加热器能使基板温度升高至第一温度。虽然静电吸盘被绘示为基座802,但本领域技术人员将理解此仅为举例说明,其他基座类型也落在本公开内容的范围内。
如图4所示,基板803经由开口808装载并放置到基座802上。等离子体系统800包括入口,以经由质量流量控制器811输入一种或更多种工艺气体812至等离子体源813。包括喷头814的等离子体源813耦接至处理腔室801,以接收一种或更多种工艺气体812而产生等离子体。等离子体源813耦接至射频(RF)电源810。等离子体源813经由喷头814,利用高频电场,在处理腔室801中由一个或更多个工艺气体812产生等离子体815。等离子体815包括等离子体颗粒,诸如离子、电子、自由基或它们的任何组合。在一实施方式中,电源810以约400千赫至约162兆赫的频率供应约50瓦(W)至约3000W的功率,以产生等离子体815。
等离子体偏压功率805经由RF匹配807耦接至基座802(例如阴极),以激发等离子体。在一实施方式中,等离子体偏压功率805以约2兆赫至60兆赫的频率提供不大于1000W的偏压功率,在一具体实施方式中为约13兆赫。亦可提供等离子体偏压功率806,例如以约400千赫至约60兆赫的频率提供不大于1000W的另一偏压功率,在一具体实施方式中为约60兆赫。等离子体偏压功率806和等离子体偏压功率805连接至RF匹配807,以提供双频偏压功率。在一实施方式中,施加至基座802的总偏压功率为约10W至约3000W。
如图4所示,压力控制系统809向处理腔室801提供压力。腔室801具有一个或更多个排放出口816,以排空腔室处理期间制造的挥发性产物。在一实施方式中,等离子体系统800是电感耦合等离子体(ICP)系统。在一实施方式中,等离子体系统800是电容耦合等离子体(CCP)系统。
在一些实施方式中,控制系统817耦接至处理腔室801。控制系统817包括处理器818、耦接至处理器818的温度控制器819、耦接至处理器818的存储器820和耦接至处理器818的输入/输出装置821。存储器820可包括暂态存储器(transitory memory)(例如随机存取存储器)和非暂态存储器(例如储存器)之一或更多者。
在一实施方式中,处理器818具有控制下列之一或更多者的配置:在处理腔室中使基板暴露于铪前驱物;在处理腔室中净化基板;在处理腔室中使基板暴露于掺杂剂前驱物;或在基板上形成薄膜,所述薄膜包括少于或等于约50个掺杂有掺杂剂的HfO2单层。
控制系统817可被配置为执行本文所描述的至少一些方法,且可为软件或硬件或二者的组合。等离子体系统800可为本领域已知的任何类型的高性能处理等离子体系统,诸如但不限于蚀刻器、清洁器、熔炉、或任何其他用于制造电子装置的等离子体系统。
图5示出根据本公开内容的一个或更多个实施方式的系统900,系统900可用于处理基板。系统900可称作群集工具。系统900包括内含机械手912的中央移送站910。机械手912被绘示为单叶片机械手;然而,本领域技术人员将明白其他机械手912的配置也落在本公开内容的范围内。机械手912被配置为在连接至中央移送站910的腔室之间移动一个或更多个基板。
至少一个预清洁腔室920连接至中央移送站910。预清洁腔室920可包括加热器、辐射源或等离子体源之一或更多者。预清洁腔室920与活化剂流体连通。示例性的预清洁腔室920为图4中所示的等离子体系统800。
在一些实施方式中,有两个预清洁腔室920连接至中央移送站910。在图5所示的实施方式中,预清洁腔室920可用作在工厂界面(factory interface)905与中央移送站910之间的中转腔室(pass through chamber)。工厂界面905可包括一个或更多个机械手906来将基板从盒(cassette)移动到预清洁腔室920。机械手912接着将基板从预清洁腔室920移动到系统900内的其他腔室。
沉积腔室930可连接至中央移送站910。沉积腔室930包括基座来保持基板。沉积腔室930与一个或更多个反应气源流体连通,以提供一个或更多个反应气流至沉积腔室930。沉积腔室的反应气体包括可于基板上形成单层的分子。
沉积腔室930可为任何适合的腔室,以提供分子流和控制基板的温度。图4中所示的等离子体系统800亦可用作沉积腔室930。可利用机械手912通过隔离阀914将基板移动进出沉积腔室930。
选择性沉积腔室940亦可连接至中央移送站910。选择性沉积腔室940可为任何适合的沉积腔室,包括但不限于CVD、ALD、PECVD、PEALD或PVD腔室。在一些实施方式中,选择性沉积腔室940包括ALD腔室。ALD腔室可为时域腔室,其中反应气体顺序地暴露于基板,如此在任何给定时间只有一种反应气体存在于腔室中。在一些实施方式中,ALD腔室是空间ALD腔室,其中反应气体同时流入处理腔室的不同区域,并且反应气体由气帘隔开,以防止反应气体之间产生气相反应。在空间ALD腔室中,基板在处理腔室含有不同反应气体的区域之间移动,以沉积膜。
其他处理腔室可连接至中央移送站910。在所示的实施方式中,灰化腔室960经由隔离阀914连接至中央移送站910。灰化腔室960可为任何适合的腔室,以于选择性沉积后移除薄膜。
至少一个控制器950耦接至中央移送站910、预清洁腔室920、沉积腔室930、选择性沉积腔室940或灰化腔室960。在一些实施方式中,超过一个控制器950连接至各个腔室或站,主控处理器耦接至各独立处理器,以控制系统900。控制器950可为用于工业设定的任一型通用计算机处理器、微控制器、微处理器等,用以控制各种腔室和子处理器。
至少一个控制器950可具有处理器952、耦接至处理器952的存储器954、耦接至处理器952的输入/输出装置956、和用于在不同的电子部件之间通信的支持电路958。存储器954可包括暂态存储器(例如随机存取存储器)和非暂态存储器(例如储存器)之一或更多者。
处理器的存储器954或计算机可读介质可为一个或更多个容易取得的存储器,诸如随机存取存储器(RAM)、只读存储器(ROM)、软盘、硬盘或任何其他类型的本地或远程的数字储存器。存储器954可保存指令集,指令集由处理器952操作以控制系统900的参数和部件。支持电路958耦接至处理器952,以依传统方式支持处理器。电路可包括如高速缓冲存储器(cache)、电源、时钟电路、输入/输出电路、子系统和类似者。
工艺通常可作为软件程序储存于存储器中,所述软件程序由处理器执行以促使处理腔室进行本公开内容的工艺。软件程序亦可由第二处理器(未示出)储存和/或执行,第二处理器远离由处理器控制的硬件。本公开内容的一些或所有方法亦可以在硬件中进行。如此,工艺可以软件实现并且利用计算机系统执行、于硬件(例如专用集成电路)或其他硬件类型实现,或为软件与硬件的组合。由处理器执行时,软件程序将通用计算机转换成特定用途计算机(控制器),以控制腔室操作来执行工艺。
除非本文另行指明或明确与上下文矛盾,否则本文以术语“一”和“所述”和类似术语指涉对象描述所述材料和方法(特别是下文的权利要求)应解释成涵盖单数和复数形式。除非本文另行指明,否则本文提及的数值范围仅欲做为个别提及落在范围内的各独立数值的简略表达形式,各独立数值如本文个别提及般并入说明书。除非本文另行指明或明确与上下文矛盾,否则本文所述所有方法可依任何适合的顺序进行。除非另外声明,否则本文提供任一和所有实例或示例性语言(例如“例如”)仅拟用于更佳地说明材料和方法,而不会对范围构成限制。说明书的任何语言都不应解释成指示任一未要求保护的元件为实践所述材料和方法的必要条件。
整份说明书提及的“一个实施方式”、“某些实施方式”、“一个或更多个实施方式”或“一实施方式”意指该实施方式描述的具体特征、结构、材料或特性被包括在本公开内容的至少一个实施方式中。因此,说明书各处出现的诸如“在一个或更多个实施方式中”、“在某些实施方式中”、“在一个实施方式中”或“在一实施方式中”之类的用语不必然指称本公开内容的同一实施方式。另外,在一个或更多个实施方式中,具体特征、结构、材料或特性可以任何适合的方式结合。
虽然本公开内容已参照具体实施方式描述,然而应理解这些实施方式仅为说明本公开内容的原理和应用。本领域技术人员将明白,在不背离本公开内容的精神和范围的情况下,可对本公开内容的方法和设备作出各种修改和变化。因此,本公开内容意在包括落在所附权利要求书及其等同物的范围内的修改例和变化例。

Claims (15)

1.一种沉积膜的方法,所述方法包括以下步骤:
第一沉积循环,包括以下步骤:
在处理腔室中,使基板暴露于铪前驱物,以沉积含铪单层;
清除所述处理腔室的所述铪前驱物;
使所述基板暴露于第一氧化剂,以与所述含铪单层反应而形成氧化铪单层;
清除所述处理腔室的所述第一氧化剂;和
第二沉积循环,包括以下步骤:
在处理腔室中,使所述基板暴露于铪前驱物,以沉积第二含铪单层;
清除所述处理腔室的所述铪前驱物;
使所述基板暴露于掺杂剂前驱物,以于具有所述第二含铪单层的所述基板上沉积含掺杂剂层;
清除所述处理腔室的所述掺杂剂前驱物;
使所述基板暴露于第二氧化剂,以与所述含铪单层和所述含掺杂剂层反应而于所述基板上形成掺杂氧化铪膜;和
清除所述处理腔室的所述第二氧化剂。
2.如权利要求1所述的方法,其中所述掺杂剂选自铝(Al)、硅(Si)、锆(Zr)、钇(Y)、镧(La)、钆(Gd)或锶(Sr)中的一种或更多种。
3.如权利要求1所述的方法,其中所述铪前驱物选自四(乙基甲基酰胺基)铪(IV)(TEMAHf)、四(二甲基酰胺基)铪(IV)(TDMAHf)、四(二乙基酰胺基)铪(IV)(TDEAHf)、四氯化铪(HfCl4)、异丙氧化铪异丙醇加成物、叔丁氧化铪(IV)、二甲基双(环戊二烯基)铪(IV)、双(三甲基硅基)酰胺基氯化铪(IV)、双(甲基-η5-环戊二烯基)甲氧基甲基铪、或双(甲基-η5-环戊二烯基)二甲基铪中的一种或更多种。
4.如权利要求1所述的方法,其中所述掺杂剂前驱物选自三甲基铝(TMA)、三乙基铝(TEA)、氯化铝(AlCl3)、四氯化硅(SiCl4)、六氯二硅烷(Si2Cl6)、双(二乙基胺基)硅烷、三(二甲基胺基)硅烷、三(异丙基环戊二烯基)镧、三(2,2,6,6-四甲基-3,5-庚二酸)镧(III)(La(thd)3)、三(环戊基二烯基)镧(III)(LaCp3)、三(异丙基环戊二烯基)镧(III)(La(CpiPr)3)、四(乙基甲基酰胺基)锆(IV)(TEMAZr)、四(二甲基酰胺基)锆(IV)(TDMAZr)、四(2,2,6,6-四甲基-3,5-庚二酸)锆、2-乙基己酸锆(IV)、二丁氧化(双-2,4-戊二酸)锆(IV)、四(二乙基酰胺基)锆(IV)(TDEAZr)、二甲基双(五甲基环戊二烯基)锆(IV)、双(甲基-η5-环戊二烯基)甲氧基甲基锆、双(环戊二烯基)二氢化锆(IV)、四氯化锆(ZrCl4)、三(环戊基二烯基)钇(III)(YCp3)、三(甲基环戊二烯基)钇(III)((MeCp)3Y)、三(乙基环戊二烯基)钇(III)((EtCp)3Y)、三(2,2,6,6-四甲基-3,5-庚二酸)钇(III)(Y(thd)3)、三(2,2,6,6-四甲基-3,5-庚二酸)钆(III)(Gd(thd)3)、三(甲基环戊二烯基)钆(III)((MeCp)3Gd)、三(乙基环戊二烯基)钆(III)((EtCp)3Gd)、双(三异丙基环戊二烯基)锶(II)((i-Pr3Cp)2Sr)、双(三甲基正丙基环戊二烯基)锶(II)((n-PrMe3Cp)2Sr)、或双(甲基环戊二烯基)锶(II)(Sr(thd)2)中的一种或更多种。
5.如权利要求1所述的方法,其中所述掺杂氧化铪膜包括约1摩尔%至约40摩尔%的掺杂剂。
6.如权利要求1所述的方法,其中所述第一氧化剂和所述第二氧化剂独立地选自H2O、分子氧(O2)、臭氧(O3)、直接O2等离子体、或远程O2等离子体。
7.如权利要求1所述的方法,进一步包括重复所述第一沉积循环或所述第二沉积循环一次或更多次,以形成厚度为约0.5nm至约10nm并具有总计小于或等于约150个单层的掺杂氧化铪膜。
8.一种沉积薄膜的方法,所述方法包括以下步骤:
在第一工艺循环中形成氧化铪单层,所述第一工艺循环包括使基板顺序暴露于铪前驱物、净化气体、第一氧化剂和净化气体;
在第二工艺循环中形成掺杂氧化铪单层,所述第二工艺循环包括使所述基板顺序暴露于铪前驱物、净化气体、掺杂剂前驱物、净化气体、第二氧化剂和净化气体;和
重复所述第一工艺循环或所述第二工艺循环一次或更多次,以形成厚度为约0.5nm至约10nm的掺杂氧化铪膜。
9.如权利要求8所述的方法,其中所述铪前驱物选自四(乙基甲基酰胺基)铪(IV)(TEMAHf)、四(二甲基酰胺基)铪(IV)(TDMAHf)、四(二乙基酰胺基)铪(IV)(TDEAHf)、四氯化铪(HfCl4)、异丙氧化铪异丙醇加成物、叔丁氧化铪(IV)、二甲基双(环戊二烯基)铪(IV)、双(三甲基硅基)酰胺基氯化铪(IV)、双(甲基-η5-环戊二烯基)甲氧基甲基铪、双(甲基-η5-环戊二烯基)二甲基铪中的一种或更多种。
10.如权利要求8所述的方法,其中所述掺杂剂前驱物选自三甲基铝(TMA)、三乙基铝(TEA)、氯化铝(AlCl3)、四氯化硅(SiCl4)、六氯二硅烷(Si2Cl6)、双(二乙基胺基)硅烷、三(二甲基胺基)硅烷、三(异丙基环戊二烯基)镧、三(2,2,6,6-四甲基-3,5-庚二酸)镧(III)(La(thd)3)、三(环戊基二烯基)镧(III)(LaCp3)、三(异丙基环戊二烯基)镧(III)(La(CpiPr)3)、四(乙基甲基酰胺基)锆(IV)(TEMAZr)、四(二甲基酰胺基)锆(IV)(TDMAZr)、四(2,2,6,6-四甲基-3,5-庚二酸)锆、2-乙基己酸锆(IV)、二丁氧化(双-2,4-戊二酸)锆(IV)、四(二乙基酰胺基)锆(IV)(TDEAZr)、二甲基双(五甲基环戊二烯基)锆(IV)、双(甲基-η5-环戊二烯基)甲氧基甲基锆、双(环戊二烯基)二氢化锆(IV)、四氯化锆(ZrCl4)、三(环戊基二烯基)钇(III)(YCp3)、三(甲基环戊二烯基)钇(III)((MeCp)3Y)、三(乙基环戊二烯基)钇(III)((EtCp)3Y)、三(2,2,6,6-四甲基-3,5-庚二酸)钇(III)(Y(thd)3)、三(2,2,6,6-四甲基-3,5-庚二酸)钆(III)(Gd(thd)3)、三(甲基环戊二烯基)钆(III)((MeCp)3Gd)、三(乙基环戊二烯基)钆(III)((EtCp)3Gd)、双(三异丙基环戊二烯基)锶(II)((i-Pr3Cp)2Sr)、双(三甲基正丙基环戊二烯基)锶(II)((n-PrMe3Cp)2Sr)、或双(甲基环戊二烯基)锶(II)(Sr(thd)2)中的一种或更多种。
11.如权利要求8所述的方法,其中所述掺杂氧化铪膜包括约1摩尔%至约40摩尔%的掺杂剂氧化物。
12.如权利要求8所述的方法,其中所述第一氧化剂和所述第二氧化剂选自H2O、分子氧(O2)、臭氧(O3)、直接O2等离子体、或远程O2等离子体。
13.如权利要求8所述的方法,其中所述掺杂氧化铪膜具有总计小于或等于约30个单层。
14.一种在基板上的薄膜,所述薄膜包括小于或等于约30个掺杂有掺杂剂的HfO2单层,所述掺杂剂包括的铝(A1)、硅(Si)、锆(Zr)、钇(Y)、镧(La)、钆(Gd)或锶(Sr)中的一种或更多种,所述掺杂剂以约1摩尔%至约9摩尔%范围的量存在,其中所述薄膜具有约10至约50μC cm-2的残余极化量。
15.如权利要求14所述的薄膜,其中所述薄膜包括小于约30个掺杂有氧化铝的HfO2单层,所述氧化铝以约4摩尔%至约8摩尔%范围的量存在,并且所述薄膜具有约0.5nm至约10nm范围内的厚度。
CN201980040933.4A 2018-06-21 2019-06-07 薄氧化铪膜中掺杂剂浓度的可调性 Pending CN112313775A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862688120P 2018-06-21 2018-06-21
US62/688,120 2018-06-21
PCT/US2019/035924 WO2019245751A1 (en) 2018-06-21 2019-06-07 Tunability of dopant concentration in thin hafnium oxide films

Publications (1)

Publication Number Publication Date
CN112313775A true CN112313775A (zh) 2021-02-02

Family

ID=68982139

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980040933.4A Pending CN112313775A (zh) 2018-06-21 2019-06-07 薄氧化铪膜中掺杂剂浓度的可调性

Country Status (6)

Country Link
US (1) US20190393029A1 (zh)
JP (1) JP7123189B2 (zh)
KR (1) KR102538510B1 (zh)
CN (1) CN112313775A (zh)
TW (1) TWI815891B (zh)
WO (1) WO2019245751A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114988470A (zh) * 2022-05-26 2022-09-02 湘潭大学 一种氧化铪基铁电薄膜、电容结构、晶体管及制备方法

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7123622B2 (ja) 2018-05-18 2022-08-23 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
CN111549329A (zh) * 2020-05-13 2020-08-18 湘潭大学 铁电薄膜的制备方法、铁电存储器及其制备方法
WO2023223677A1 (ja) * 2022-05-18 2023-11-23 パナソニックIpマネジメント株式会社 キャパシタ、電気回路、回路基板、機器、及び蓄電デバイス

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
KR101304395B1 (ko) * 2004-05-12 2013-09-10 어플라이드 머티어리얼스, 인코포레이티드 하프늄-함유 높은-k 유전체 물질의 원자 층 증착을 위한 장치 및 방법
US7560395B2 (en) * 2005-01-05 2009-07-14 Micron Technology, Inc. Atomic layer deposited hafnium tantalum oxide dielectrics
US7508648B2 (en) * 2005-02-08 2009-03-24 Micron Technology, Inc. Atomic layer deposition of Dy doped HfO2 films as gate dielectrics
JP2007266464A (ja) 2006-03-29 2007-10-11 Hitachi Ltd 半導体集積回路装置の製造方法
US20080182427A1 (en) 2007-01-26 2008-07-31 Lars Oberbeck Deposition method for transition-metal oxide based dielectric
JP5801632B2 (ja) 2011-07-15 2015-10-28 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
WO2013150920A1 (ja) 2012-04-05 2013-10-10 東京エレクトロン株式会社 半導体デバイスの製造方法及び基板処理システム
US9231206B2 (en) * 2013-09-13 2016-01-05 Micron Technology, Inc. Methods of forming a ferroelectric memory cell
JP6616070B2 (ja) 2013-12-01 2019-12-04 ユージェヌス インコーポレイテッド 誘電性複合体構造の作製方法及び装置
US20150255267A1 (en) * 2014-03-09 2015-09-10 Tokyo Electron Limited Atomic Layer Deposition of Aluminum-doped High-k Films
US10153155B2 (en) * 2015-10-09 2018-12-11 University Of Florida Research Foundation, Incorporated Doped ferroelectric hafnium oxide film devices

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114988470A (zh) * 2022-05-26 2022-09-02 湘潭大学 一种氧化铪基铁电薄膜、电容结构、晶体管及制备方法
CN114988470B (zh) * 2022-05-26 2024-04-05 湘潭大学 一种氧化铪基铁电薄膜、电容结构、晶体管及制备方法

Also Published As

Publication number Publication date
TWI815891B (zh) 2023-09-21
TW202338140A (zh) 2023-10-01
US20190393029A1 (en) 2019-12-26
TW202000971A (zh) 2020-01-01
JP7123189B2 (ja) 2022-08-22
WO2019245751A1 (en) 2019-12-26
JP2021528856A (ja) 2021-10-21
KR102538510B1 (ko) 2023-06-01
KR20210010659A (ko) 2021-01-27

Similar Documents

Publication Publication Date Title
CN111033686B (zh) 用等离子体和/或热处理提高氧化铪基铁电材料性能的方法
KR102538510B1 (ko) 얇은 산화하프늄 막들 중의 도펀트 농도의 튜닝성
US7902018B2 (en) Fluorine plasma treatment of high-k gate stack for defect passivation
KR101639464B1 (ko) 유효 산화물 두께가 감소된 하이-k게이트 스택의 형성 방법
KR102521792B1 (ko) 선택적 산화알루미늄 막 증착
US9224594B2 (en) Surface preparation with remote plasma
CN101401194B (zh) 使用低能量等离子体系统制造高介电常数晶体管栅极的方法和装置
WO2006039029A2 (en) A method for forming a thin complete high-permittivity dielectric layer
US20220254900A1 (en) Mosfet gate engineerinng with dipole films
KR20200130469A (ko) 하프늄 나이트라이드 층들을 갖는 하프늄 옥사이드의 강유전체 (ferroelectric) 속성들 개질
TWI838267B (zh) 薄膜及沉積薄膜的方法
CN114616680A (zh) Pmos高k金属栅极
TWI621218B (zh) 包含鍺之半導體元件及其形成方法
KR102553773B1 (ko) 반도체 디바이스에 구조를 형성하는 방법
US9224644B2 (en) Method to control depth profiles of dopants using a remote plasma source
KR20230058700A (ko) Pmos 하이-k 금속 게이트들
Consiglio Metallorganic chemical vapor deposition and atomic layer deposition approaches for the growth of hafnium-based thin films from dialkylamide precursors for advanced CMOS gate stack applications
TW201413828A (zh) 在鍺或iii-v族半導體元件上形成矽酸鉭層的方法及裝置

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination