TW201944453A - 用於空間電漿增強原子層沉積處理工具的微波電漿源 - Google Patents

用於空間電漿增強原子層沉積處理工具的微波電漿源 Download PDF

Info

Publication number
TW201944453A
TW201944453A TW108106775A TW108106775A TW201944453A TW 201944453 A TW201944453 A TW 201944453A TW 108106775 A TW108106775 A TW 108106775A TW 108106775 A TW108106775 A TW 108106775A TW 201944453 A TW201944453 A TW 201944453A
Authority
TW
Taiwan
Prior art keywords
power supply
supply electrode
electrode
dielectric
plasma source
Prior art date
Application number
TW108106775A
Other languages
English (en)
Other versions
TWI758589B (zh
Inventor
裘茲福 庫德拉
田中努
亞歷山大V 嘉萊許誠柯
狄米奇A 迪日諾
阿維納許 薛維加
卡羅 貝拉
曉璞 李
安納薩K 沙布藍尼
約翰C 福斯特
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201944453A publication Critical patent/TW201944453A/zh
Application granted granted Critical
Publication of TWI758589B publication Critical patent/TWI758589B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32211Means for coupling power to the plasma
    • H01J37/32229Waveguides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32211Means for coupling power to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32211Means for coupling power to the plasma
    • H01J37/32247Resonators
    • H01J37/32256Tuning means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32348Dielectric barrier discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Electromagnetism (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

描述了電漿源組件、包括電漿源組件的氣體分配組件和產生電漿的方法。電漿源組件包括供電電極,具有與第一側相鄰的接地電極和與第二側相鄰的電介質。第一微波產生器經由第一饋電而電耦合到供電電極的第一端,且第二微波產生器經由第二饋電而電耦合到供電電極的第二端。

Description

用於空間電漿增強原子層沉積處理工具的微波電漿源
本揭露書的實施例一般關於用於電漿增強基板處理的設備。更具體地,本揭露書的實施例關於用於與處理腔室一起使用的模組化微波電漿源,處理腔室如空間原子層沉積批量處理器。
原子層沉積(ALD)和電漿增強ALD(PEALD)是提供高深寬比結構中的膜厚度和保形性的控制的沉積技術。由於半導體產業中裝置尺寸的不斷減小,使用ALD/PEALD的興趣和應用越來越多。在某些情況下,只有PEALD可滿足期望的膜厚度和保形性的規格。
半導體裝置形成通常在含有多個腔室的基板處理平台中進行。在一些情況下,多腔室處理平台或群集工具的目的是在受控環境中順序地在基板上執行兩個或更多個處理。然而,在其他情況下,多腔室處理平台可僅在基板上執行單個處理步驟;附加腔室旨在最大化藉由平台處理基板的速率。在後一種情況下,在基板上進行的處理通常是批量處理,其中相對大量的基板(如,25或50個)同時在給定的腔室中處理。批量處理對於以經濟上可行的方式在單個基板上執行太耗時的處理尤其有益,諸如用於原子層沉積(ALD)處理和一些化學氣相沉積(CVD)處理。
通常,PEALD工具使用高達幾十MHz的RF/VHF頻帶中的電容電漿源。該等電漿具有中等密度且可具有相對高的離子能量。使用頻率為GHz範圍的微波場代替,在某些諧振或波傳播電磁模式中,可產生具有非常高的電荷和自由基密度及帶有非常低的離子能量的電漿。電漿密度可在1012 /cm3 或更高的範圍中,且離子能量可低至約~5-10eV。這種電漿特徵在現代矽裝置的無損傷處理中變得越來越重要。
微波電漿的一個挑戰是放電穩定性和均勻性控制。在微波波段中,電磁(EM)場的波長通常小於正在處理的基板,且波-電漿相互作用可為非常強的。因此,微波電漿傾向於不穩定且在空間上非常不均勻,並且甚至可能僅在功率輸入處定位且不容易在較大的處理晶圓/基板上擴散。
因此,本領域存在有形成微波電漿的改良設備和方法的需求。
本揭露書的一或多個實施例涉及電漿源組件,包含:供電電極,具有第一端和第二端,第一端和第二端界定長度並具有沿著供電電極的長度延伸的軸線。供電電極具有寬度。接地電極在供電電極的第一側上。接地電極與供電電極隔開一段距離。電介質在供電電極的第二側上。電介質和接地電極封裝供電電極。電介質具有與供電電極相鄰的內表面和與內表面相對的外表面。第一微波產生器經由第一饋電而電耦合到供電電極的第一端。第二微波產生器經由第二饋電而電連接到供電電極的第二端。
本揭露書的另外的實施例涉及電漿源組件,包含:扁平供電電極,具有第一端和第二端並具有沿著電漿源組件的細長軸線延伸的軸線。供電電極具有寬度。接地電極在供電電極的第一側上。接地電極藉由第二電介質與供電電極隔開,並包括氣體入口。電介質在供電電極的第二側上。電介質和第二電介質封裝供電電極,以防止在供電電極和接地電極之間的電接觸。電介質具有沿電漿源組件的細長軸線而延伸的氣體通道。氣體入口與沿著細長軸線延伸的一或多個氣室流體連通。一或多個氣室經由一或多個氣體導管與氣體通道流體連通。第一微波產生器經由第一饋電而電耦合到供電電極的第一端。第一個微波產生器以第一頻率操作。第二微波產生器經由第二饋電而電耦合到供電電極的第二端。第二微波產生器以第二頻率操作。第一頻率和第二頻率在約900MHz至約930MHz的範圍內或在約2.4至約2.5GHz的範圍中,且第一頻率和第二頻率不同。
本揭露書的其他實施例涉及一種提供電漿的方法。從第一微波產生器提供第一微波功率到供電電極的第一端。從第二微波產生器提供第二微波功率到供電電極的第二端。第一微波功率和第二微波功率以約900MHz至約930MHz的範圍中或約2.4至約2.5GHz的範圍中的頻率操作。供電電極封裝在電介質中,其中在供電電極的第一側上具有接地電極。電漿在與不同於第一側的供電電極的第二側上的電介質附近形成。
本揭露書的另外的實施例涉及電漿源組件,包含:供電電極,具有界定長度的第一端和第二端。供電電極具有沿著供電電極的長度延伸的軸線。供電電極具有寬度。接地電極在供電電極的第一側上。接地電極與供電電極隔開一段距離。電介質在供電電極的第二側上。電介質和接地電極封裝供電電極。電介質具有與供電電極相鄰的內表面和與內表面相對的外表面。第一饋電係電耦合到供電電極,且第二饋電係電耦合到供電電極。第一饋電與第一微波產生器電耦合,而第二饋電係電耦合到虛擬負載。
本揭露書的實施例提供了一種用於連續基板沉積的基板處理系統,以最大化產出並改良處理效率。關於空間原子層沉積腔室描述了本揭露書的一或多個實施例;然而,熟悉該技術者將認識到這僅僅是一種可能的配置,且可使用其他處理腔室和電漿源模組。
如在本說明書和附隨的申請專利範圍中所使用的,術語「基底」和「晶圓」可互換使用,兩者均指處理作用的表面或表面的一部分。熟悉本領域者還將理解除非上下文另有明確說明,否則提及基板也可僅指基板的一部分。另外,提及沉積在基板上可指裸基板和具有在其上沉積或形成的一或多個膜或特徵的基板。
如在本說明書和附隨的申請專利範圍中所使用的,術語「反應性氣體」、「前驅物」、「反應物」及類似者可互換使用,以表示包括與基板表面反應的物種的氣體。例如,第一「反應氣體」可簡單地吸附到基板的表面上且可用於與第二反應氣體的進一步化學反應。
如在本說明書和附隨的申請專利範圍中所使用的,術語「派形」和「楔形」可互換使用,以描述作為圓形的扇區的主體。例如,楔形段可為圓形或盤形結構的一片段,且多個楔形段可連接以形成圓形主體。扇區可界定為由圓的兩個半徑和相交的弧所圍起的圓的一部分。派形段的內邊緣可到達一個點或可被截斷為平坦邊緣或圓形。在一些實施例中,扇區可界定為環或環形物(annulus)的一部分。
基板的路徑可垂直於氣體埠。在一些實施例中,氣體注射器組件的每一者包含複數個細長氣體埠,複數個細長氣體埠在基本垂直於由基板橫穿的路徑的方向上延伸,其中氣體分配組件的前面基本上平行於壓板。如在本說明書和所附隨的申請專利範圍中所使用的,術語「基本上垂直」意味著基板的一般移動方向沿著與氣體埠的軸線大致垂直(如,約45°至90°)的平面。對於楔形氣體埠而言,氣體埠的軸線可被認為是界定為沿著埠的長度延伸的埠的寬度的中點的線。
第1圖顯示了處理腔室100的剖面,處理腔室100包括氣體分配組件120(也稱為注射器或注射器組件)及基座組件140。氣體分配組件120是在處理腔室中使用的任何類型的氣體輸送裝置。氣體分配組件120包括面向基座組件140的前表​​面121。前表面121可具有任何數量或種類的開口,以將氣流朝基座組件140輸送。氣體分配組件120還包括外部在所示實施例中基本上是圓形的外周邊緣124。
所使用的特定類型的氣體分配組件120可根據所使用的特定處理而變化。本揭露書的實施例可與控制在基座和氣體分配組件之間的間隙的任何類型的處理系統一起使用。雖然可採用各種類型的氣體分配組件(如,噴頭),但是本揭露書的實施例對於具有複數個基本平行的氣體通道的空間ALD氣體分配組件可能特別有用。如在本說明書和所附隨的申請專利範圍中所使用的,術語「基本上平行」意味著氣體通道的細長軸線在相同的一般方向上延伸。氣體通道的平行度可能存在輕微的缺陷。複數個基本平行的氣體通道可包括至少一個第一反應氣體A通道、至少一個第二反應氣體B通道、至少一個吹掃氣體P通道及/或至少一個真空V通道。從(多個)第一反應氣體A通道、(多個)第二反應氣體B通道和(多個)吹掃氣體P通道流出的氣體被導向晶圓的頂表面。一些氣流水平地移過晶圓的表面並通過(多個)吹掃氣體P通道而離開處理區域。從氣體分配組件的一端移動到另一端的基板將依次曝露於處理氣體的每一者,以在基板表面上形成層。
在一些實施例中,氣體分配組件120是由單個注射器單元製成的剛性固定體。在一或多個實施例中,氣體分配組件120由複數個單獨的扇區(如,注射器單元122)製成,如第2圖所示。不論是單件式主體或多扇區式主體都可與所描述的本揭露書的各種實施例一起使用。
基座組件140位於氣體分配組件120下方。基座組件140包括頂表面141和在頂表面141中的至少一個凹槽142。基座組件140還具有底表面143和邊緣144。凹槽142可為任何合適的形狀和尺寸,這取決於正在處理的基板60的形狀和尺寸。在第1圖所示的實施例中,凹槽142具有平坦的底部以支撐晶圓的底部;但是,凹槽的底部可變化。在一些實施例中,凹槽具有圍繞凹槽的外周邊緣的台階區域,台階區域經調整尺寸以支撐晶圓的外周邊緣。由台階所支撐的晶圓的外周邊緣的量可取決於(例如)晶圓的厚度和已經存在於晶圓的背側上的特徵的存在而變化。
在一些實施例中,如第1圖所示,在基座組件140的頂表面141中的凹槽142經調整尺寸以使得支撐在凹槽142中的基板60具有與基座140的頂表面141基本上共面的頂表面61。如本說明書和所附隨的申請專利範圍中所使用的,術語「基本上共面」是指晶圓的頂表面和基座組件的頂表面在±0.2mm內共面。在一些實施例中,頂表面在±0.15mm、±0.10mm或±0.05mm內共面。一些實施例的凹槽142支撐晶圓,使得晶圓的內徑(ID)位於距基座的中心(旋轉軸線)約170mm至約185mm的範圍內。在一些實施例中,凹槽142支撐晶圓,使得晶圓的外徑(OD)位於距離基座的中心(旋轉軸線)約470mm至約485mm的範圍內。
第1圖的基座組件140包括支撐柱160,支撐柱160能夠提升、降低和旋轉基座組件140。基座組件可包括加熱器或氣體管線,或支撐柱160的中心內的電氣部件。支撐柱160可為增加或減小在基座組件140和氣體分配組件120之間的間隙、將基座組件140移動到適當的位置的主要手段。基座組件140還可包括微調致動器162,微調致動器162可對基座組件140進行微調,以在基座組件140和氣體分配組件120之間產生預定間隙170。在一些實施例中,間隙170的距離在約0.1mm至約5.0mm的範圍中,或在約0.1mm至約3.0mm的範圍中,或在約0.1mm至約2.0mm的範圍中,或在約0.2mm至約1.8mm的範圍中,或在約0.3mm至約1.7mm的範圍中,或在約0.4mm至約1.6mm的範圍中,或在約0.5mm至約1.5mm的範圍中,或在約0.6mm至約1.4mm的範圍中,或在約0.7mm至約1.3mm的範圍中,或在約0.8mm至約1.2mm的範圍中,或在約0.9mm至約1.1mm的範圍中,或約1mm。
圖式中所示的處理腔室100是轉盤式腔室,其中基座組件140可保持複數個基板60。如第2圖所示,氣體分配組件120可包括複數個分離的注射器單元122,每個注射器單元122能夠在當晶圓在注射器單元下方移動時在晶圓上沉積膜。顯示了兩個派形注射器單元122定位在基座組件140的大致相對側上並且在基座組件140之上方。僅出於說明性目的而顯示了此數量的注射器單元122。應該理解可包括更多或更少的注射器單元122。在一些實施例中,存在有足夠數量的派形注射器單元122,以形成符合基座組件140的形狀的形狀。在一些實施例中,單獨的派形注射器單元122的每一者可在不影響其他注射器單元122之任一者的情況下獨立地移動、移除及/或更換。例如,可升高一個區段以允許機器人進入在基座組件140和氣體分配組件120之間的區域,以裝載/卸載基板60。
具有多個氣體注射器的處理腔室可用以同時處理多個晶圓,使得晶圓經歷相同的處理流程。例如,如第3圖所示,處理腔室100具有四個氣體注射器組件和四個基板60。在處理開始時,基板60可定位在注射器組件30之間。以45°旋轉17基座組件140將導致在氣體分配組件120之間的每個基板60被移動到氣體分配組件120,以用於膜沉積,如氣體分配組件120下方的虛線圓圈所示。另外45°的旋轉將使基板60移動遠離注射器組件30。藉由空間ALD注射器,在晶圓相對於注射器組件移動的期間,膜沉積在晶圓上。在一些實施例中,基座組件140以防止基板60在氣體分配組件120下方停止的增量而旋轉。基板60和氣體分配組件120的數量可相同或不同。在一些實施例中,與氣體分配組件一樣,正在處理的晶圓的數量相同。在一或多個實施例中,正在處理的晶圓的數量是氣體分配組件的數量的分數或整數倍。例如,若有四個氣體分配組件,則有4x晶圓正在處理,其中x是大於或等於1的整數值。
第3圖中所示的處理腔室100僅代表一種可能的配置,且不應被視為限制本揭露書的範圍。這裡,處理腔室100包括複數個氣體分配組件120。在所示的實施例中,有四個氣體分配組件(也稱為注射器組件30)圍繞處理腔室100均勻地間隔開。所示的處理腔室100是八邊形的,然而,熟悉該技術者將理解這是一種可能的形狀,且不應視為限制本揭露書的範圍。所示的氣體分配組件120是梯形的,但可為單個圓形部件或由複數個派形段製成,如第2圖所示。
第3圖中所示的實施例包括負載鎖定腔室180或類似緩衝站的輔助腔室。這個腔室180連接到處理腔室100的一側,以允許(例如)基板(也稱為基板60)從處理腔室100裝載/卸載。晶圓機器人可定位在腔室180中,以將基板移到基座上。
轉盤(如,基座組件140)的旋轉可為連續的或不連續的。在連續處理中,晶圓不斷旋轉,使得它們依次曝露於注射器之每一者。在不連續處理中,晶圓可移動到注射器區域且停止,並接著移動到在注射器之間的區域84且停止。例如,轉盤可旋轉,使得晶圓從注射器間區域移動穿過注射器(或在注射器附近停止)並且到達轉盤可再次暫停的下一個注射器間區域。在注射器之間的暫停可為在每個層沉積(如,曝露於電漿)之間的額外處理步驟提供時間。
第4圖顯示了氣體分配組件220的扇區或部分,其可被稱為注射器單元122。注射器單元122可單獨使用或與其他注射器單元組合使用。例如,如第5圖所示,第4圖中的注射器單元122的四個注射器單元122被組合以形成單個氣體分配組件220。(為清楚起見,未顯示分隔四個注射器單元的線。)雖然除了吹掃氣體埠155和真空埠145之外,第4圖的注射器單元122還具有第一反應氣體埠125和第二反應氣體埠135兩者,注射器單元122不需要該等部件的全部。
參見第4和5圖兩者,根據一或多個實施例的氣體分配組件220可包含複數個扇區(或注射器單元122),其中每個扇區相同或不同。氣體分配組件220定位於處理腔室內,並包含在氣體分配組件220的前表面121中的複數個細長氣體埠125、135、145。複數個細長氣體埠125、135、145和真空埠155從鄰近內周邊緣123的區域朝向與氣體分配組件220的外周邊緣124相鄰的區域延伸。所示的複數個氣體埠包括第一反應氣體埠125、第二反應氣體埠135、圍繞第一反應氣體埠和第二反應氣體埠之每一者的真空埠145及吹掃氣體埠155。
參見第4或5圖中所示的實施例,然而,當宣稱埠從至少約內周邊區域延伸到至少圍繞外周邊區域時,埠可不僅僅徑向地從內部區域延伸到外部區域。當真空埠145圍繞反應氣體埠125和反應氣體埠135時,埠可切向延伸。在第4或5圖所示的實施例中,楔形反應氣體埠125、135在所有邊緣上藉由真空埠145而包圍,包括鄰近內周邊區域和外周邊區域。
參見第4圖,當基板沿路徑127移動時,基板表面的每個部分曝露於各種反應氣體。為了遵循路徑127,基板將曝露於或「看到」吹掃氣體埠155、真空埠145、第一反應氣體埠125、真空埠145、吹掃氣體埠155、真空埠145、第二反應氣體埠135和真空埠145。因此,在第4圖所示的路徑127的終點處,基板已經曝露於來自第一反應氣體埠125和第二反應氣體埠135的氣流,以形成層。所示的注射器單元122形成四分之一圓,但可更大或更小。第5圖中所示的氣體分配組件220可被認為是第4圖的注射器單元122的四個以串聯連接的組合。
第4圖的注射器單元122顯示了分離反應氣體的氣幕150。術語「氣幕」用以描述將反應氣體分離以免混合的氣流或真空的任何組合。第4圖所示的氣幕150包含靠近第一反應氣體埠125的真空埠145的一部分、中間的吹掃氣體埠155和靠近第二反應氣體埠135的真空埠145的一部分。氣流和真空的這種組合可用以防止或最小化第一反應氣體和第二反應氣體的氣相反應。
參見第5圖,來自氣體分配組件220的氣流和真空的組合形成對複數個處理區域250的分離。處理區域大致界定在各個反應氣體埠125、135周圍,其中氣幕150在250之間。第5圖所示的實施例構成八個單獨的處理區域250,其間具有八個分離的氣幕150。處理腔室可具有至少兩個處理區域。在一些實施例中,存在至少三個、四個、五個、六個、七個、八個、九個、10個、11個或12個處理區域。
在處理期間,基板可在任何給定時間曝露於多於一個處理區域250。然而,曝露於不同處理區域的部分將具有將兩者分開的氣幕。例如,若基板的前緣進入包括第二反應氣體埠135的處理區域,則基板的中間部分將位於氣幕150下方,且基板的後緣將處於包括第一反應氣體埠125的處理區域中。
工廠介面280(例如可為負載鎖定腔室)顯示為連接到處理腔室100。基板60顯示為疊加在氣體分配組件220上以提供參考框架。基板60通常可位於基座組件上以保持在氣體分配組件120(也稱為氣體分配板)的前表面121附近。基板60經由工廠介面280裝載到處理腔室100中,裝載到基板支撐件或基座組件上(參見第3圖)。可顯示基板60位於處理區域內,因為基板位於第一反應氣體埠125附近並且在兩個氣幕150a、150b之間。沿路徑127旋轉基板60將使基板繞著處理腔室100逆時針移動。因此,基板60將曝露於第一處理區域250a到第八處理區域250h,包括其間的所有處理區域。對於繞著處理腔室的每個循環,使用所示的氣體分配組件,基板60將曝露於第一反應氣體和第二反應氣體的四個ALD循環。
批量處理器中的傳統ALD序列(如第5圖的那樣)保持化學品A和B分別從空間分離的注射器中流動,其間具有泵/吹掃部分。傳統的ALD序列具有起始和結束圖案,這可能導致沉積膜的不均勻性。發明人驚奇地發現在空間ALD批量處理腔室中進行的基於時間的ALD處理提供了具有更高均勻性的膜。曝露於氣體A、沒有反應氣體、氣體B、沒有反應氣體的基本處理是在注射器下方掃過基板,以分別用化學品A和B浸透表面,以避免在薄膜中具有起始和結束圖案形式。發明人驚奇地發現當目標膜的厚度是薄的時,基於時間的方法特別有益(如,小於20個ALD循環),其中起始和結束圖案對晶圓內均勻性性能具有顯著影響。發明人還發現,如本文所述,產生SiCN、SiCO和SiCON膜的反應處理不能藉由時域處理完成。用以吹掃處理腔室的時間量導致材料從基板表面剝離。所描述的空間ALD處理不會發生剝離,因為氣幕下的時間很短。
因此,本揭露書的實施例涉及包含處理腔室100的處理方法,處理腔室100具有複數個處理區域250a-250h,其中每個處理區域藉由氣幕150與相鄰區域分離。例如,第5圖所示的處理腔室。取決於氣流的佈置,處理腔室內的氣幕和處理區域的數量可為任何合適的數量。第5圖所示的實施例具有八個氣幕150和八個處理區域250a-250h。氣幕的數量通常等於或大於處理區域的數量。例如,若區域250a沒有反應氣流,但僅用作裝載區域,則處理腔室將具有七個處理區域和八個氣幕。
複數個基板60定位在基板支撐件上,例如,第1和2圖所示的基座組件140。複數個基板60圍繞處理區域旋轉以進行處理。通常,氣幕150在整個處理中被嚙合(氣體流動和真空開啟),處理包括沒有反應氣體流到腔室中的週期。
第一反應氣體A流到一或多個處理區域250中,同時惰性氣體流到不具有第一反應氣體A流到其中的任何處理區域250中。例如,若第一反應氣體流到處理區域250b至處理區域250h中,則惰性氣體將流到處理區域250a中。惰性氣體可通過第一反應氣體埠125或第二反應氣體埠135而流動。
處理區域內的惰性氣體流可為恆定的或變化的。在一些實施例中,反應性氣體與惰性氣體共同流動。惰性氣體將充當載體和稀釋劑。由於相對於載氣,反應氣體的量小,因此共同流動可藉由減小在相鄰區域之間的壓力差來更容易地平衡在處理區域之間的氣體壓力。
本揭露書的一些實施例涉及微波電漿源。雖然關於空間ALD處理腔室描述了微波電漿源,但是熟悉本領域者將理解模組不限於空間ALD腔室,且可適用於可使用微波電漿的任何注射器情況。
本揭露書的一些實施例有利地提供模組化電漿源組件,亦即,可容易地插入處理系統和從處理系統移除的源。例如,可修改多部件氣體分配組件(如第5圖所示),以移除一個楔形氣體埠並用模組化電漿源組件替換氣體埠。
本揭露書的一些實施例有利地提供行波型電漿施加器,其不僅使用電漿作為「功率吸收介質」,而且還作為「波導介質」的一部分。本揭露書的一些實施例有利地提供了電漿供電電極,其允許空間擴展的微波電漿。電漿供電電極概念也稱為「表面波電漿技術」。本揭露書的一些實施例最小化或消除在電漿施加器(或帶狀線供電電極)內的反射功率,以最小化或消除引起不均勻性的駐波。
本揭露書的一些實施例包括「帶狀線供電電極」,其中電漿充當帶狀線供電電極的兩個「接地電極」之一。例如,第6A圖顯示了與接地電極310間隔開的帶狀線供電電極350。顯示了電場線352,以顯示當存在單個接地電極時,在供電電極350和接地電極310之間的電子耦合。第6B圖顯示了在接地電極310和接地電極310a之間並與之間隔開的帶狀線供電電極350。電場線352顯示了在供電電極350和接地電極310之間的電子耦合,且電場線352a顯示了在供電電極350和接地電極310a之間的電子耦合。第6C圖顯示了與接地電極310隔開的供電電極350,其中電漿353在相反處。電漿353可用作接地電極310的替代物。供電電極350的尺寸、在供電電極350和接地電極310之間的間隔、在供電電極350和電漿353之間的間隔​​及電介質材料354的成分和尺寸會影響傳輸線的傳播常數。在一些實施例中,電極的寬度小於電極的長度。所顯示的場線用於描述目的且可能不代表使用中的任何特定電場,且不應被視為限制本揭露書的範圍。
沿著供電電極的波傳播(和衰減)是帶狀線幾何形狀和電漿的函數。第6D和6E圖顯示了在供電電極350和接地電極310之間的距離的影響。在第6D圖中,與第6E圖相比,供電電極(供電電極350)相對靠近電漿353。在第6D圖中,與電漿的功率耦合(功率損耗)比在第6E圖中更強(亦即,波衰減得更快並且不會軸向傳播)。若帶更接近金屬接地(對於沒有損耗的電極),帶狀線上的電壓較低並且與電漿的耦合較弱,亦即,軸向功率損耗(波衰減)較弱並且波進一步傳播。
另外,帶(供電電極350)的寬度會影響波傳播(衰減)常數,亦即,會影響軸向電漿輪廓。第6F圖顯示了供電電極350,其中寬度小於第6G圖的供電電極350。在其他考慮因素相同的情況下,第6F圖的電漿353將被限制在比第6G圖的電漿更小的寬度。
參見第7至29圖,本揭露書的一或多個實施例涉及模組化微波電漿源300。如在本說明書和所附隨的申請專利範圍中所使用的,術語「模組化」意味著電漿源300可附接到處理腔室或從處理腔室移除。模組化源通常可由單人移動、移除或附接。
一些實施例的電漿施加器(也稱為帶狀線供電電極350、帶狀線電極或熱電極)是由兩個微波(MW)產生器供電的線性電漿源;一個MW產生器電耦合到電漿施加器的每個端部,如第7圖所示。可將第一MW產生器361和第二MW產生器362調諧到略微不同的頻率,以使駐波問題最小化。不受任何特定操作理論的束縛,據信使用兩個產生器還能夠實現在兩個電漿施加器端部之間的功率平衡以及端到端地電漿歪斜的控制。帶狀線供電電極350可具有變化的幾何形狀(如,帶狀電極寬度/形狀及/或到電漿353/接地電極310的距離,以控制電漿輪廓。第7圖中所示的帶狀線供電電極350藉由電介質320與接地電極310和電漿分離。
參見第8圖,本揭露書的一或多個實施例涉及包括接地電極310和電介質320的電漿源組件300。所顯示的電漿源組件300是楔形部件,其可與如同第5圖的氣體分配組件一起使用。所顯示的電漿源組件300具有內周邊緣301和外周邊緣302,它們形成細長軸線的邊界。
接地電極310和電介質320可封裝在殼體(未顯示)內,或可形成組件300的外表面。在第8圖所示的實施例中,電介質320具有從上部322插入的下部321,以形成分層外表面。分層外表面可提供支撐表面(在上部322的曝露底部上),當支撐表面定位在氣體分配組件中時,支撐表面可支撐組件300。這代表允許組件300支撐其自身重量的一種可能配置,且其他配置也在本揭露書的範圍內。
第9圖顯示了電漿源組件300的一或多個實施例的剖面圖,其中接地電極310和殼體307是分層的。接地電極310顯示為下部311和上部312,它們是藉由O形環313分離的分離部件。下部311和上部312可藉由任何合適的部件連接,包括(但不限於)可移除的硬體(如,螺栓)或永久性接合(如,焊接接合)。所顯示的實施例提供了兩個區域,其中組件300可支撐在氣體分配組件120內。所顯示的分層殼體307安置在形成於氣體分配組件120中的凸緣128上,且接地電極310的上部312安置在氣體分配組件120的頂表面126上。在所顯示的實施例中,組件300藉由穿過上部312進到氣體分配組件120中的螺栓317保持在適當位置。
第9圖中顯示的電介質320具有多個部分,以允許電介質320被打開以進入包括帶狀線供電電極350的內部。接地電極310和電介質320可藉由O形環323連接,以形成用於氣體路徑330的氣密密封,如下所討論的。為了便於說明,在其他視圖和所示實施例中未顯示各種O形環;然而,熟悉該技術者將認識到O形環的一般有用性和可使用O形環的合適位​​置。
第10圖顯示了第8圖沿著線10-10'截取的電漿源組件300的剖面圖。電漿源組件300具有供電電極350,具有第一端355和第二端357。供電電極350沿著電漿源組件300的細長軸線延伸,使得第一端355與內周邊緣301相鄰且第二端357與外周邊緣302相鄰。如以這種方式使用,術語「相鄰」意味著第一部件位於第二部件附近或緊鄰第二部件。
第10A圖顯示了具有內周邊緣301和外周邊緣302的楔形電漿組件300的示意圖。組件300的細長軸線303標記為延伸穿過內周邊緣301和外周邊緣302的虛線,並在第一邊緣304和第二邊緣305之間的中心。供電電極350具有長度L和寬度W。長度L是從第一端355到第二端357量測的。寬度W是在與由組件300的前表面324形成的平面類似的平面中垂直於細長軸線303而量測的,如第9圖所示。供電電極350具有沿著供電電極的長度從第一端延伸到第二端的軸線。在一些實施例中,供電電極350具有基本平行的側面。參見第10A圖,側面在供電電極的端355、357之間延伸。術語「基本平行」是指由一側形成的主平面在由另一側形成的主平面的±10°內。在一些實施例中,供電電極350的寬度W在電極350的長度L上保持基本相同(如,在平均值的10%內)。在一些實施例中,電極350的側面在電極的頂部或底部任一者處向內傾斜,以形成梯形的剖面。
供電電極350可由能承受操作溫度的任何合適材料製成。在一些實施例中,供電電極350包含鎢(W)、鉬(Mo)或鉭(Ta)的一或多種。在一些實施例中,供電電極350包含鎢、基本上由鎢組成或由鎢組成。如以這種方式使用,術語「基本上由...組成」是指供電電極350在原子基礎上大於或等於所宣稱材料的約95%、98%或99%。在一些實施例中,供電電極350包含鉬、基本上由鉬組成或由鉬組成。在一些實施例中,供電電極350包含鉭、基本上由鉭組成或由鉭組成。
供電電極350的寬度W可為任何合適的寬度。在一些實施例中,供電電極350具有在約2mm至約50mm的範圍中的寬度W,或在約4mm至約40mm的範圍中,或在約5mm至約30mm的範圍中,或在約7mm至約20mm的範圍中,或在約8mm至約15mm的範圍中。在一些實施例中,供電電極350的寬度W為約10mm。
在一些實施例中,供電電極350的寬度W從第一端355變化到第二端357。在一些實施例中,供電電極350的寬度W的形狀符合組件300的形狀。例如,楔形組件300可具有楔形的供電電極350,其中在外邊緣處對寬度的比例與在內邊緣處對寬度的比例是相似的。
接地電極310位於供電電極350的第一側上。接地電極310的位置可被稱為在供電電極350之上方。然而,使用相對術語如「上方」、「下方」及類似者並不旨在表示特定的物理關係,而是旨在表示相對關係。例如,第10圖中的坐標軸線表示接地電極310在Z軸線上位於比供電電極350高的位置。在一些實施例中,供電電極350的第一側是與供電電極350在Z軸線上與供電電極350的第二側不同的側面。
接地電極310可由任何合適的材料製成,包括(但不限於)鋁、不銹鋼和銅。接地電極310可具有任何合適的電特性。在一些實施例中,接地電極是與電接地電接觸的導電材料。
如第10圖所示,接地電極310可與供電電極350藉由距離D1 隔開。距離D1 可為將接地電極310與供電電極350分開以防止它們之間的直接電接觸的任何合適距離。在一些實施例中,接地電極310藉由第二電介質325與供電電極350隔開。第二電介質325可與電介質320相同或可為不同的材料。電介質320及/或第二電介質325可由任何合適的材料製成,包括(但不限於)氧化鋁、氧化矽、氮化矽、陶瓷、石英、空氣。在一些實施例中,電介質320及/或第二電介質325包含電介質材料和氣隙的組合。在供電電極350的第二側上的電介質320具有鄰近或面向供電電極350的內表面326和與內表面326相對的外表面327。
在第10圖所示的實施例中,電介質320被支撐及/或在殼體307內。電介質320和第二電介質325封裝供電電極350,以防止與接地電極310或與接地電極310相對的供電電極350的側面上的氣體或部件直接電接觸。在所顯示的實施例中,電介質320將供電電極350與氣體通道370中的氣體分開。
第一微波產生器361(參見第7圖)經由第一饋電381而電耦合到供電電極350的第一端355。第一饋電381由可從第一微波產生器361傳輸功率到供電電極350的任何合適的導電材料製成。在第10圖和第11圖的細節圖所顯示的實施例中,第一饋電381通過開口314穿過接地電極310,而不與接地電極310電接觸。
第二微波產生器362(參見第7圖)經由第二饋電382而電耦合到供電電極的第二端357。第二饋電382由可從第二微波產生器362傳輸功率到供電電極的任何合適的導電材料製成。在第10圖和第12圖的細節圖所顯示的實施例中,第二饋電382通過開口315穿過接地電極310,而不與接地電極310電接觸。
可藉由任何合適的技術將第一饋電381及第二饋電382與接地電極310的電接觸隔離。再次參見第9圖,第一饋電381被顯示為同軸饋電線383。同軸饋電線383包括內導體(第一饋電381),具有以同軸配置而佈置的絕緣體384和外導體385。外導體385與接地電極310電接觸,以形成完整的電路。在所顯示的實施例中,絕緣體384終止於第二電介質325處。然而,絕緣體384可終止於任何合適的點處,包括(但不限於)供電電極350。一些實施例的第二饋電382包括與第一饋電381相同的部件。
參見第11圖的細節圖,供電電極350可與接地電極310藉由距離D1 分開並且與氣體通道370藉由距離D2 分開。距離D1 和距離D2 可為相同或不同的尺寸。在一些實施例中,距離D1 和距離D2 在約4mm至約15mm的範圍中,或在約5mm至約14mm的範圍中,或在約7mm至約13mm的範圍中,或在約9mm至約12mm的範圍中,或約11mm。
在一些實施例中,距離D1 在第一端355和第二端357之間保持基本相同。如以這種方式使用,術語「基本相同」意味著相對於從第一端355到第二端357的平均厚度,厚度變化不超過10%、5%、2%或1%。在一些實施例中,距離D1 在第一端355和第二端357之間變化。例如,在一些實施例中,第二電介質325在第二端357附近比第一端355更厚,使得在第二端357處的距離D1 大於第一端355。在一些實施例中,第二電介質325在第二端357附近比第一端355更薄。
在一些實施例中,距離D2 在第一端355和第二端357之間保持基本相同。在一些實施例中,距離D2 在第一端355和第二端357之間變化。例如,在一些實施例中,第二電介質325在第二端357附近比第一端355更厚,使得在第二端357處的距離D2 大於第一端355。在一些實施例中,第二電介質325在第二端357附近比第一端355更薄。
參見第10圖和細節圖第13圖,電漿源組件300的一些實施例包括在接地電極310的頂部中的氣體入口410。如以這種方式使用,接地電極310的「頂部」指的是距離接地電極350最遠的接地電極310的表面,且並不意味著物理定向。一些實施例的氣體入口410與位於與接地電極310的頂部相對的組件300的底部處的氣體通道370流體連通,使得氣體可從組件300的頂部流過,通過組件300的主體並進到位於組件300下方的處理腔室的處理區域中。
參見第13至17圖,顯示了一些實施例的氣體流動路徑405。第15圖是沿第8圖的線15-15'截取的剖面圖並顯示了在供電電極350的第一端355處的組件300的端部的一部分。第16圖是沿第8圖的線16-16'截取的在供電電極350的第一端355和中心定位的氣體入口410之間的剖面圖。第17圖是沿第8圖的線17-17'擷取在中心定位的氣體入口410處的剖面圖。雖然所顯示的實施例具有位於供電電極350的長度的中心的氣體入口410,但是應理解這僅僅代表了可能的配置。
如第13和14圖所示,一些實施例包括可裝配到接地電極310中的凹槽319中,或若與接地電極310分開則裝配到殼體中的氣體入口板440。氣體插入板440可為任何合適的形狀或尺寸。在所示的實施例中,氣體插入板440的形狀類似於具有中心翼梁441和兩個端部翼梁442的I形梁。氣體入口410位於中心翼梁441的中間,使得氣體流動通過氣體入口410的傳導率在端部翼梁442的每一者處約相同。
氣體插入板440位於接地電極310頂部之下方的凸緣421上。凸緣421的寬度可任何合適的寬度以支撐氣體插入板440的邊緣。流過氣體入口410的氣體通到由凹槽319的底部423和氣體插入板440以及端部翼梁442的端部之下方的孔424所界定的氣體容積420中。
氣體流動路徑405以第18圖的示意圖顯示。流過孔424的氣體通過管426進到沿細長軸線延伸的一或多個氣室428中。一或多個氣室428與一或多個氣體導管430流體連通,以提供來自氣體入口板440的氣流流過接地電極310和電介質320,到達沿著電漿源組件300的細長軸線延伸的氣體通道370。氣體通道370可為從殼體307的前表面324或電介質320量測的任何合適的深度。在一些實施例中,氣體通道370具有在約5mm至約30mm的範圍中的深度,或在約10mm至約25mm的範圍中,或在約15mm至約20mm的範圍中。
在第17圖的剖面圖中可看到氣體容積420和氣體插入板440。兩個氣室428和導管430在第16圖的剖面圖中顯示。導管430與氣體通道370流體連通。在第15至17圖的剖面圖中,殼體307和電介質320形成到導管430的邊界。在一些實施例中,導管430完全形成在電介質320中。在一些實施例中,如第16A圖所示,導管430和任選的氣室428完全形成在金屬殼體307中。熟悉本領域者將認識到任何所揭露的配置都可使導管430完全位於金屬殼體307內。
參見第17圖,供電電極的剖面形狀顯示為矩形。供電電極350的剖面形狀可為任何合適的形狀。例如,供電電極350可為從第一端延伸到第二端的圓柱形,且剖面形狀可為圓形或橢圓形。在一些實施例中,供電電極是扁平導體。如以這種方式使用,術語「扁平導體」是指具有矩形棱柱形狀的導電材料,其中剖面是矩形,如第17圖所示。扁平導體具有高度或厚度T。厚度T可為任何合適的厚度,這取決於(例如)供電電極350材料。在一些實施例中,供電電極350具有在約5μm至約5mm的範圍中的厚度、在0.1mm至約5mm的範圍中,或在約0.2mm至約4mm的範圍中,或在約0.3mm至約3mm的範圍中,或在約0.5mm至約2.5mm的範圍中,或在約1mm至約2mm的範圍中。
在一些實施例中,電介質320及/或第二電介質325的寬度Wd 可保持相同或沿電極的長度變化。在一些實施例中,電介質320(任選地包括第二電介質325)具有從供電電極350的第一端355到第二端357的均勻寬度Wd 。在一些實施例中,電介質320具有基本平行的側面(如第9圖中所示)。側面在供電電極的兩端355、357之間延伸。術語「基本平行」是指由一側形成的主平面在由另一側形成的主平面的±10°內。主平面不包括彎曲的側面的部分,如第15圖所示。在一些實施例中,電介質320的寬度Wd 在電極350的長度L上保持基本相同(如,在平均值的10%內)。在一些實施例中,電介質320的寬度Wd 隨著殼體307的寬度而變化,使得電介質320的寬度與殼體307的寬度的比例從殼體的內端到外端保持約相同。在一些實施例中,電介質320的寬度Wd 不超過λ/2,其中λ是微波波長。
參見第7圖,第一微波產生器361經由第一饋電381而電耦合到供電電極350的第一端355,且第二微波產生器362經由第二饋電382而電耦合到供電電極350的第二端357。第一饋電381和第二饋電382在上文參考第9圖進行了描述。第一微波產生器361以第一頻率f1操作,而第二微波產生器362以第二頻率f2操作。在一些實施例中,第一頻率f1和第二頻率f2在約300MHz至約300GHz的範圍中,或在約900MHz至約930MHz的範圍中,或在約1GHz至約10GHz的範圍中,或在約1.5GHz至約5GHz的範圍中,或在約2GHz至約3GHz的範圍中,或在約2.4GHz至約2.5GHz的範圍中,或在約2.44GHz至約2.47GHz的範圍中,或約2.45GHz至約2.46GHz。在一些實施例中,頻率f1和頻率f2各自約為915MHz±15%,或915MHz±10%。在一些實施例中,頻率f1在頻率f2的0.05GHz內。在一些實施例中,頻率f1不同於頻率f2(亦即,對於在900-930MHz範圍中的頻率,差異大於5MHz,或者對於在1GHz至10GHz範圍中的頻率,差異大於0.05GHz)。在一些實施例中,頻率f1不同於頻率f2,且每個頻率在約900MHz至約930MHz的範圍中,或在約2.4GHz至約2.5GHz的範圍中,或2.45GHz±10%,或2.45 GHz±5%,或915 MHz±15%,或915 MHz±10%。
第一微波產生器361和第二微波產生器362可以任何合適的功率操作。可獨立地控制微波產生器的功率,以調節電漿參數。在一些實施例中,微波產生器的功率在約100W至約5kW的範圍中,或在約500W至約2kW的範圍中,或約1kW。
在使用中,可使用第一微波產生器361和第二微波產生器362將微波功率施加到供電電極350的兩端。當功率未被電漿353吸收時,功率可經由微波產生器輸出端的循環器而被路由到虛擬負載(也稱為「匹配終端負載」)。這可通過內建或外部循環器。在一些實施例中,第二微波產生器362是第一微波產生器361的匹配終端負載,使得一個產生器可向第一饋電381和第二饋電382兩者提供功率。在一些實施例中,第二微波產生器362是虛擬負載。
第19圖顯示了本揭露書的一或多個實施例的示意圖,其中第一滑動短路461位於第一饋電381附近,且第二滑動短路462位於第二饋電382附近。一些實施例的滑動短路461、462是同軸滑動短路型調諧器,位於同軸饋電周圍。在一些實施例中,第一可動短路463和第二可動短路464與第一滑動短路461和第二滑動短路462一起使用,以在功率輸入處形成「L型」匹配網路。調諧區(套管和短路的位置)可位於功率連接的大氣側。
第20圖顯示了本揭露書的一或多個實施例的示意圖,其中同軸滑動短路型調諧器471、472定位在第一支腳391上,第一支腳391在第一端355處和第一饋電381相鄰且第二支腳392在第二端357處和第二饋電382相鄰。第一支腳391和第二支腳392可為可調節長度的短路同軸線。滑動金屬短路可形成可變的傳輸線調諧元件。微波產生器顯示為與供電電極350大約同軸地定位,且支腳391、392與供電電極350的軸線成角度。
第21圖顯示了本揭露書的一或多個實施例的示意圖,其中第一短管調諧器481位於供電電極350的第一端355處的第一饋電381附近,且第二短管調諧器482位於供電電極350的第二端357處的第二饋電382附近。短管調諧器481、482可定位在沿著供電電極350的長度的任何點處,並可更靠近或遠離供電電極350移動。例如,第二短管調諧器482顯示為比第一短管調諧器481更靠近供電電極350。第一微波產生器361和第二微波產生器362以大約同軸佈置的方式電耦合到供電電極350。在一些實施例中,一或多個短管調諧器具有在約20歐姆至約80歐姆的範圍中的電阻,或在約40歐姆至約60歐姆的範圍中,或約50歐姆,以使功率反射最小化。
第22A圖顯示了本揭露書的一或多個實施例的示意圖,其具有與第20圖的配置類似的配置。這裡,支腳391、392顯示為與供電電極350大約同軸,且同軸滑動短型調諧器471、472是同軸定向的。第一饋電381和第二饋電382與供電電極350的軸線成角度。第22B圖顯示了第22A圖的實施例的示意圖,其中第一饋電381和第二饋電382朝向供電電極350的長度的中心移動。將饋電移動到電極的長度的中心可增加可用以產生電漿的功率,同時調諧器可控制供電電極的兩端處的電漿輪廓。
第23A圖顯示了本揭露書的一或多個實施例的示意圖,具有與第21圖的配置類似的配置。這裡,支腳391、392顯示為與供電電極350大約同軸,且短管調諧器481、482位於第一饋電381和第二饋電382外側的支腳391、392附近。第23B圖顯示了第23A圖的實施例的示意圖,其中第一饋電381和第二饋電382朝向供電電極350的長度的中心移動,類似於第22A圖和第22B圖中的差異。第23A和23B圖中所示的調諧器可處於水平定向及所示的垂直定向。
在一些實施例中,類似於沒有短管調諧器481、482的第23A圖,供電電極350延伸超過第一饋電381和第二饋電382的每一個的量約為1/16λ、1/8λ或1/4λ。在一些實施例中,供電電極350延伸超過第一饋電381和第二饋電382的每一個的量小於或等於約1/16λ、1/8λ或1/4λ。例如,第23A圖中所示的實施例具有分別在第一饋電381和第二饋電382外側的支腳391、392。供電電極350的不在饋電之間的該等部分可稱為支腳,延伸部分或短管。在一些實施例中,從供電電極350的端部到最近的饋電的距離在約0.1mm至約10mm的範圍中,或在約0.5mm至約8mm的範圍中,或在約1mm至約7.5mm的範圍中,或在約2mm至約6mm的範圍中,或在約3mm至約4.5mm的範圍中。在一些實施例中,支腳391、392的長度可用作調諧元件,以增加電漿均勻性。
第24圖顯示了根據本揭露書的一或多個實施例的電漿組件300的剖面示意圖。這裡,殼體307圍繞電介質320和接地電極310兩者。殼體307可為導電的或不導電的。所示實施例顯示了對電介質320的長度DL 在氣體通道370中形成的電漿353的長度PL 、供電電極350的長度WL 及功率輸入之間的距離DI 的量測。在一些實施例中,長度DL 在約150mm至約500mm的範圍中,或在約200mm至約450mm的範圍中,或在約250mm至約400mm的範圍中,或在約300mm至約350mm的範圍中。在一些實施例中,電漿的長度PL 小於或等於長度DL 。在一些實施例中,電漿的長度PL 比長度DL 小約10mm。供電電極350的長度WL 約為電漿PL 的長度。在一些實施例中,供電電極350的長度WL 小於或等於約電介質的DL的長度。在輸入之間的長度DI 小於或等於供電電極350的長度WL
本揭露書的另外的實施例涉及產生或提供電漿的方法。第一微波功率從第一微波產生器提供到供電電極的第一端,且第二微波功率從第二微波產生器提供到供電電極的第二端。第一微波功率和第二微波功率以約2.4至約2.5GHz範圍中的頻率操作。供電電極封裝在電介質中,其中在供電電極的第一側上具有接地電極。在與第一側不同的供電電極的第二側上的電介質附近形成電漿。
在電漿產生期間,處理腔室中或通道370中的壓力可為任何合適的溫度。在一些實施例中,通道370中的壓力在約1 mTorr至約100Torr的範圍中,或在約10mTorr至約10Torr的範圍中,或約50mTorr。
示例
具有雙微波饋電和帶狀線供電電極的電漿源組件由在2.4-2.5GHz下操作的兩個1kW產生器構建和供電。帶狀線具有鋁主體、銅帶和石英作為電介質。幾何結構配置成在電路中保持約50歐姆的特徵阻抗,以最小化功率反射。施加器在每一端處配備有兩個短管調節器。在torr範圍中的氣體壓力下,在340×75mm的電漿區域上用N2 和Ar/N2 產生電漿。
用楔形電介質建構楔形電漿源組件。微波饋電直接到組件的頂部,使用短端可調諧同軸線代替短管調諧器,且帶材料是鉬。覆蓋派的電漿在N2 和Ar/N2 氣體混合物中產生直至幾托。
第25A圖顯示了對於不同的功率輪廓,功率(歸一化到輸入功率)作為軸向位置(歸一化到供電電極長度)的函數的曲線圖。將約800W的功率施加到供電電極的一側。第25B圖顯示了對於約800W施加到供電電極的一端且約600W施加到供電電極的另一端的雙功率供電電極而言,功率(歸一化為輸入功率)作為軸向位置(歸一化為供電電極長度)的函數的曲線圖。隨著波攜帶的能量在電漿中消散,在天線中的功率從波發射點朝相對的天線端(或電漿端)減小。
第26A至26C圖顯示了使用雙供電電極的波傳播。在第26A圖中,供應到供電電極350的兩端的功率不足以在供電電極的整個長度上形成電漿。在第26B圖中,施加到供電電極的功率大於第26A圖中的功率,但仍不足以在整個長度上形成電漿。第26C圖顯示了具有足夠功率施加到兩端,以在供電電極的長度上形成完整電漿的供電電極。在一些實施例中,形成的電漿是過密的(電子密度ρe 高於臨界電漿密度ρc 。另外,形成的電漿可具有大於駐波截止密度的電子密度ρe 。例如,在2.45GHz下,臨界電漿密度ρc = 7×1010 cm-3 ,且對於沿著具有(如)4的相對介電常數的電介質(石英)的駐波傳播而言,截止密度為~3×1011 cm-3
熟悉本領域者將認識到儘管第26C圖中的電漿在供電電極的整個長度上產生,電漿可能不均勻。施加到供電電極的兩端的功率是可影響供電電極與電漿的電子耦合的完整性和均勻性以及所得到的電漿密度(電子密度)的一個因素。
所採用的導電介質(亦即,電漿在其中點燃的氣體源)可影響電漿均勻性和電子密度。在一些實施例中,可藉由向電漿氣體添加氬來調節電漿的電子密度。例如,若使用氮電漿點燃電漿,則功率損失到腔室壁、原子碰撞導致電離損失(亦即,產生不是離子的激發原子)、能量損失導致振動變化或者原子的旋轉狀態等會導致電子密度的不均勻。向氮氣中添加氬氣流可增加均勻性,因為氬氣不會像氮氣那樣損失很多。
可修改不同因子以改變電漿的電子密度及/或均勻性。第27A圖顯示了平直的供電電極350,在接地電極310和電介質320的外表面327之間具有均勻的距離。電漿353顯示為在供電電極350的長度上不完整。圖式之下方所示的曲線顯示第27A圖的實施例的軸向功率密度(電漿)輪廓,顯示了電極的中間附近的電漿密度的降低。
第27B圖顯示了一個實施例,其中供電電極改變在電介質320的外表面327之間的距離,使得供電電極350更靠近在供電電極350的長度的中間附近的電漿353。減小供電電極350到電介質320的外表面327的距離增加了與電漿353的電子耦合。供電電極350的寬度或厚度在電極的長度上保持約相同。圖式之下方所示的曲線顯示了第27B圖的實施例的軸向功率密度(電漿)輪廓,顯示了比第27A圖的實施例的軸向功率密度(電漿)輪廓在供電電極的長度上更均勻。
還可考慮所示的實施例在電極的長度上改變供電電極到接地電極310的距離。減小到接地電極310的距離可藉由增加到接地的電子耦合來減少到電漿353的電子耦合。這個實施例類似於關於第6D和6E圖描述的實施例。
第27C圖顯示了一個實施例,其中電介質320的形狀被改變,使得外表面327在電極的長度的中間附近更靠近供電電極350。這個實施例的供電電極350是扁平電極,且到電漿353的電子耦合藉由電介質320的厚度調製。
第27D圖顯示了一個實施例,其中沿著電極的長度改變供電電極350的形狀。在這個實施例中,供電電極350在電極的兩端附近比在中間附近更厚。改變電極的厚度可改變與接地電極310及/或電介質320的一或多個的電子耦合。
第27E圖顯示了一個實施例的頂視圖,其中供電電極350的寬度沿著電極的長度變化。這裡,供電電極的寬度在電極的中間部分最大。出於說明性目的,第一饋電381和第二饋電382以虛線顯示。沿著供電電極的長度的饋電的位置可改變。
第28圖顯示了電漿源組件的實施例,其中有兩個連接埠與供電電極350連接;一個具有微波源饋電,而另一個端接有虛擬及/或電抗負載。第一饋電381和第二饋電382電耦合到供電電極350。微波產生器361電連接到第一饋電381,且第二饋電382電耦合與虛擬負載397電耦合。微波產生器可為固定或可變頻率產生器經由一個埠為電極供電,而另一個埠可「終止」。在一端或兩端處可包括功率控制和任選調諧器。在一些實施例中,調諧器分佈在供電電極的兩端之間,並可提供可變的端對端功率/電漿軸向分佈輪廓控制。在一些實施例中,使用軸向變化的施加器幾何形狀(帶狀線寬度/形狀/位置及/或介電尺寸或介電材料介電常數)包括附加的固定軸向電漿/膜輪廓控制,例如,如第27A至27E圖所示。
虛擬負載397可為匹配的終端負載或電抗性負載(固定或可移動的短路),或虛擬負載和電抗性負載的組合。在一些實施例中,虛擬負載是來自第一微波產生器的匹配終端負載。
第29圖顯示了電漿源組件的另一個實施例,其中存在有電耦合到供電電極的至少一個附加饋電398。可改變至少一個附加饋電398的位置和數量。在一些實施例中,存在有一個、兩個、三個、四個、五個、六個、七個、八個、九個或十個附加饋電,或在1-10個附加饋電的範圍中。附加饋電398的每一者可獨立於任何其他饋電而定位。
微波產生器的數量可隨饋電的數量而變化。例如,所示的實施例具有三個饋電並且可具有三個微波產生器,其向供電電極提供功率。在一些實施例中,存在有少於饋電的微波產生器。例如,第一饋電381可連接到微波產生器,而其他饋電(第二饋電382和附加饋電398)可連接到虛擬負載及/或電抗性負載。在一些實施例中,虛擬負載的至少一個是第一微波產生器的匹配終端負載。至少一個微波產生器連接到饋電。功率控制和任選調諧器可位於每個埠處,或可分佈在埠之間,以提供可變的端到端功率/電漿軸向分佈輪廓。藉由軸向改變施加器幾何形狀(供電電極寬度/形狀/位置及/或介電尺寸或介電材料介電常數),可實現附加(固定)軸向電漿/膜輪廓控制。
本揭露書的第一實施例涉及一種電漿源組件,包含:供電電極,具有第一端和第二端,第一端和第二端界定長度並具有沿著供電電極的長度延伸的細長軸線,供電電極具有厚度和寬度;在供電電極的第一側上的接地電極,接地電極與供電電極隔開一段距離;供電電極的第二側上的電介質,電介質和接地電極封裝供電電極,電介質具有與供電電極相鄰的內表面和與內表面相對的外表面;第一微波產生器,經由第一饋電而電耦合到供電電極的第一端;第二微波產生器,經由第二饋電而電耦合到供電電極的第二端。
在第二實施例中,修改第一實施例,其中接地電極藉由第二電介質與供電電極隔開。
在第三實施例中,修改第一或第二實施例的任一個,其中供電電極是扁平導體。
在第四實施例中,修改第一至第三實施例的任一個,其中供電電極的寬度的一或多個從第一端變化到第二端,從供電電極到接地電極的距離從第一端變化到第二端;或從供電電極到電介質的外表面的距離從第一端變化到第二端。
在第五實施例中,修改第一至第四實施例的任一個,其中供電電極從電介質的內表面移動一段距離以產生氣隙。
在第六實施例中,修改第一至第五實施例的任一個,進一步包含一或多個短管調諧器,位於沿著供電電極的長度的一或多個位置處。
在第七實施例中,修改第一至第六實施例的任一個,其中短管調諧器包含鄰近第一饋電和第二饋電的滑動短路。
在第八實施例中,修改第一至第七實施例的任一個,其中存在有與第一饋電和第二饋電相鄰的短管調諧器。
在第九實施例中,修改第一至第八實施例的任一個,其中供電電極進一步包含在第一端處的第一支腳和在第二端處的第二支腳。
在第十實施例中,修改第一至第九實施例的任一個,其中第一饋電和第二饋電與供電電極同軸,且第一支腳和第二支腳與供電電極的軸線成一角度延伸。
在第十一實施例中,修改第一至第十實施例的任一個,進一步包含一或多個短管調諧器,沿著供電電極的長度定位。
在第十二實施例中,修改第一至第十一實施例的任一個,其中短管調諧器包含定位在第一支腳的一端處的滑動短路和定位在第二支腳的一端處的滑動短路。
在第十三實施例中,修改第一至第十二實施例的任一個,其中短管調諧器位於第一支腳附近,且短管調諧器位於第二支腳附近。
在第十四實施例中,修改第一至第十三實施例的任一個,其中其中第一饋電和第二饋電與供電電極的軸線成一角度延伸,且第一支腳和第二支腳與供電電極同軸。
在第十五實施例中,修改第一至第十四實施例的任一個,進一步包含一或多個短管調諧器,定位於第一支腳的一端處和第二支腳的一端處。
在第十六實施例中,修改第一至第十五實施例的任一個,其中短管調諧器包含鄰近第一支腳定位的滑動短路和鄰近第二支腳定位的滑動短路。
在第十七實施例中,修改第一至第十六實施例的任一個,其中第一微波產生器和第二微波產生器以在約900到約930MHz的範圍中的頻率或在約2.4到約2.5 GHz的範圍中的頻率操作。
在第十八實施例中,修改第一至第十七實施例的任一個,其中第一微波產生器和第二微波產生器以不同的頻率操作。
在第十九實施例中,修改第一至第十八實施例的任一個,進一步包含在接地電極中的氣體入口,該氣體入口與沿著細長軸線延伸的一或多個氣室流體連通,一或多個氣室與一或多個氣體導管流體連通,以提供來自氣體入口的氣流以流過接地電極和電介質到沿著電漿源組件的細長軸線延伸的氣體通道。
在第二十實施例中,修改第一至第十九實施例的任一個,其中供電電極到電介質的外表面的距離在供電電極的長度上變化。
在第二十一實施例中,修改第一至第二十實施例的任一個,其中供電電極到接地電極的距離在供電電極的長度上變化。
在第二十二實施例中,修改第一至第二十一實施例的任一個,其中供電電極的厚度或寬度的一或多個沿著供電電極的長度變化。
在第二十三實施例中,修改第一至第二十二實施例的任一個,進一步包含第三微波產生器,經由第三饋電而電耦合到供電電極,第三饋電沿著在第一饋電和第二饋電之間的供電電極的長度定位。
在第二十四實施例中,修改第一至第二十三實施例的任一個,進一步包含第四微波產生器,經由第四饋電而電耦合到供電電極,第四饋電沿著在第一饋電和第二饋電之間的供電電極的長度定位。
在第二十五實施例中,修改第一至第二十四實施例的任一個,進一步包含第五微波產生器,經由第五饋電而電耦合到供電電極,第五饋電沿著在第一饋電和第二饋電之間的供電電極的長度定位。
第二十六實施例涉及一種氣體分配組件,包含第一實施例至第二十五實施例的任一個的電漿源組件。
第二十七實施例涉及一種電漿源組件,包含:扁平供電電極,具有第一端和第二端並具有沿著電漿源組件的細長軸線延伸的軸線,供電電極具有寬度;在供電電極的第一側上的接地電極,接地電極藉由第二電介質與供電電極間隔開,接地電極包括氣體入口;在供電電極的第二側上的電介質,電介質和第二電介質封裝供電電極以防止在供電電極和接地電極之間的電接觸,電介質具有沿著電漿源組件的細長軸線延伸的氣體通道,氣體入口與沿著細長軸線延伸的一或多個氣室流體連通,一或多個氣室經由一或多個氣體導管與氣體通道流體連通;第一微波產生器,經由第一饋電而電耦合到供電電極的第一端,第一微波產生器以第一頻率操作;及第二微波產生器,經由第二饋電而電耦合到供電電極的第二端,第二微波產生器以第二頻率操作,其中第一頻率和第二頻率在約900MHz至約930MHz的範圍內或在約2.4到約2.5GHz的範圍中,且第一頻率和第二頻率是不同的。
第二十八實施例涉及一種提供電漿的方法,方法包含以下步驟:從第一微波產生器向供電電極的第一端提供第一微波功率,並從第二微波產生器向供電電極的第二端提供第二微波功率,第一微波功率和第二微波功率以在約900MHz至約930MHz範圍中或在約2.4至約2.5GHz範圍中操作,供電電極封裝在電介質中,其中接地電極在供電電極的第一側上,其中在不同於第一側的供電電極的第二側上鄰近電介質形成電漿,電漿源組件包含:供電電極,具有第一端和第二端,第一端和第二端界定長度並具有沿著供電電極的長度延伸的軸線,供電電極具有寬度;在供電電極的第一側上的接地電極,接地電極與供電電極隔開一段距離;在供電電極的第二側上的電介質,電介質和接地電極封裝供電電極,電介質具有與供電電極相鄰的內表面和與內表面相對的外表面;及電耦合到供電電極的第一饋電和電耦合到供電電極的第二饋電,第一饋電與第一微波產生器電耦合,第二饋電與虛擬負載電耦合。
在第二十九實施例中,修改第二十八實施例,其中虛擬負載是第一微波產生器的匹配終端負載。
在第三十實施例中,修正第二十八至第二十九實施例的任一個,進一步包含至少一個附加饋電,電耦合到供電電極。
在第三十一實施例中,修改第二十八到第三十實施例的任一個,其中在沿著供電電極的長度的點處存在有電耦合到供電電極的在1到10個的範圍中的附加饋電。
在第三十二實施例中,修改第二十八至第三十一實施例的任一個,進一步包含至少一個附加微波產生器,電耦合到附加饋電的至少一個。
在第三十三實施例中,修改第二十八至第三十二實施例的任一個,其中第一微波產生器電耦合到第一饋電,且虛擬負載電耦合到其他饋電。
在第三十四實施例中,修改第二十八至第三十二實施例的任一個,其中虛擬負載的至少一個是第一微波產生器的匹配終端負載。
根據一或多個實施例,在形成層之前及/或之後對基板進行處理。這種處理可在相同腔室中或在一或多個分離的處理腔室中進行。在一些實施例中,基板從第一腔室移動到分離的第二腔室以進行進一步處理。基板可直接從第一腔室移動到分離的處理腔室,或基板可從第一腔室移動到一或多個傳送腔室,並接著移動到分離的處理腔室。因此,處理設備可包含與傳送站連通的多個腔室。這種設備可稱為「群集工具」或「群集系統」及類似者。
通常,群集工具是包含多個腔室的模組化系統,腔室執行各種功能,包括基板中心尋找和定向、脫氣、退火、沉積及/或蝕刻。根據一或多個實施例,群集工具包括至少第一腔室和中央傳送腔室。中央傳送腔室可容納機器人,機器人可在處理腔室和負載鎖定腔室之間(between)和之間(among)穿梭基板。傳送腔室通常保持在真空狀態,並提供用於將基板從一個腔室穿梭到另一個腔室及/或到位於群集工具的前端的負載鎖定腔室的中間階段。然而,為了執行於此所述的處理的特定步驟之目的,可改變腔室的精確佈置和組合。可使用的其他處理腔室包括(但不限於)循環層沉積(CLD)、原子層沉積(ALD)、化學氣相沉積(CVD)、物理氣相沉積(PVD)、蝕刻、預清潔、化學清潔、熱處理(諸如RTP)、電漿氮化、脫氣、定向、羥基化和其他基板處理。藉由在群集工具上的腔室中進行處理,可在沉積後續膜之前避免基板與大氣雜質的表面污染而不進行氧化。
根據一或多個實施例,基板連續處於真空或「負載鎖定」條件下,且當從一個腔室移動到下一個腔室時不曝露於環境空氣。傳送腔室因此處於真空狀態,並在真空壓力下「抽空」。惰性氣體可存在於處理腔室或傳送腔室中。在一些實施例中,在基板的表面上形成層之後,使用惰性氣體作為吹掃氣體,以移除反應物的一些或全部。根據一或多個實施例,在沉積腔室的出口處注射吹掃氣體,以防止反應物從沉積腔室移動到傳送腔室及/或附加處理腔室。因此,惰性氣體流在腔室的出口處形成簾幕。
在處理期間,可加熱或冷卻基板。這種加熱或冷卻可藉由修改任何合適的參數來完成,包括(但不限於)改變基板支撐件(如,基座)的溫度和使加熱或冷卻氣體流到基板表面。在一些實施例中,基板支撐件包括加熱器/冷卻器,其可被控制以傳導的方式改變基板溫度。在一或多個實施例中,採用的氣體(反應性氣體或惰性氣體)被加熱或冷卻,以局部改變基板溫度。在一些實施例中,加熱器/冷卻器定位在鄰近基板表面的腔室內,以對流的方式改變基板溫度。
在處理期間,基板也可為靜止的或旋轉的。旋轉基板可連續旋轉或以不連續的步驟旋轉。例如,基板可在整個處理中旋轉,或基板可在曝露於不同的反應氣體或吹掃氣體之間少量旋轉。在處理期間(連續地或分步地)旋轉基板可藉由最小化(例如)氣流幾何形狀中的局部可變性的影響來幫助產生更均勻的沉積或蝕刻。
雖然前述內容涉及本揭露書的實施例,但是可在不背離本揭露書的基本範圍的情況下設計本揭露書的其他和進一步的實施例,且本揭露書的範圍由以下的申請專利範圍確定。
11‧‧‧區域
12‧‧‧區域
13‧‧‧區域
17‧‧‧旋轉
60‧‧‧基板
61‧‧‧頂表面
84‧‧‧區域
100‧‧‧處理腔室
120‧‧‧氣體分配組件
121‧‧‧前表​​面
122‧‧‧注射器單元
123‧‧‧內周邊緣
124‧‧‧外周邊緣
125‧‧‧氣體埠
126‧‧‧頂表面
127‧‧‧路徑
128‧‧‧凸緣
135‧‧‧氣體埠
140‧‧‧基座組件/基座
141‧‧‧頂表面
142‧‧‧凹槽
143‧‧‧底表面
144‧‧‧邊緣
145‧‧‧真空埠/氣體埠
150‧‧‧氣幕
155‧‧‧吹掃氣體埠/真空埠
160‧‧‧支撐柱
162‧‧‧微調致動器
170‧‧‧間隙
180‧‧‧腔室
250‧‧‧處理區域
250a-h‧‧‧處理區域
280‧‧‧工廠介面
300‧‧‧電漿源/電漿源組件/組件
301‧‧‧內周邊緣
302‧‧‧外周邊緣
303‧‧‧細長軸線
304‧‧‧第一邊緣
305‧‧‧第二邊緣
307‧‧‧殼體
310‧‧‧接地電極
310a‧‧‧接地電極
311‧‧‧下部
312‧‧‧上部
313‧‧‧O形環
314‧‧‧開口
315‧‧‧開口
317‧‧‧螺栓
319‧‧‧凹槽
320‧‧‧電介質
321‧‧‧下部
322‧‧‧上部
323‧‧‧O形環
324‧‧‧前表面
325‧‧‧第二電介質
327‧‧‧外表面
330‧‧‧氣密密封路徑
350‧‧‧供電電極/電極
352‧‧‧電場線
352a‧‧‧電場線
353‧‧‧電漿
354‧‧‧電介質材料
355‧‧‧第一端/端
357‧‧‧第二端/端
361‧‧‧微波產生器
362‧‧‧微波產生器
370‧‧‧通道
381‧‧‧第一饋電
382‧‧‧第二饋電
383‧‧‧同軸饋電線
384‧‧‧絕緣體
385‧‧‧外導體
391‧‧‧支腳
392‧‧‧支腳
397‧‧‧虛擬負載
398‧‧‧附加饋電
405‧‧‧氣體流動路徑
410‧‧‧氣體入口
420‧‧‧氣體容積
421‧‧‧凸緣
422 423‧‧‧底部
424‧‧‧孔
426‧‧‧管
428‧‧‧氣室
430‧‧‧導管
440‧‧‧氣體入口板/氣體插入板
441‧‧‧中心翼梁
442‧‧‧端部翼梁
461‧‧‧滑動短路
462‧‧‧滑動短路
463‧‧‧可動短路
464‧‧‧可動短路
471‧‧‧同軸滑動短路型調諧器
472‧‧‧同軸滑動短路型調諧器
481‧‧‧短管調諧器
482‧‧‧短管調諧器
因此,可詳細地理解本揭露書的實施例的上述特徵的方式,可藉由參考實施例而獲得對以上簡要概述的本揭露書的實施例的更具體的描述,其中一些實施例是在附隨的圖式中顯示。然而,應注意附隨的圖式僅顯示了這份揭露書的典型實施例,且因此不應認為是對其範圍的限制,因為本揭露書可允許其他同等有效的實施例。
第1圖顯示了根據本揭露書的一或多個實施例的基板處理系統的示意性剖面圖;
第2圖顯示了根據本揭露書的一或多個實施例的基板處理系統的透視圖;
第3圖顯示了根據本揭露書的一或多個實施例的基板處理系統的示意圖;
第4圖顯示了根據本揭露書的一或多個實施例的氣體分配組件的前部的示意圖;
第5圖顯示了根據本發明的一或多個實施例的處理腔室的示意圖;
第6A至6C圖顯示了在帶狀線供電電極電漿源中的電子耦合的示意圖;
第6D和6E圖顯示了作為在供電電極和接地電極之間的分離的函數的在帶狀線供電電極電漿源中的耦合中的電子耦合的示意圖。
第6F和6G圖顯示了作為供電電極剖面寬度的函數的在帶狀線供電電極電漿源中的電子耦合的示意圖;
第7圖顯示了根據本揭露書的一或多個實施例的電漿源組件的剖面示意圖;
第8圖顯示了根據本揭露書的一或多個實施例的電漿源組件的等距視圖;
第9圖顯示了根據本揭露書的一或多個實施例的電漿源組件的示意性剖面圖;
第10圖顯示了第8圖沿著線10-10'的電漿源組件的剖面圖;
第10A圖顯示了根據本揭露書的一或多個實施例的電漿源組件的底部示意圖;
第11圖顯示了第10圖的區域11的細節圖;
第12圖顯示了第10圖的區域12的細節圖;
第13圖顯示了第10圖的區域13的細節圖;
第14圖顯示了根據本揭露書的一或多個實施例的電漿源組件的進氣板和凹槽的局部透視圖;
第15圖顯示了第8圖沿著線15-15'的電漿源組件的剖面圖;
第16圖顯示了第8圖沿著線16-16'的電漿源組件的剖面圖;
第16A圖顯示了根據一或多個實施例的第8圖沿著線16-16'擷取的電漿源組件的剖面圖;
第17圖顯示了第8圖沿著線17-17'的電漿源組件的剖面圖;
第18圖顯示了根據本揭露書的一或多個實施例的通過電漿源組件的氣體流動路徑的示意圖;
第19圖顯示了根據本揭露書的一或多個實施例的電漿源組件的剖面示意圖;
第20圖顯示了根據本揭露書的一或多個實施例的電漿源組件的剖面示意圖;
第21圖顯示了根據本揭露書的一或多個實施例的電漿源組件的剖面示意圖;
第22A圖顯示了根據本揭露書的一或多個實施例的電漿源組件的剖面示意圖;
第22B圖顯示了根據本揭露書的一或多個實施例的第22A圖的電漿源組件帶有朝向供電電極的長度的中心移動的饋電的剖面示意圖;
第23A圖顯示了根據本揭露書的一或多個實施例的電漿源組件的剖面示意圖;
第23B圖顯示了根據本揭露書的一或多個實施例的第23A圖的電漿源組件帶有朝向供電電極的長度的中心移動的饋電的剖面示意圖;
第24圖顯示了根據本揭露書的一或多個實施例的電漿源組件的剖面圖;
第25A圖顯示了作為從一端供電的供電電極的軸線位置的函數的功率的曲線圖;
第25B圖顯示了作為從兩端供電的供電電極的軸線位置的函數的功率的曲線圖;
第26A至26C圖顯示了作為施加到供電電極兩端的功率的函數而產生的電漿的示意圖;
第27A至27D圖顯示了根據本揭露書的一或多個實施例的具有不同電漿耦合的電漿源組件的示意性剖面側視圖;
第27E圖顯示了根據本揭露書的一或多個實施例的具有可變寬度供電電極的電漿源組件的示意性前視圖;
第28圖顯示了根據本揭露書的一或多個實施例的電漿源組件的示意性剖面圖,其中一個饋電器與虛擬負載電連接;及
第29圖顯示了根據本揭露書的一或多個實施例的具有多於兩個饋電的電漿源組件的示意性剖面圖。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無

Claims (20)

  1. 一種電漿源組件,包含: 一供電電極,具有一第一端和一第二端,該第一端和該第二端界定一長度並具有沿著該供電電極的該長度延伸的一細長軸線,該供電電極具有一厚度和寬度;一接地電極,在該供電電極的一第一側上,該接地電極與該供電電極隔開一段距離;一電介質,在該供電電極的一第二側上,該電介質和該接地電極封裝該供電電極,該電介質具有與該供電電極相鄰的一內表面和與該內表面相對的一外表面;一第一微波產生器,經由一第一饋電而電耦合到該供電電極的該第一端;及一第二微波產生器,經由一第二饋電而電耦合到該供電電極的該第二端。
  2. 如請求項1所述之電漿源組件,其中該接地電極藉由一第二電介質與該供電電極隔開。
  3. 如請求項1所述之電漿源組件,其中該供電電極是一扁平導體。
  4. 如請求項1所述之電漿源組件,其中該供電電極的該寬度的一或多個從該第一端變化到該第二端,從該供電電極到該接地電極的該距離從該第一端變化到該第二端;或從供電電極到該電介質的該外表面的一距離從第一端變化到該第二端。
  5. 如請求項4所述之電漿源組件,其中該供電電極從該電介質的該內表面移動一段距離以產生一氣隙。
  6. 如請求項1所述之電漿源組件,其中該供電電極進一步包含在該第一端處的一第一支腳和在該第二端處的一第二支腳。
  7. 如請求項6所述之電漿源組件,其中該第一饋電和該第二饋電與該供電電極的該軸線成一角度延伸,且該第一支腳和該第二支腳與該供電電極同軸。
  8. 如請求項7所述之電漿源組件,進一步包含一或多個短管調諧器,定位於該第一支腳的一端處和該第二支腳的一端處。
  9. 如請求項8所述之電漿源組件,其中該短管調諧器包含鄰近該第一支腳定位的一滑動短路和鄰近該第二支腳定位的一滑動短路。
  10. 如請求項1所述之電漿源組件,其中該第一微波產生器和該第二微波產生器以在約900到約930MHz的範圍中的一頻率或在約2.4到約2.5 GHz的範圍中的一頻率操作。
  11. 如請求項10所述之電漿源組件,其中該第一微波產生器和該第二微波產生器以不同的頻率操作。
  12. 如請求項1所述之電漿源組件,其中該供電電極到該電介質的該外表面的該距離在該供電電極的該長度上變化。
  13. 如請求項1所述之電漿源組件,其中該供電電極到該接地電極的該距離在該供電電極的該長度上變化。
  14. 如請求項1所述之電漿源組件,其中該供電電極的該厚度或該寬度的一或多個沿著該供電電極的該長度變化。
  15. 一種氣體分配組件,包含請求項1所述之該電漿源組件。
  16. 一種提供一電漿的方法,該方法包含以下步驟: 從一第一微波產生器向一供電電極的一第一端提供第一微波功率,並從一第二微波產生器向該供電電極的一第二端提供第二微波功率,該第一微波功率和該第二微波功率以在約900MHz至約930MHz範圍中或在約2.4至約2.5GHz範圍中操作,該供電電極封裝在一電介質中,其中一接地電極在該供電電極的一第一側上,其中在不同於該第一側的該供電電極的一第二側上鄰近該電介質形一成電漿。一電漿源組件包含:一供電電極,具有一第一端和一第二端,該第一端和該第二端界定一長度並具有沿著該供電電極的該長度延伸的一軸線,該供電電極具有一寬度;一接地電極,在該供電電極的一第一側上,該接地電極與該供電電極隔開一段距離;一電介質,在該供電電極的一第二側上,該電介質和該接地電極封裝該供電電極,該電介質具有與該供電電極相鄰的一內表面和與該內表面相對的一外表面;及電耦合到該供電電極的一第一饋電和電耦合到該供電電極的一第二饋電,該第一饋電與一第一微波產生器電耦合,該第二饋電與一虛擬負載電耦合。
  17. 如請求項16所述之方法,其中該虛擬負載是該第一微波產生器的一匹配終端負載。
  18. 如請求項16所述之方法,進一步包含至少一個附加饋電,電耦合到該供電電極。
  19. 如請求項18所述之方法,其中在沿著該供電電極的該長度的多個點處存在有電耦合到該供電電極的在1到10個的範圍中的附加饋電。
  20. 如請求項18所述之方法,進一步包含至少一個附加微波產生器,電耦合到該附加饋電的至少一個。
TW108106775A 2018-03-01 2019-02-27 電漿源組件和提供電漿的方法 TWI758589B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201862637353P 2018-03-01 2018-03-01
US62/637,353 2018-03-01

Publications (2)

Publication Number Publication Date
TW201944453A true TW201944453A (zh) 2019-11-16
TWI758589B TWI758589B (zh) 2022-03-21

Family

ID=67805942

Family Applications (2)

Application Number Title Priority Date Filing Date
TW111105345A TWI826925B (zh) 2018-03-01 2019-02-27 電漿源組件和氣體分配組件
TW108106775A TWI758589B (zh) 2018-03-01 2019-02-27 電漿源組件和提供電漿的方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW111105345A TWI826925B (zh) 2018-03-01 2019-02-27 電漿源組件和氣體分配組件

Country Status (6)

Country Link
US (1) US11823871B2 (zh)
JP (2) JP7089043B2 (zh)
KR (2) KR102609166B1 (zh)
CN (1) CN111819657B (zh)
TW (2) TWI826925B (zh)
WO (1) WO2019169253A1 (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20210327686A1 (en) * 2018-03-01 2021-10-21 Applied Materials, Inc. Microwave Plasma Source For Spatial Plasma Enhanced Atomic Layer Deposition (PE-ALD) Processing Tool
TWI826925B (zh) * 2018-03-01 2023-12-21 美商應用材料股份有限公司 電漿源組件和氣體分配組件
TW202247711A (zh) * 2021-04-29 2022-12-01 美商應用材料股份有限公司 用於空間電漿增強原子層沉積(pe-ald)處理工具的微波電漿源

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2625072B2 (ja) * 1992-09-08 1997-06-25 アプライド マテリアルズ インコーポレイテッド 電磁rf結合を用いたプラズマ反応装置及びその方法
JPH0878190A (ja) * 1994-09-01 1996-03-22 Kokusai Electric Co Ltd マイクロ波放電装置及び放電方法
DE19503205C1 (de) 1995-02-02 1996-07-11 Muegge Electronic Gmbh Vorrichtung zur Erzeugung von Plasma
JPH10134996A (ja) * 1996-10-31 1998-05-22 Nec Corp プラズマ処理装置
DE19801366B4 (de) 1998-01-16 2008-07-03 Applied Materials Gmbh & Co. Kg Vorrichtung zur Erzeugung von Plasma
US6900596B2 (en) * 2002-07-09 2005-05-31 Applied Materials, Inc. Capacitively coupled plasma reactor with uniform radial distribution of plasma
JP4631046B2 (ja) * 2004-10-01 2011-02-16 国立大学法人 東京大学 マイクロ波励起プラズマ装置及びシステム
JP4862375B2 (ja) 2005-12-06 2012-01-25 株式会社エーイーティー 進行波形マイクロ波プラズマ発生装置
US20080277064A1 (en) * 2006-12-08 2008-11-13 Tes Co., Ltd. Plasma processing apparatus
JP2008235611A (ja) 2007-03-21 2008-10-02 Tohoku Univ プラズマ処理装置及びプラズマ処理方法
US20090238998A1 (en) 2008-03-18 2009-09-24 Applied Materials, Inc. Coaxial microwave assisted deposition and etch systems
EP2122657B8 (en) 2008-03-20 2011-06-22 Ruhr-Universität Bochum Method for controlling ion energy in radio frequency plasmas
US8697197B2 (en) 2009-07-08 2014-04-15 Plasmasi, Inc. Methods for plasma processing
WO2011059750A2 (en) 2009-10-28 2011-05-19 Applied Materials, Inc. Chamber for pecvd
TW201206254A (en) * 2010-01-12 2012-02-01 Applied Materials Inc Phase-modulated RF power for plasma chamber electrode
TWI544107B (zh) 2010-04-30 2016-08-01 應用材料股份有限公司 用於處理基板的設備及方法
JP2012089334A (ja) 2010-10-19 2012-05-10 Tokyo Electron Ltd マイクロ波プラズマ源およびプラズマ処理装置
CN103250470A (zh) 2010-12-09 2013-08-14 韩国科学技术院 等离子体发生器
CN103270578B (zh) 2010-12-30 2016-10-26 应用材料公司 使用微波等离子体的薄膜沉积
KR101180373B1 (ko) 2011-03-30 2012-09-10 주성엔지니어링(주) 플라즈마 발생 장치 및 기판 처리 장치
WO2013112303A1 (en) * 2012-01-27 2013-08-01 Applied Materials, Inc. Isolation of microwave sources through bellows
FR2995493B1 (fr) * 2012-09-11 2014-08-22 Hydromecanique & Frottement Dispositif pour generer un plasma presentant une etendue importante le long d'un axe par resonnance cyclotronique electronique rce a partir d'un milieu gazeux
JP6120527B2 (ja) * 2012-11-05 2017-04-26 東京エレクトロン株式会社 プラズマ処理方法
CN107180738B (zh) * 2013-03-15 2019-08-27 应用材料公司 用于旋转压板式ald腔室的等离子体源
WO2014204598A1 (en) * 2013-06-17 2014-12-24 Applied Materials, Inc. Enhanced plasma source for a plasma reactor
TWI717610B (zh) * 2013-08-16 2021-02-01 美商應用材料股份有限公司 用於高溫低壓環境中的延長的電容性耦合的電漿源
JP2015050433A (ja) * 2013-09-04 2015-03-16 東京エレクトロン株式会社 プラズマ処理方法
US9336997B2 (en) * 2014-03-17 2016-05-10 Applied Materials, Inc. RF multi-feed structure to improve plasma uniformity
US20150380221A1 (en) * 2014-06-30 2015-12-31 Applied Materials, Inc. Hole Pattern For Uniform Illumination Of Workpiece Below A Capacitively Coupled Plasma Source
KR102293196B1 (ko) * 2014-07-31 2021-08-25 주식회사티티엘 기판처리장치
KR20160049628A (ko) * 2014-10-28 2016-05-10 최도현 듀얼 플라즈마 발생기, 플라즈마 처리 시스템 및 방법
EP3309815B1 (de) * 2016-10-12 2019-03-20 Meyer Burger (Germany) AG Plasmabehandlungsvorrichtung mit zwei, miteinander gekoppelten mikrowellenplasmaquellen sowie verfahren zum betreiben einer solchen plasmabehandlungsvorrichtung
TWI788390B (zh) 2017-08-10 2023-01-01 美商應用材料股份有限公司 用於電漿處理的分佈式電極陣列
TWI826925B (zh) 2018-03-01 2023-12-21 美商應用材料股份有限公司 電漿源組件和氣體分配組件
WO2019199648A1 (en) 2018-04-10 2019-10-17 Applied Materials, Inc. Microwave plasma source with split window

Also Published As

Publication number Publication date
WO2019169253A1 (en) 2019-09-06
CN111819657B (zh) 2023-11-14
JP2022153353A (ja) 2022-10-12
JP7345600B2 (ja) 2023-09-15
JP7089043B2 (ja) 2022-06-21
JP2021515361A (ja) 2021-06-17
CN111819657A (zh) 2020-10-23
US11823871B2 (en) 2023-11-21
US20210050187A1 (en) 2021-02-18
TWI758589B (zh) 2022-03-21
TWI826925B (zh) 2023-12-21
KR20230020000A (ko) 2023-02-09
KR102493244B1 (ko) 2023-01-30
TW202223973A (zh) 2022-06-16
KR102609166B1 (ko) 2023-12-05
KR20200116542A (ko) 2020-10-12

Similar Documents

Publication Publication Date Title
JP7345600B2 (ja) 空間プラズマ原子層堆積(pe-ald)処理ツール用のマイクロ波プラズマ源
KR102124463B1 (ko) 플라즈마 균일성을 개선하기 위한 rf 다중-피드 구조
TWI677009B (zh) 雙端饋電可調諧電漿源
KR102456063B1 (ko) 수직 플라즈마 소스로부터의 개선된 플라즈마 노출을 위한 성형된 전극들
US20180308663A1 (en) Plasma reactor with phase shift applied across electrode array
JP2020520532A (ja) 回転式サセプタ向けのプラズマ源
JP2018534723A (ja) スロット付きグランドプレートを有するプラズマモジュール
KR20200089342A (ko) 저주파수 바이어스를 활용한 유전체 막들의 기하학적 선택적 증착
US11355321B2 (en) Plasma reactor with electrode assembly for moving substrate
KR102501096B1 (ko) 플라즈마 반응기의 전극들에의 전력 인가
US20180308664A1 (en) Plasma reactor with filaments and rf power applied at multiple frequencies
US20190311886A1 (en) Microwave Plasma Source With Split Window
US20210327686A1 (en) Microwave Plasma Source For Spatial Plasma Enhanced Atomic Layer Deposition (PE-ALD) Processing Tool
US20180308667A1 (en) Plasma reactor with groups of electrodes
WO2022232502A1 (en) Microwave plasma source for spatial plasma enhanced atomic layer deposition (pe-ald) processing tool
KR20210008564A (ko) 쌍을 이룬 동적 평행판 용량성 결합된 플라즈마들