TW201940841A - 用於組合之x 射線反射量測術與光電子光譜術之系統及方法 - Google Patents

用於組合之x 射線反射量測術與光電子光譜術之系統及方法 Download PDF

Info

Publication number
TW201940841A
TW201940841A TW108100135A TW108100135A TW201940841A TW 201940841 A TW201940841 A TW 201940841A TW 108100135 A TW108100135 A TW 108100135A TW 108100135 A TW108100135 A TW 108100135A TW 201940841 A TW201940841 A TW 201940841A
Authority
TW
Taiwan
Prior art keywords
ray
measurement
xps
xrr
sample
Prior art date
Application number
TW108100135A
Other languages
English (en)
Other versions
TWI806955B (zh
Inventor
安德烈 V 舒傑葛洛夫
亞歷山大 庫茲尼斯夫
歐雷格 可哈達金
Original Assignee
美商克萊譚克公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商克萊譚克公司 filed Critical 美商克萊譚克公司
Publication of TW201940841A publication Critical patent/TW201940841A/zh
Application granted granted Critical
Publication of TWI806955B publication Critical patent/TWI806955B/zh

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/20008Constructional details of analysers, e.g. characterised by X-ray source, detector or optical system; Accessories therefor; Preparing specimens therefor
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/22Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material
    • G01N23/227Measuring photoelectric effect, e.g. photoelectron emission microscopy [PEEM]
    • G01N23/2273Measuring photoelectron spectrum, e.g. electron spectroscopy for chemical analysis [ESCA] or X-ray photoelectron spectroscopy [XPS]
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/22Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material
    • G01N23/223Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material by irradiating the sample with X-rays or gamma-rays and by measuring X-ray fluorescence
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B15/00Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons
    • G01B15/02Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons for measuring thickness
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B15/00Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons
    • G01B15/08Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons for measuring roughness or irregularity of surfaces
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01JMEASUREMENT OF INTENSITY, VELOCITY, SPECTRAL CONTENT, POLARISATION, PHASE OR PULSE CHARACTERISTICS OF INFRARED, VISIBLE OR ULTRAVIOLET LIGHT; COLORIMETRY; RADIATION PYROMETRY
    • G01J3/00Spectrometry; Spectrophotometry; Monochromators; Measuring colours
    • G01J3/46Measurement of colour; Colour measuring devices, e.g. colorimeters
    • G01J3/50Measurement of colour; Colour measuring devices, e.g. colorimeters using electric radiation detectors
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/25Colour; Spectral properties, i.e. comparison of effect of material on the light at two or more different wavelengths or wavelength bands
    • G01N21/27Colour; Spectral properties, i.e. comparison of effect of material on the light at two or more different wavelengths or wavelength bands using photo-electric detection ; circuits for computing concentration
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/02Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by transmitting the radiation through the material
    • G01N23/06Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by transmitting the radiation through the material and measuring the absorption
    • G01N23/083Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by transmitting the radiation through the material and measuring the absorption the radiation being X-rays
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/207Diffractometry using detectors, e.g. using a probe in a central position and one or more displaceable detectors in circumferential positions
    • G01N23/2076Diffractometry using detectors, e.g. using a probe in a central position and one or more displaceable detectors in circumferential positions for spectrometry, i.e. using an analysing crystal, e.g. for measuring X-ray fluorescence spectrum of a sample with wavelength-dispersion, i.e. WDXFS
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B27/00Optical systems or apparatus not provided for by any of the groups G02B1/00 - G02B26/00, G02B30/00
    • G02B27/09Beam shaping, e.g. changing the cross-sectional area, not otherwise provided for
    • G02B27/0938Using specific optical elements
    • G02B27/0977Reflective elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G1/00X-ray apparatus involving X-ray tubes; Circuits therefor
    • H05G1/08Electrical details
    • H05G1/26Measuring, controlling or protecting
    • H05G1/30Controlling
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2210/00Aspects not specifically covered by any group under G01B, e.g. of wheel alignment, caliper-like sensors
    • G01B2210/56Measuring geometric parameters of semiconductor structures, e.g. profile, critical dimensions or trench depth

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • General Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Engineering & Computer Science (AREA)
  • Electromagnetism (AREA)
  • Toxicology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Optics & Photonics (AREA)
  • Dispersion Chemistry (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)

Abstract

本文中呈現用於基於組合x射線反射量測術(XRR)及x射線光電子光譜術(XPS)量測半導體結構之結構及材料特性之方法及系統。一組合XRR及XPS系統包含由XRR量測子系統及XPS量測子系統兩者共用之一x射線照明源及x射線照明光學器件。此藉由同時收集來自晶圓之相同區域之XRR量測資料及XPS量測資料而增加處理量及量測精確性。一組合XRR及XPS系統藉由採用XRR量測資料以改良由該XPS子系統執行之量測而改良量測精確性且反之亦然。另外,一組合XRR及XPS系統實現XRR量測資料及XPS量測資料兩者之同時分析以更精確地估計一或多個所關注參數之值。在一進一步態樣中,獨立地控制量測點大小、光子通量、射束形狀、射束直徑及照明能量之任一者。

Description

用於組合之X射線反射量測術與光電子光譜術之系統及方法
所描述實施例係關於x射線計量系統及方法,且更特定言之係關於用於改良量測精確性之方法及系統。
通常藉由應用至一樣品之一系列處理步驟製造半導體裝置(諸如邏輯及記憶體裝置)。藉由此等處理步驟形成半導體裝置之各種特徵及多個結構層級。例如,微影術尤其係涉及在一半導體晶圓上產生一圖案之一個半導體製程。半導體製程之額外實例包含(但不限於)化學機械拋光、蝕刻、沈積及離子植入。多個半導體裝置可製造在一單一半導體晶圓上且接著分離成個別半導體裝置。
在一半導體製程期間之各個步驟使用計量程序來偵測晶圓上之缺陷以促進更高良率。通常使用數種基於計量之技術(包含散射量測術與反射量測術實施方案以及相關聯之分析演算法)來特性化奈米級結構之臨界尺寸、膜厚度、組合物及其他參數。
傳統上,對由薄膜及/或重複週期性結構組成之目標執行光學散射量測術臨界尺寸量測。在裝置製造期間,此等膜及週期性結構通常表示實際裝置幾何形狀及材料結構或一中間設計。隨著裝置(例如,邏輯及記憶體裝置)邁向更小奈米級尺寸,特性化變得更加困難。併入複雜三維幾何形狀及具有多種物理性質之材料之裝置造成特性化困難。
關於奈米結構之材料組合物及形狀之精確資訊在一前沿、前端半導體製造設施之程序開發環境中係有限的。基於光學散射量測術之計量系統依靠精確幾何及分散模型以避免量測偏差。憑藉先驗可得之奈米結構之材料組合物及形狀之有限知識,量測配方開發及驗證係一緩慢且乏味之程序。例如,使用橫截面透射電子顯微鏡(TEM)影像來引導光學散射量測術模型開發,但TEM成像係緩慢且破壞性的。
利用紅外線至可見光之散射量測光學計量工具量測來自亞波長結構之零階繞射信號。隨著裝置臨界尺寸不斷縮小,散射量測光學計量敏感度及能力減小。此外,當受量測結構中存在吸收材料時,照明光在光學區域中之穿透及散射(例如,0.5 ev至10 ev)限制習知光學計量系統之實用性。
類似地,基於電子束之計量系統歸因於照明、反向散射及二次發射電子之吸收及散射而難以穿透半導體結構。
原子力顯微鏡(AFM)及掃描穿隧顯微鏡(STM)能夠達成原子解析度,但其等僅可探測樣品之表面。另外,AFM及STM顯微鏡需要長掃描時間,此使此等技術在一大批量製造(HVM)設定中係不切實際的。
掃描電子顯微鏡(SEM)達成中間解析度位準,但無法穿透結構至足夠深度。因此,未良好地特性化高高寬比孔。另外,樣品所需之充電對成像效能具有一不利影響。
採用一硬X射線能階(>15 keV)之光子之透射小角度x射線散射量測術(T-SAXS)系統已展示出有希望解決挑戰性量測應用。然而,硬x射線從淺層結構(例如,邏輯計量應用)之散射係弱的,此嚴重限制可達成之量測解析度及處理量。因而,T-SAXS尚未展示為一HVM環境中之邏輯計量應用之一可行選項。
x射線反射計(XRR)量測系統提供一機會以藉由利用x射線照明而非光學照明來減小基於光學散射量測術之計量系統之一些限制。通常採用XRR量測系統來估計所關注幾何參數。然而,XRR系統依靠精確材料資訊以避免量測偏差。憑藉先驗可得之奈米結構之材料組合物之有限知識,量測精確性係有限的。
通常採用x射線光電子光譜術(XPS)系統來量測材料之化學性質(諸如化學組合物)。然而,包括薄膜或光柵結構之材料之化學性質之XPS量測與材料幾何形狀關聯。由於未先驗地精確知道材料幾何形狀,所以所得化學組合物量測經受較差精確性。
總之,需要具有針對低高寬比結構之量測能力及與劃線道目標相容之一照明束點大小之一尺寸計量系統。在一個實例中,需要開發及驗證計量系統之量測配方且在不具有大量先前尺寸及材料組合物資訊的情況下在一大批量製造(HVM)環境中操作計量系統。
呈現用於用一組合x射線反射量測術(XRR)及x射線光電子光譜術(XPS)量測系統量測半導體結構之結構及材料特性之方法及系統。
在一個態樣中,一組合XRR及XPS系統包含由該XRR量測子系統及該XPS量測子系統兩者共用之一x射線照明源及x射線照明光學器件。一組合XRR及XPS系統藉由照明晶圓之相同區域且同時收集XRR量測資料及XPS量測資料而增加處理量及量測精確性。
一般言之,一組合XRR及XPS系統藉由採用XRR量測資料來改良由該XPS子系統執行之量測且反之亦然而改良量測精確性。在一些實施例中,一組合XRR及XPS系統同時照明相同目標。另外,一組合XRR及XPS系統實現XRR量測資料及XPS量測資料兩者之同時分析以更精確地估計一或多個所關注參數之值。與由單獨XRR系統及XPS系統對一計量目標進行單獨量測相比,由一組合XRR及XPS系統對該計量目標進行同時XRR及XPS量測增加量測處理量。此外,由一組合XRR及XPS系統對一計量目標進行同時XRR及XPS量測避免在由單獨XRR系統及XPS系統執行該計量目標之單獨量測時發生之目標定位誤差。
在一些實施例中,該XRR量測子系統經組態為在半導體材料之臨界反射角附近操作之一掠入射小角度x射線散射量測術(GI-SAXS)系統。在一些其他實施例中,該XRR量測子系統經組態為一反射小角度x射線散射計(RSAXS)。在一些實施例中,用軟x射線(SXR)區域(即,80 eV至3000 eV)中之x射線輻射依5度至20度範圍中之掠入射角執行RSAXS量測。此等相對大掠射角實現至受量測結構中之較深穿透及較小量測點大小(例如,小於50微米)。在一些實施例中,用一小射束點大小在一波長、入射角及方位角範圍內執行一半導體晶圓之RSAXS量測。
在一個態樣中,該x射線照明源之源區域之特徵在於小於20微米之一橫向尺寸。在一些實施例中,該源區域之特徵在於10微米或更小之一橫向尺寸。小源大小實現用高亮度照明樣品上之一小目標區域,因此改良量測精度、精確性及處理量。
在一進一步態樣中,該x射線照明源可在一寬x射線光子能量範圍內調諧以控制XRR量測之x射線穿透深度且控制XPS量測之光電子體積產生。
在一進一步態樣中,一或多個x射線光學元件定位於照明路徑中以針對各量測獨立地控制量測點大小、光子通量、射束形狀、射束直徑、照明能量或其等之任何組合。類似地,在一些實施例中,一或多個x射線光學元件定位於收集路徑中以針對各量測獨立地控制量測點大小、光子通量、射束形狀、射束直徑、所收集光子能量或其等之任何組合。在一些實施例中,一或多個射束阻擋元件定位於該收集路徑中以阻擋一或多個繞射階。在一些實施例中,一電子阻擋元件定位於該收集路徑中以防止電子到達x射線偵測器。
在另一進一步態樣中,該組合XRR及XPS計量系統之該XPS子系統維持在一低真空環境(例如,小於100毫巴)中而非一高真空環境。
在又另一態樣中,藉由識別在數學上循序或平行使用從XRR及XPS量測導出之資料集解析之共用模型參數而改良用XRR技術及XPS技術之任一者或兩者量測之參數之精度及精確性。
前文係發明內容且因此必需含有細節之簡化、概括及省略;因此,熟習此項技術者將瞭解發明內容僅係闡釋性的且絕不限制。本文中描述之裝置及/或程序之其他態樣、發明特徵及優點將在本文中闡述之非限制性詳細描述中變得顯而易見。
相關申請案之交叉參考
本專利申請案根據35 U.S.C. §119規定主張在2018年1月6日申請之美國臨時專利申請案第62/614,399號之優先權,該案標的物之全部內容以引用的方式併入本文中。
現將詳細參考本發明之背景實例及一些實施例,其等之實例在隨附圖式中加以繪示。
呈現用於用一組合x射線反射量測術(XRR)及x射線光電子光譜術(XPS)量測系統量測與不同半導體製程相關聯之半導體結構之結構及材料特性(例如,結構及膜之材料組合物、尺寸特性等)之方法及系統。
在一個態樣中,一組合XRR及XPS系統包含由XRR量測子系統及XPS量測子系統兩者共用之一X射線照明源及X射線照明光學器件。共用一共同X射線照明源及X射線照明光學器件減小系統複雜性、成本及一製造設施中之機器佔用面積。另外,一組合XRR及XPS系統藉由照明晶圓之相同區域且同時收集XRR量測資料及XPS量測資料而增加處理量及量測精確性。
一般言之,一組合XRR及XPS系統藉由採用XRR量測資料來改良由XPS子系統執行之量測而改良量測精確性且反之亦然。另外,一組合XRR及XPS系統實現XRR量測資料及XPS量測資料兩者的同時分析以更精確地估計一或多個所關注參數之值。與由單獨XRR系統及XPS系統對一計量目標進行單獨量測相比,由一組合XRR及XPS系統對該計量目標進行同時XRR及XPS量測增加量測處理量。此外,由一組合XRR及XPS系統對一計量目標進行同時XRR及XPS量測避免在由單獨XRR系統及XPS系統執行該計量目標之單獨量測時發生之目標定位誤差。
一般言之,一組合XRR及XPS計量系統之XRR量測子系統係任何適合的基於x射線之計量工具,其照明且收集來自樣品(例如,一半導體晶圓)之相同側之x射線光子及光電子。所收集x射線光子回應於x射線照明而從樣品散射、繞射、折射、鏡面反射或其等之任何組合。
在一些實施例中,XRR量測子系統經組態為一掠入射小角度x射線散射量測術(GI-SAXS)系統,其在半導體材料之臨界反射角(例如,小於1度之掠射角)及高於8 keV之光子能量附近操作以最大化繞射強度。GI-SAXS量測之表面敏感度係極佳的,但高高寬比結構之穿透歸因於漸消場行為而係非常有限的。另外,投射至晶圓上之照明束點大小通常係大的(例如,大於1 mm)。因此,通常需要大的專用計量目標來執行GI-SAXS量測。
在一些其他實施例中,XRR量測子系統經組態為一反射小角度x射線散射計(RSAXS)。在一些實施例中,用軟x射線(SXR)區域(即,80 eV至3000 eV)中之x射線輻射依5度至20度範圍中之掠入射角執行RSAXS量測。此等相對大掠射角實現至受量測結構中之較深穿透及較小量測點大小(例如,小於50微米)。一特定量測應用之掠射角經選擇以達成至受量測結構中之一所要穿透且用一小射束點大小最大化量測資訊含量。在一些實施例中,用一小射束點大小(例如,跨有效照明點小於50微米)在一波長、入射角及方位角範圍內執行一半導體晶圓之RSAXS量測。
圖1繪示在至少一個新穎態樣中用於量測一樣品之特性之一組合XRR及XPS計量系統100之一實施例。如圖1中展示,計量系統100可用於在藉由一入射x射線照明束點照明之一樣品101之一量測區域102上方執行XRR量測及XPS量測兩者。如圖1中描繪,x射線照明束114由X射線照明源110發射且投射至樣品101上。藉由x射線偵測器119收集及偵測回應於入射x射線照明束114而反射、繞射、散射之x射線光子。同時,藉由電子偵測器124收集由x射線照明束114引起之光發射電子121。將指示藉由x射線偵測器119偵測之x射線光子之輸出信號135及指示藉由電子偵測器124偵測之光發射電子之輸出信號125傳達至運算系統130。運算系統130基於輸出信號125及135估計一或多個所關注幾何參數、所關注材料參數或兩者之值。
如圖1中描繪之實施例中繪示,計量系統100包含一x射線照明源110及照明光學器件,其等將x射線照明提供至半導體晶圓101之量測區域102上方。x射線照明源110經組態以產生適合於XRR量測及XPS量測兩者之X射線輻射。
在一些實施例中,x射線照明源110係一單色源。在一些實施例中,一x射線源包含使x射線源能夠依不同可選波長遞送x射線輻射之一可調諧單色器。在一些實施例中,採用一或多個x射線源以確保x射線源依容許至受量測樣品中之充分穿透之波長供應光。
在一些實施例中,x射線照明源110係一高亮度多色x射線照明源。在一些實施例中,x射線照明源110經組態以產生在80電子伏特與3000電子伏特之間的一範圍中之x射線輻射。一般言之,可設想能夠按足以實現高處理量線內計量之通量位準產生高亮度軟x射線(SXR)之任何適合高亮度x射線照明源以供應用於XRR量測之x射線照明。
在一些實施例中,照明源110係一雷射產生電漿(LLP)光源。在一些此等實施例中,LPP光源包含氙、氪、氬、氖及氮發射材料之任一者。一般言之,針對諧振SXR區域中之亮度最佳化一適合LPP目標材料之選擇。例如,由氪發射之電漿在矽K邊緣處提供高亮度。在另一實例中,由氙發射之電漿提供遍及(80 eV至3000 eV)之整個SXR區域之高亮度。因而,在期望寬頻帶SXR照明時,氙係發射材料之一良好選擇。
亦可針對可靠且長壽命光源操作最佳化LPP目標材料選擇。諸如氙、氪及氬之稀有氣體目標材料係惰性的且可在一封閉迴路操作中重複使用而需要最少或不需要除污處理。在美國專利申請案第15/867,633號中描述一例示性SXR照明源,該案之全部內容以引用的方式併入本文中。
在一些實施例中,照明源110係一高諧波產生(HHG)x射線源。在一些其他實施例中,照明源110係一增頻磁鐵(wiggler)/聚頻磁鐵(undulator)同步加速輻射源(SRS)。在美國專利第8,941,336號及第8,749,179號中描述一例示性增頻磁鐵/聚頻磁鐵SRS,該等案之全部內容以引用的方式併入本文中。
在一些實施例中,x射線照明源110包含經組態以轟擊固體或液體目標以刺激x射線輻射之一電子束源。在2011年4月19日頒予KLA-Tencor Corp.之美國專利第7,929,667號中描述用於產生高亮度液體金屬x射線照明之方法及系統,該案之全部內容以引用的方式併入本文中。
一般言之,x射線照明源110可包含一粒子加速器源、一液體陽極源、一旋轉陽極源、一固定固體陽極源、一微聚焦源、一微聚焦旋轉陽極源、一基於電漿之源、一逆康普頓(Compton)源或其等之任何組合。在美國專利公開案第2016/0249442號、美國專利公開案第2016/0128171號、美國專利公開案第2015/0076359號、美國專利公開案第2015/0008335號、美國專利公開案第2014/0306115號、及美國專利公開案第2014/0246607號及美國臨時專利申請案第62/039,772號中找到適合x射線照明源之額外描述,該等案之全部內容以引用的方式併入本文中。
x射線照明源110在具有有限橫向尺寸(即,正交於射束軸之非零尺寸)之一源區域內產生x射線發射。在一個態樣中,照明源110之源區域之特徵在於小於20微米之一橫向尺寸。在一些實施例中,源區域之特徵在於10微米或更小之一橫向尺寸。小源大小實現用高亮度照明樣品上之一小目標區域,因此改良量測精度、精確性及處理量。
在一進一步態樣中,x射線照明源110可在一寬x射線光子能量範圍內調諧。此外,控制由照明源110提供之x射線照明之射束能量(即,(若干)波長)以達成XRR量測之一所要x射線穿透深度且控制XPS量測之光電子體積產生。以此方式,控制照明源100以增加一所關注結構之偵測能力。在一些實例中,控制x射線照明源110以用一指定能量範圍內之光子照明一計量目標,此引起來自計量目標之一些材料但非其他材料之光發射。
在一些實施例中,照明源110係一LPP光源,其由運算系統130控制以最大化一或多個選定光譜區域中之通量。目標材料處之雷射峰值強度控制電漿溫度及因此所發射輻射之光譜區域。藉由調整脈衝能量、脈衝寬度或兩者而改變雷射峰值強度。在一個實例中,100微微秒脈衝寬度適合於產生SXR輻射。如圖1中描繪,運算系統130將命令信號136傳達至照明源110,此導致照明源110調整從照明源110發射之波長之光譜範圍。在一個實例中,照明源110係一LPP光源,且LPP光源調整一脈衝持續時間、脈衝頻率及目標材料組合物之任一者以實現從LPP光源發射之波長之一所要光譜範圍。
一般言之,x射線照明光學器件塑形來自x射線照明源110之x射線輻射且將其引導至樣品101。在一進一步態樣中,一或多個x射線光學元件定位於照明路徑中以針對各量測獨立地控制量測點大小、光子通量、射束形狀、射束直徑、照明能量或其等之任何組合。
在一些實施例中,一或多個聚焦x射線光學器件定位於照明路徑中以控制量測點大小。在一些實施例中,一或多個準直x射線光學器件定位於照明路徑中以控制量測點大小。
在一些實施例中,一或多個單色x射線光學元件定位於照明路徑中。在一些實施例中,一或多個多色x射線光學元件定位於照明路徑中。在一些實施例中,一或多個x射線光學元件定位於照明路徑中以例如藉由濾除非所要照明能量而控制照明能量。
在較佳實施例中,一寬頻帶x射線照明源與定位於照明路徑中之反射多層x射線光學元件結合被採用以控制至樣品101中之穿透。
在一些實施例中,定位於照明路徑中之一或多個狹縫控制入射於樣品101上之射束形狀。在一些實例中,x射線光學器件使用多層x射線光學器件將x射線束準直或聚焦至樣品101之量測區域102上至小於1毫弧度發散。
在一些實施例中,x射線光學器件包含一或多個x射線準直鏡、x射線光圈、x射線束光闌、折射x射線光學器件、繞射光學器件(諸如波帶片)、施瓦茲希爾德(Schwarzschild)光學器件、柯克派屈克-貝茨(Kirkpatrick-Baez)光學器件、蒙特爾(Montel)光學器件、沃爾特(Wolter)光學器件、鏡面x射線光學器件(諸如橢圓面鏡)、多毛細管光學器件(諸如中空毛細管x射線波導)、多層光學器件或系統或其等之任何組合。在美國專利公開案第2015/0110249號中描述進一步細節,該案之全部內容以引用的方式併入本文中。
在所描繪實施例中,計量工具100包含一x射線照明源110、聚焦光學器件111、射束發散控制狹縫112及狹縫113。如圖1中描繪,聚焦光學器件111將源輻射聚焦至定位於樣品101上之一計量目標上。有限橫向源尺寸導致在目標上藉由來自源之邊緣之射線116及由射束狹縫112及113提供之任何射束塑形界定之有限點大小102。
在一些實施例中,聚焦光學器件111包含橢圓形聚焦光學元件。在圖1中描繪之實施例中,聚焦光學器件111在橢圓中心處之放大係近似1。因此,投射至樣品101之表面上之照明點大小與照明源之大小近似相同,針對歸因於標稱掠入射角(例如,5度至20度)之射束擴散進行調整。
在一進一步態樣中,聚焦光學器件111收集源發射且選擇一或多個離散波長或光譜帶,且將選定光依5度至20度範圍中之掠入射角聚焦至樣品101上。
標稱掠入射角G經選擇以達成計量目標之一所要穿透以最大化信號資訊含量,同時保持在計量目標邊界內。硬x射線之臨界角非常小,但軟x射線之臨界角顯著較大。由於此額外量測靈活性,RSAXS量測更深入地探測至結構中,對掠入射角之精確值較不敏感。
在一些實施例中,聚焦光學器件111包含選擇投射至樣品101上之所要波長或波長範圍之漸變多層。在一些實例中,聚焦光學器件111包含一漸變多層結構(例如,層或塗層),該漸變多層結構選擇一個波長且在一入射角範圍內將選定波長投射至樣品101上。在一些實例中,聚焦光學器件111包含一漸變多層結構,該漸變多層結構選擇一波長範圍且在一個入射角內將選定波長投射至樣品101上。在一些實例中,聚焦光學器件111包含一漸變多層結構,該漸變多層結構選擇一波長範圍且在一入射角範圍內將選定波長投射至樣品101上。
漸變多層光學器件較佳最小化在單層光柵結構過深時發生之光損耗。一般言之,多層光學器件選擇反射波長。選定波長之光譜頻寬最佳化提供至樣品101之通量、經量測繞射階中之資訊含量且透過偵測器處之角度色散及繞射峰值重疊來防止信號降級。另外,採用漸變多層光學器件來控制發散。針對偵測器處之通量及最小空間重疊最佳化各波長處之角發散。
在一些實例中,漸變多層光學器件選擇波長以增強來自特定材料介面或結構尺寸之繞射信號之對比度及資訊含量。例如,可選擇選定波長以跨越元素特定諧振區域(例如,矽K邊緣、氮、氧K邊緣等)。另外,在此等實例中,照明源亦可經調諧以最大化選定光譜區域中之通量(例如,HHG光譜調諧、LPP雷射調諧等)。
在一些其他實例中,在量測時可獲得較少先前結構資訊或無法獲得先前結構資訊。在此等實例中,選擇多個(例如,3個至4個)波長以實現跨吸收邊緣之繞射圖案的量測。經量測信號在無先前資訊的情況下(惟受量測結構之元素組合物除外)使用例如多個波長異常繞射技術實現結構性質之無模型量測。在基於無模型量測估計結構性質之後,可使用基於模型之量測技術進一步細化參數估計。
在一些實例中,先驗未知受量測計量目標之異常散射因數(即,散射性質)。在此等實例中,在多個諧振波長下量測膜多層反射率。布拉格(Bragg)峰值之角度偏離提供足夠資訊來提取異常散射因數。
在一些實施例中,聚焦光學器件111包含各具有一橢圓面形狀之複數個反射光學元件。各反射光學元件包含一基板及經調諧以反射一不同波長或波長範圍之一多層塗層。在一些實施例中,各反射一不同波長或波長範圍之複數個(例如,1個至5個)反射光學元件依各入射角配置。在一進一步實施例中,各反射一不同波長或波長範圍之多組(例如,2組至5組)反射光學元件依一不同入射角配置。在一些實施例中,多組反射光學元件在量測期間同時將照明光投射至樣品101上。在一些其他實施例中,多組反射光學元件在量測期間循序將照明光投射至樣品101上。在此等實施例中,採用主動快門或光圈來控制投射至樣品101上之照明光。
在一些實施例中,聚焦光學器件111將多個波長、方位角及AOI之光聚焦於相同計量目標區域上。圖2描繪包含以一分段環形組態圍繞射束軸A安置之四個鏡元件150A至150D之聚焦光學器件150之一端視圖(即,沿射束軸)。各鏡元件包含經調諧以反射一不同波長或波長範圍之一多層塗層。在一些實施例中,各鏡元件150A至150D包含一均勻多層設計(即,一特定鏡元件之表面在該特定鏡元件之整個鏡表面區域上方反射相同波長或波長範圍)。在一些其他實施例中,各鏡元件包含一非均勻多層設計(即,由鏡元件反射之波長或波長範圍取決於鏡表面上之入射位置)。在一些此等實施例中,各鏡元件係橢圓形且在一入射角範圍內將照明光投射至樣品101。另外,由於鏡元件配置成一環形組態,所以鏡元件在一方位角範圍內將照明光投射至樣品101。儘管圖2描繪四個鏡元件,然一般言之,聚焦光學器件可包含經配置以將多個波長、方位角及AOI之光聚焦於相同計量目標區域上之任何數目個鏡元件。在一些其他實施例中,聚焦光學器件包含嵌套於入射平面中之數個鏡元件(即,一嵌套沃爾特組態)。
在一進一步態樣中,藉由主動地定位聚焦光學器件之一或多個鏡元件而調整投射至相同計量區域上之波長範圍、AOI、方位角或其等之任何組合。如圖1中描繪,運算系統130將命令信號傳達至致動器系統115,此導致致動器系統115調整聚焦光學器件111之光學元件之一或多者之位置、對準或兩者以達成投射至樣品101上之所要波長範圍、AOI、方位角或其等之任何組合。
一般言之,針對各波長選擇入射角以最佳化照明光之穿透及受量測計量目標對照明光之吸收。在許多實例中,量測多層結構且選擇入射角以最大化與所要關注層相關聯之信號資訊。在疊對計量之實例中,(若干)波長及(若干)入射角經選擇以最大化由來自先前層及當前層之散射之間的干涉所導致之信號資訊。另外,方位角亦經選擇以最佳化信號資訊含量。另外,方位角經選擇以確保偵測器處之繞射峰值之角距。
在一進一步態樣中,一組合XRR及XPS計量系統(例如,計量系統100)包含一或多個射束狹縫或光圈以塑形入射於樣品101上之照明束114且選擇性地阻擋原本將照明一受量測計量目標之照明光之一部分。一或多個射束狹縫界定射束大小及形狀,使得x射線照明點匹配於受量測計量目標之區域內。另外,一或多個射束狹縫界定照明束發散以最小化偵測器上之繞射階重疊。
在另一進一步態樣中,一組合XRR及XPS計量系統(例如,計量系統100)包含一或多個射束狹縫或光圈以選擇同時照明一受量測計量目標之一組照明波長。在一些實施例中,包含多個波長之照明同時入射於一受量測計量目標上。在此等實施例中,一或多個狹縫經組態以使包含多個照明波長之照明通過。一般言之,一受量測計量目標之同時照明較佳增加信號資訊及處理量。然而,實務上,偵測器處之繞射階重疊限制照明波長範圍。在一些實施例中,一或多個狹縫經組態以使不同照明波長循序通過。在一些實例中,依較大角發散之循序照明提供較高處理量,此係因為當射束發散較大時,循序照明之信雜比可高於同時照明。當循序執行量測時,繞射階重疊之問題不成問題。此增加量測靈活性且改良信雜比。
圖1描繪定位於聚焦光學器件111與射束塑形狹縫113之間的射束路徑中的一射束發散控制狹縫112。射束發散控制狹縫112限制提供至受量測樣品之照明之發散。射束塑形狹縫113定位於射束發散控制狹縫112與樣品101之間的射束路徑中。射束塑形狹縫113進一步塑形入射束114且選擇入射束114之(若干)照明波長。射束塑形狹縫113緊接在樣品101之前定位於射束路徑中。在一個態樣中,射束塑形狹縫113之狹縫經定位為緊密接近於樣品101以最小化歸因於由有限源大小界定之射束發散之入射束點大小之擴大。
在一些實施例中,射束塑形狹縫113包含多個獨立致動之射束塑形狹縫。在一項實施例中,射束塑形狹縫113包含四個獨立致動之射束塑形狹縫。此四個射束塑形狹縫有效地阻擋傳入射束之一部分且產生具有一盒狀照明橫截面之一照明束114。
射束塑形狹縫113之狹縫由最小化散射且有效地阻擋入射輻射之材料建構。例示性材料包含單晶材料,諸如鍺、砷化鎵、磷化銦等。通常,狹縫材料沿著一結晶方向分裂而非鋸切狹縫材料以最小化跨結構邊界之散射。另外,狹縫相對於傳入射束定向,使得傳入輻射與狹縫材料之內部結構之間的相互作用產生最小散射量。晶體附接至由高密度材料(例如,鎢)製成之各狹縫固持器以用於完全阻擋狹縫之一個側上之x射線束。
一般言之,x射線收集光學器件將來自樣品101之x射線輻射收集、塑形且引導至x射線偵測器119。在一進一步態樣中,一或多個x射線光學元件定位於收集路徑中以針對各量測獨立地控制量測點大小、光子通量、射束形狀、射束直徑、所收集光子能量或其等之任何組合。一般言之,x射線收集路徑可包含上文中參考照明路徑描述之元件之任何組合。
在一些實施例中,一或多個射束阻擋元件定位於收集路徑中以阻擋一或多個繞射階。在一些實施例中,採用一射束阻擋元件來阻擋0階反射。例示性射束阻擋元件包含狹縫、金屬射束光闌等。
在一些實施例中,一電子阻擋元件定位於收集路徑中以防止電子到達x射線偵測器119或x射線收集路徑中之其他x射線光學元件。一電子射束阻擋元件對x射線光子透明或半透明以最小化對所收集x射線光子之擾動。在一些實施例中,電子阻擋元件與x射線偵測器119整合。
x射線偵測器119回應於入射照明束114而收集來自樣品101之x射線輻射118且根據一XXR量測模態產生指示對入射x射線輻射敏感之樣品101之性質之一輸出信號135。在一些實施例中,由x射線偵測器119收集經散射x射線118,同時樣品定位系統140使樣品101定位及定向以產生角度解析之經散射x射線。
在一些實施例中,組合XRR及XPS計量系統100包含具有高動態範圍(例如,大於105 )之一或多個光子計數偵測器。在一些實施例中,一單光子計數偵測器偵測所偵測光子之位置及數目。
在一些實施例中,x射線偵測器解析一或多個x射線光子能量且針對各x射線能量分量產生指示樣品之性質之信號。在一些實施例中,x射線偵測器119包含一CCD陣列、一微通道板、一光電二極體陣列、一微帶比例計數器、一充氣比例計數器、一閃爍器或一螢光材料之任一者。
以此方式,除像素位置及計數數目以外,亦藉由能量辨別偵測器內之X射線光子相互作用。在一些實施例中,藉由比較X射線光子相互作用之能量與一預定上臨限值及一預定下臨限值而辨別X射線光子相互作用。在一項實施例中,經由輸出信號135將此資訊傳達至運算系統130以供進一步處理及儲存。
歸因於繞射中之角度色散,在偵測器平面處分離用多個照明波長同時照明一週期性目標所導致之繞射圖案。在此等實施例中,採用積分偵測器。使用區域偵測器(例如,真空相容背側CCD或混合像素陣列偵測器)量測繞射圖案。針對布拉格峰值積分最佳化角度取樣。若採用像素級模型擬合,則針對信號資訊含量最佳化角度取樣。取樣率經選擇以防止零階信號之飽和。
一般言之,電子收集光學器件沿一電子收集路徑將來自樣品101之光發射電子收集、塑形且引導至電子偵測器124。在一些實施例中,電子收集路徑包含控制電子束之一或多個電子光學元件。
在一些實施例中,一或多個磁場屏蔽元件定位於電子收集路徑處或其附近以最小化歸因於外部磁場對電子從樣品101至電子偵測器124的流動之干擾。
在一些實施例中,一或多個電子分析器定位於電子束路徑中以根據能量對光發射電子進行分類。在一些此等實施例中,一或多個電子分析器濾除具有非所要電子能量之電子。在一些實施例中,一或多個電子分析器包含一柱面鏡分析器(CMA)、一圓柱形扇區分析器(CSA)、一半球形扇區分析器(HSA)、一減速場分析器(RFA)或其等之一組合。
在圖1中描繪之實施例中,光發射電子121由電子收集光學器件122收集且聚焦至分析器123上。在一個實例中,分析器123係包含沿一單一軸之一磁場及靜電場之一半球形分析器。此使電子相對於沿一個軸之能量分散,且不使電子相對於沿另一軸之能量分散。在樣品定位系統140使樣品101定位及定向時,由偵測器124偵測所得電子場。藉由非限制性實例,偵測器124可為一固態偵測器、一微通道板或耦合至一電荷耦合裝置(CCD)之一磷光體。在一些實例中,偵測器124係結合如上文描述之一半球形分析器採用之二維偵測器。在此等實施例中,偵測器124能夠解析沿一個軸之一或多個電子能量且針對各光學能量分量產生指示樣品之性質之信號。另外,二維偵測器能夠解析所收集電子場沿另一軸之空間分佈。所得空間分佈亦指示樣品之性質。
以此方式,偵測器124收集從樣品101發射之光電子121且根據一XPS量測模態產生指示對入射光電子敏感之樣品101之性質之一輸出信號125。
一般言之,可採用任何適合電子偵測器來偵測來自樣品101之光發射電子。在一些實施例中,電子偵測器124同時量測從樣品101逸出之電子之動能及數目。藉由非限制性實例,電子偵測器124可包含一電子倍增器、一甬道、一通道板等。在一些實施例中,電子偵測器124係能夠獲取高解析度XPS信號之一高解析度偵測器。
在一些實施例中,電子偵測器124定位於由x射線照明光114及x射線收集光118之射束形成之x射線量測平面中。在一些其他實施例中,電子偵測器124定位於x射線量測平面外部。
在一些實例中,可期望依大波長範圍、入射角及方位角執行組合XRR及XPS量測以增加經量測參數值之精度及精確性。此方法藉由擴展可用於分析之資料集之數目及多樣性而減少參數之間的相關性。
收集依據照明波長及相對於晶圓表面法線之x射線入射角而變化之繞射輻射之強度之XRR量測。在多個繞射階中含有之資訊在所考量之各模型參數之間通常係獨特的。因此,x射線散射產生具有小誤差及減小之參數相關性之所關注參數之值之估計結果。
另外,角度解析XPS量測實現角度解析光發射光譜術(ARPES)之資料收集。角度解析XPS信號從XPS系統提取額外資訊且擴大可由XPS量測解析之所關注參數之空間。在一個實例中,藉由使樣本傾斜而達成依據深度而變化之材料性質之XPS量測。在一些實施例中,採用能夠具有大傾斜角之晶圓載物台140來定位樣品101以進行角度解析XPS量測。在一些實施例中,電子偵測器124及相關聯電子收集光學器件經組態以選擇性地依不同角度相對於樣品101定位以進行角度解析XPS量測。在一些此等實施例中,x射線源110及相關聯照明光學器件亦經組態以選擇性地相對於樣品101定位以實現依不同入射角之角度解析XPS量測。在一些實施例中,採用各相對於樣品101依不同角度定位之多個電子偵測器124來實現角度解析XPS量測。
藉由一半導體晶圓101相對於x射線照明束114之任何兩個角度旋轉來描述照明x射線束114相對於晶圓101之表面法線之各定向,或反之亦然。在一個實例中,可關於固定至晶圓之一座標系統描述定向。圖3描繪依由一入射角q及一方位角f描述之一特定定向入射於晶圓101上之x射線照明束114。座標系XYZ經固定至計量系統(例如,照明束116)且座標系X’Y’Z’經固定至晶圓101。Y軸與晶圓101之表面平面內對準。X及Z未與晶圓101之表面對準。Z’與法向於晶圓101之表面之一軸對準,且X’及Y’在與晶圓101之表面對準之一平面中。如圖3中描繪,x射線照明束114與Z軸對準且因此位於XZ平面內。入射角q描述x射線照明束114相對於XZ平面中之晶圓之表面法線之定向。此外,方位角f描述XZ平面相對於X’Z’平面之定向。q及f一起獨有地界定x射線照明束114相對於晶圓101之表面之定向。在此實例中,藉由圍繞法向於晶圓101之表面之一軸(即,Z’軸)之一旋轉及圍繞與晶圓101之表面對準之一軸(即,Y軸)之一旋轉來描述x射線照明束相對於晶圓101之表面之定向。在一些其他實例中,藉由圍繞與晶圓101之表面對準之一第一軸及與晶圓101之表面對準且垂直於第一軸之另一軸之一旋轉來描述x射線照明束相對於晶圓101之表面之定向。
在一個態樣中,計量工具100包含一晶圓卡盤103,該晶圓卡盤103固定地支撐晶圓101且耦合至樣品定位系統140。樣品定位系統140經組態以相對於照明束114在六個自由度上主動定位樣品101。在一個實例中,運算系統130將指示樣品101之所要位置之命令信號(未展示)傳達至樣品定位系統140。作為回應,樣品定位系統140產生命令信號至樣品定位系統140之各種致動器以達成樣品101之所要定位。
在一進一步態樣中,一RSAXS系統之聚焦光學器件以至少5之一縮倍(demagnification) (即,0.2或更小之放大因數)將照明源之一影像投射至受量測樣品上。在一些實施例中,一RSAXS系統之聚焦光學器件以至少2之一縮倍(即,0.5或更小之放大因數)將照明源之一影像投射至受量測樣品上。如本文中描述之一RSAXS系統採用具有特徵在於20微米或更小之一橫向尺寸之一源區域(即,源大小係20微米或更小)之一SXR照明源。在一些實施例中,採用具有至少5之一縮倍因數之聚焦光學器件來將照明投射至具有4微米或更小之一入射照明點大小之一樣品上(即,將源之一影像投射至與源大小相比小5倍之晶圓上)。
圖4繪示另一實施例中之一RSAXS計量工具200之一實施例。如圖4中繪示,系統200可用於在具有小於1微米至2微米之尺寸之一量測區域102上方執行RSAXS量測。圖4中描繪之相同編號元件類似於參考圖1描述之元件。如圖4中描繪,聚焦光學器件111係橢圓形光學元件。然而,聚焦光學器件111相對於照明源110及樣品101配置,使得照明源110與聚焦光學器件111之間的距離A顯著大於聚焦光學器件111與樣品101之間的距離B。在一些實施例中,A/B之比係至少5。在一些實施例中,A/B之比係至少10。此導致照明源以A/B之一因數縮倍至樣品101上。在一項實施例中,照明源110之大小係近似10微米且聚焦光學器件111經配置使得A/B係10。在此實施例中,投射至樣品101上之照明點大小係近似1微米。
在一些實施例中,照明源110係具有10微米或更小之一源大小之一LPP光源,且聚焦光學器件111具有近似10之一縮倍因數。此使RSAXS計量工具200能夠將照明光聚焦至具有1微米至2微米之尺寸之一計量目標上。藉由將入射照明光聚焦至1微米至2微米之一照明點大小,RSAXS計量工具200實現定位於晶粒內之臨界尺寸目標及疊對目標之量測,而非依靠定位於晶圓劃線道區域中之較大計量目標。
量測具有1微米至2微米之尺寸之目標之能力減小致力於專用計量目標之晶圓面積。另外,量測具有1微米至2微米之尺寸之目標之能力實現裝置結構之直接量測而非專用計量目標。量測裝置結構直接消除目標至裝置偏差。此顯著改良量測品質。另外,晶粒內目標之量測實現晶粒內之參數變化的特性化。例示性所關注參數包含臨界尺寸、疊對及邊緣放置誤差。
在另一進一步態樣中,組合XRR及XPS計量系統之XPS子系統維持在一低真空環境(例如,小於100毫巴)中而非一高真空環境。典型獨立XPS計量系統維持在一高真空環境(例如,近似10-8 毫巴或更低壓力)或一超高真空環境(例如,近似10-9 毫巴或更低壓力)中。在此一高真空位準下整合一XPS子系統係複雜且不具成本效益的。
由Karslıoğlu O., Bluhm H. (2017) Ambient-Pressure X-ray Photoelectron Spectroscopy (APXPS). In: Frenken J., Groot I. (eds) Operando Research in Heterogeneous Catalysis. Springer Series in Chemical Physics,第114卷,Springer, Cham. Springer, Cham描述在周圍或近周圍壓力下操作之例示性XPS系統,其之全部內容以引用的方式併入本文中。
如圖1及圖4中描繪,組合XRR及XPS計量系統100及200之XRR子系統及XPS子系統之元件維持在真空腔120內之一低真空環境中。
上文描述之XRR及XPS量測模組之各者能夠執行獨立量測及分析。在一些實例中,循序或同時執行XRR及XPS量測以基於解耦合之XRR及XPS分析特性化一樣品之相同或不同材料或結構性質。
在一些實例中,採用一RSAXS系統以基於散射光之一或多個繞射階判定一樣品之性質(例如,結構參數值)。如圖1中描繪,計量工具100包含經採用以獲取由偵測器119產生之信號135且至少部分基於所獲取信號判定樣品性質之一運算系統130。由一XRR子系統(諸如圖1中描繪之RSAXS子系統)量測之信號資訊可用於估計所關注幾何參數(例如,層厚度、光柵高度、臨界尺寸、側壁角、疊對、蝕刻放置誤差等)、所關注材料參數(例如,材料組合物)或兩者之值。
在一些實例中,基於RSAXS之計量涉及藉由使用經量測資料反解一預定量測模型而判定樣本之尺寸。量測模型包含數個(大約十個)可調整參數且表示樣品之幾何形狀及光學性質以及量測系統之光學性質。反解法包含(但不限於)基於模型之迴歸、層析成像、機器學習或其等任何組合。以此方式,藉由對最小化經量測之散射x射線強度與經模型化結果之間的誤差之一參數化量測模型的值求解而估計目標輪廓參數。
在一些實例中,採用一XPS子系統以基於指示依各電子能階偵測之電子數目之x射線光發射信號而判定一樣品之性質。如圖1中描繪,計量工具100包含經採用以獲取由偵測器124產生之信號125且至少部分基於所獲取信號判定樣品性質之一運算系統130。在一些實例中,運算系統130採用峰值擬合來提取所獲取信號125之特徵。在此等實例中,峰值擬合涉及估計峰值位置(包含位移、強度峰值等)。可在半峰全寬振幅、峰值振幅等下估計峰值。在一些實例中,峰值擬合涉及模型化實驗設定、儀器設計因數、樣本變數等。
在一些實例中,由一XPS子系統量測之信號資訊可用於估計指示表面化學品之所關注材料參數值(例如,化學元素(包含表面污染物)、其等之結合能及原子百分比、各元素之化學或電子狀態、材料電子密度及電子狀態密度、結晶度、元素摻雜等)。在一些實例中,樣品101被探測至近似10奈米或更小之一深度。然而,一般言之,確切深度取決於X射線穿透深度、電子逃逸率、表面輪廓、材料組合物及其他因素。因此,在一些實例中,可從超過10奈米之深度(例如,從具有三維輪廓之結構(例如,週期性光柵))提取XPS信號資訊。
在一些實例中,由一XPS子系統量測之信號資訊可用於基於深度解析XPS量測資料而估計所關注幾何參數(例如,一或多個層厚度、光柵高度、溝槽深度、孔深度等)之值。在此等實例中,依不同x射線束能量、入射角等執行XPS量測以產生深度解析XPS量測資料。
在一些實例中,採用由一XPS子系統在一樣品上之不同位置量測之信號資訊來估計指示樣品均勻性之所關注參數值。
在又另一態樣中,藉由識別在數學上循序或平行使用從XRR及XPS量測導出之資料集解析之共用模型參數而改良用XRR技術及XPS技術之任一者或兩者量測之參數之精度及精確性。用多種量測技術量測共用參數減小參數之間的相關性且改良量測精確性。
XRR計量資料與XPS計量資料之組合擬合對於提供對所關注幾何及/或材料參數的互補敏感度之任何類型的XRR及XPS技術係有利的。在至少一個幾何參數(例如,膜厚度)或材料參數在XRR模型與XPS模型之間共用之情況下,情況尤其如此。只要使用描述與樣品之XRR及XPS射束相互作用之適當模型,樣品參數便可係確定性(例如,膜厚度、組合物、理想配比、CD、SWA、光柵高度等)。
可藉由側饋分析、前饋分析及平行分析之任何組合增強量測精確性。側饋分析係指在相同樣品之不同區域上獲取多個資料集且將自第一資料集判定之共同參數傳遞至第二資料集上以用於分析。前饋分析係指在不同樣品上獲取資料集且使用一逐步複製精確參數前饋方法將共同參數正向傳遞至後續分析。平行分析係指將一非線性擬合方法平行或同時應用至多個資料集,其中在擬合期間耦合至少一個共同參數。
多工具及結構分析係指基於迴歸、一查找表(即,「庫」匹配)或多個資料集之另一擬合程序之一前饋、側饋或平行分析。在2009年1月13日頒予KLA-Tencor Corp.之美國專利第7,478,019號中描述用於多工具及結構分析之例示性方法及系統,該案之全文以引用的方式併入本文中。
XRR及XPS之組合使用實現在各種深度下結構及材料之量測。高通量、高能量x射線輻射穿透至目標之不透明區域中,此實現埋入式結構、高高寬比結構及包含許多薄膜層之裝置之特性化。使用如本文中描述之組合XRR及XPS量測之特性之實例包含(但不限於)膜厚度、元素組合物及理想配比。
在一些實例中,採用由XPS判定之材料組合物及電子密度以改良嚴重依賴於此等參數之此等值之XRR量測之精確性。
在一些實例中,採用從XRR提取之尺寸資訊以改良XPS組合物量測之精確性。在一個實例中,一樣品包含矽基板之頂部上之氮氧化矽(SiON)膜層。在此實例中,基於XRR之精確SiON層厚度量測併入至XPS量測模型中以改良XPS組合物量測之精確性。
使用如本文中描述之組合XRR及XPS量測之結構之實例包含(但不限於)光阻劑及雙重圖案化或多重圖案化程序中之其他圖案化材料、FinFET、低維結構(諸如奈米線或石墨烯)、亞10 nm結構、薄膜、微影結構、貫穿基板通孔(TSV)、記憶體結構(諸如DRAM、DRAM 4F2、FLASH及高高寬比記憶體結構)。在一些實例中,組合XRR及XPS實現小於10 nm之特徵以及需要量測幾何參數及材料參數之先進半導體結構(諸如自旋轉移力矩MRAM)之量測。
XRR及XPS之組合使用實現具有較大尺寸之晶粒內目標、實際裝置目標或代理目標之量測。
在又另一態樣中,由運算系統130在一組合分析中同時處理來自XPS及XRR子系統之所獲取量測信號以改良材料組合物及所關注幾何參數之估計值。
在一進一步態樣中,運算系統130經組態以:產生一樣品之一經量測結構之一結構模型(例如,幾何模型、材料模型或經組合幾何及材料模型);從結構模型產生各包含至少一個共用幾何或材料參數之一XRR回應模型及一XPS回應模型;及藉由用XRR回應模型對XRR量測資料執行一擬合分析且用XPS回應模型對XPS量測資料執行一擬合分析而解析至少一個樣品參數值。在圖1中描繪之實施例中,運算系統130經組態為一模型建立及分析引擎,該模型建立及分析引擎經組態以如本文中描述般實施模型建立及分析功能性。
圖5係繪示藉由運算系統130實施之一例示性模型建立及分析引擎180之一圖式。如圖5中描繪,模型建立及分析引擎180包含產生一樣品之一經量測結構之一結構模型182之一結構模型建立模組181。在一些實施例中,結構模型182包含樣品之幾何性質及材料性質兩者。接收結構模型182作為至XRR回應函數建立模組183及XPS回應函數建立模組185之輸入。XRR回應函數建立模組183至少部分基於結構模型182產生一XRR回應函數模型184。在一些實例中,XRR回應函數模型184係基於x射線形狀因數

其中F係形狀因數,q係散射向量,且ρ(r)係球面座標中之樣品之電子密度。接著藉由以下方程式給出x射線散射強度
類似地,XPS回應函數建立模組185至少部分基於結構模型182產生一XPS回應函數模型186。
接收XRR回應函數模型184及XPS回應函數模型186作為至擬合分析模組187之輸入。擬合分析模組187比較模型化XRR及XPS回應資料與對應經量測資料以判定樣品之幾何以及材料性質。
在一些實例中,藉由最小化一卡方值而達成模型化資料至實驗資料之擬合。例如,對於XPS計量,可將一卡方值定義為
其中係在「通道」i中實驗性地量測之經量測XPS信號125,其中指數i描述一組系統參數,諸如波長、角度座標等。係針對一組結構(目標)參數評估之「通道」i之模型化XPS信號,其中此等參數描述經量測結構之幾何及材料特徵。係與「通道」i相關聯之不確定性。NXPS 係XPS計量中之通道總數目。M係特性化計量目標之參數數目。
類似地,對於XRR量測,可將一卡方值定義為
其中係「通道」j中之經量測XRR信號135,其中指數j描述一組系統參數,諸如能量、角度座標等。係針對一組結構(目標)參數評估之「通道」j之模型化XRR信號Sj ,其中此等參數描述幾何(膜厚度、CD、側壁角、疊對等)及材料(電子密度等)。係與第j個通道相關聯之不確定性。NXRR 係XRR計量中之通道總數目。L係特性化受量測結構之參數數目。
方程式(3)及(4)假定與不同通道相關聯之不確定性係不相關的。在其中與不同通道相關聯之不確定性係相關之實例中,可計算不確定性之間的協方差。在此等實例中,用於XPS量測之一卡方值可表達為

其中VXPS 係XPS通道不確定性之協方差矩陣,且T表示轉置。可以相同方式計算用於XRR量測之一卡方值。
一般言之,用於XPS模型之目標參數集(即,)及用於XRR模型之目標參數集(即,)係不相同的。原因係描述XPS及XRR相互作用程序所需的材料常數及函數之差異產生不同目標參數。然而,至少一個幾何參數在XRR回應函數模型184與XPS回應函數模型186之間共用。共同參數係相同的或藉由一明確代數變換而彼此相關。在一些實例中,諸如膜厚度、材料組合物等之目標參數在XRR回應函數模型184與XPS回應函數模型186兩者之間共用。
在一些實例中,擬合分析模組187藉由循序用XRR回應模型184對XRR量測資料135執行一擬合分析且用XPS回應模型186對XPS量測資料125執行一擬合分析而解析至少一個樣品參數值。在一些實例中,首先最佳化,且在之後續最佳化中將任何解析之共同樣品參數值視為常數。類似地,在一些其他實例中,首先最佳化,且在之後續最佳化中將任何解析之共同樣品參數值視為常數。
在一些其他實例中,擬合分析模組157藉由用XRR回應模型184對XRR量測資料135且用XPS回應模型186對XPS量測資料125執行一平行擬合分析而解析至少一個樣品參數值。例如,可將適用於平行分析之一卡方函數定義為
其中係指派給XPS及XRR計量之加權係數。在最簡單的情況中,==1。然而,指派不同權重通常增強更相關計量。通常藉由實驗資料對比參考計量之分析及/或量測特殊實驗設計(DOE)目標上之預程式化DOE參數變動而完成適當權重之選擇。
如上文描述,藉由最小化卡方值而達成XRR及XPS資料之擬合。然而,一般言之,可藉由其他函數達成XRR及XPS資料之擬合。
XPS計量資料與XRR計量資料之組合擬合對於提供對所關注幾何及/或材料參數的互補敏感度之任何類型的XRR及XPS技術係有利的。在至少一個結構參數在XRR模型與XPS模型之間共用之情況下,情況尤其如此。只要使用描述與樣品之XRR及XPS射束相互作用之適當模型,樣品參數便可係確定性的(例如,膜厚度、CD、SWA等)或統計性的(例如,側壁粗糙度之均方根高度、粗糙度相關長度等)。
在另一進一步態樣中,基於依相對於量測目標之入射x射線束之一單一定向執行之組合XRR及XPS量測判定一或多個所關注參數之值之一初始估計。初始估計值經實施為針對使用從依多個定向之組合XRR及XPS量測收集之量測資料之量測模型之一迴歸之所關注參數之起始值。以此方式,使用相對少量之運算工作量判定一所關注參數之一接近估計,且藉由將此接近估計實施為針對一大得多的資料集內之一迴歸之起始點,使用較少總體運算工作量獲得所關注參數之一細化估計。
在另一態樣中,計量系統100及200包含經組態以實施如本文中描述之射束控制功能性之一運算系統(例如,運算系統130)。在圖1中描繪之實施例中,運算系統130經組態為一射束控制器,其可操作以控制照明性質之任一者,諸如入射照明束114之強度、發散、點大小、偏光、光譜及定位。
如圖1中繪示,運算系統130通信地耦合至偵測器119。運算系統130經組態以從偵測器119接收量測資料135。在一個實例中,量測資料135包含樣品之經量測回應(即,繞射階之強度)之一指示。基於經量測回應在偵測器119之表面上的分佈,藉由運算系統130判定照明束114入射在樣品101上之位置及區域。在一個實例中,藉由運算系統130應用圖案辨識技術以基於量測資料135判定照明束114入射在樣品101上之位置及區域。在一些實例中,運算系統130將命令信號136傳達至x射線照明源110以選擇所要照明波長。在一些實例中,運算系統130將命令信號137傳達至致動器子系統115以重新引導x射線發射以達成一所要射束方向。在一些實例中,運算系統130將命令信號138及139分別傳達至射束塑形狹縫112及113以導致射束塑形狹縫112及113改變射束點大小且選擇照明波長,使得入射照明束114依所要射束點大小、定向及(若干)波長到達樣本101。在一個實例中,命令信號138及139導致與狹縫112及113相關聯之致動器改變位置以將入射束114重新塑形成一所要形狀及大小且選擇所要波長。在一些其他實例中,運算系統130將一命令信號傳達至晶圓定位系統140以使樣品101定位及定向,使得入射照明束114到達相對於樣品101之所要位置及角度定向。
一般言之,可在一半導體製程之任何步驟對一半導體晶圓執行組合XRR及XPS量測,包含空白晶圓、具有膜之晶圓、具有圖案化結構之晶圓等。在一些實例中,製造在一受量測半導體晶圓上之圖案化結構包含溝槽、孔或其他空隙。具有顯著空隙之結構之組合XRR及XPS量測之精確性通常歸因於X射線之更深穿透及光發射電子之改良逃逸率而增強,此導致電子偵測之改良信雜比。當採用軟x射線照明時,此係尤其有利的。
在一些實施例中,對具有填充有一液體或氣體材料之一圖案化結構之一半導體晶圓執行組合XRR及XPS量測以增強經量測材料之間的對比度且改良量測精確性。在美國專利公開案第2017/0314913號及美國專利公開案第2017/0314912號中提供填充結構之量測之額外描述。
應認知,可由一單一電腦系統130或替代地一多電腦系統130實行貫穿本發明描述之各種步驟。再者,系統100及200之不同子系統(諸如樣品定位系統140)可包含適合於實行本文中描述之步驟之至少一部分之一電腦系統。因此,不應將前述描述解譯為對本發明之一限制,而僅為一圖解。此外,一或多個運算系統130可經組態以執行本文中描述之任何方法實施例之任何(若干)其他步驟。
另外,電腦系統130可以此項技術中已知的任何方式通信地耦合至x射線照明源110、射束塑形狹縫112及113、聚焦光學器件致動器系統115、樣品定位系統140、偵測器119及電子偵測器124。例如,一或多個運算系統130可耦合至分別與x射線照明源110、射束塑形狹縫112及113、聚焦光學器件致動器系統115、樣品定位系統140及偵測器119相關聯之運算系統。在另一實例中,可藉由耦合至電腦系統130之一單一電腦系統直接控制x射線照明源110、射束塑形狹縫112及113、聚焦光學器件致動器系統115、樣品定位系統140、偵測器119及電子偵測器124之任一者。
電腦系統130可經組態以藉由可包含有線及/或無線部分之一傳輸媒體從系統之子系統(例如,x射線照明源110、射束塑形狹縫112及113、聚焦光學器件致動器系統115、樣品定位系統140、偵測器119、電子偵測器124及類似物)接收及/或獲取資料或資訊。以此方式,傳輸媒體可充當電腦系統130與系統100及200之其他子系統之間的一資料鏈路。
計量系統100及200之電腦系統130可經組態以藉由可包含有線及/或無線部分之一傳輸媒體從其他系統接收及/或獲取資料或資訊(例如,量測結果、模型化輸入、模型化結果等)。以此方式,傳輸媒體可充當電腦系統130與其他系統(例如,記憶體板上計量系統100及200、外部記憶體190或外部系統)之間的一資料鏈路。例如,運算系統130可經組態以經由一資料鏈路從一儲存媒體(即,記憶體132或190)接收量測資料(例如,信號125及135)。例如,使用偵測器119及124獲得之量測資料可儲存於一永久或半永久記憶體裝置(例如,記憶體132或190)中。在此方面,可從板上記憶體或從一外部記憶體系統匯入量測結果。再者,電腦系統130可經由一傳輸媒體將資料發送至其他系統。例如,由電腦系統130判定之樣品參數值188可儲存於一永久或半永久記憶體裝置(例如,記憶體190)中。在此方面,可將量測結果匯出至另一系統。
運算系統130可包含(但不限於)一個人電腦系統、主機電腦系統、工作站、影像電腦、平行處理器或此項技術中已知的任何其他裝置。一般言之,術語「運算系統」可被廣泛定義為涵蓋具有執行來自一記憶媒體之指令之一或多個處理器之任何裝置。
可經由諸如一導線、電纜或無線傳輸鏈路之一傳輸媒體傳輸實施諸如本文中描述之方法之方法之程式指令134。例如,如圖1中繪示,經由匯流排133將儲存於記憶體132中之程式指令傳輸至處理器131。程式指令134儲存於一電腦可讀媒體(例如,記憶體132)中。例示性電腦可讀媒體包含唯讀記憶體、一隨機存取記憶體、一磁碟或光碟或一磁帶。
圖6繪示適合於藉由本發明之計量系統100及200實施之一方法300。在一個態樣中,應認知,可經由由運算系統130之一或多個處理器執行之一預程式化演算法實行方法300之資料處理方塊。雖然在計量系統100及200之背景內容中提出以下描述,但本文中應認知,計量系統100及200之特定結構態樣不表示限制且應僅被解譯為闡釋性。
在方塊301中,藉由一x射線照明源產生一x射線照明輻射量。
在方塊302中,將該x射線照明輻射量從x射線照明源引導至一受量測樣品之一量測區域。
在方塊303中,回應於該x射線照明輻射量而在一x射線偵測器上偵測來自樣品之一輻射量。x射線照明源及x射線偵測器安置成一x射線反射量測術(XRR)量測組態。
在方塊304中,基於所接收之輻射量產生一XRR量測資料量。
在方塊305中,回應於該x射線照明輻射量而在一光電子偵測器上偵測從樣品發射之一電子量。x射線照明源及光電子偵測器安置成一x射線光電子光譜術(XPS)量測組態。
在方塊306中,基於所接收之電子量產生一XPS量測資料量。
在方塊307中,基於XRR量測資料及XPS量測資料估計特性化安置於樣品上之量測區域內之一結構之一所關注參數之一值。
在一些實施例中,實施如本文中描述之組合XRR及XPS量測作為一製程工具之部分。製程工具之實例包含(但不限於)微影曝光工具、膜沈積工具、植入工具及蝕刻工具。以此方式,使用一組合XRR及XPS分析之結果來控制一製程。在一個實例中,將從一或多個目標收集之組合XRR及XPS量測資料發送至一製程工具。如本文中描述般分析組合XRR及XPS量測資料且使用結果來調整製程工具之操作以減少半導體結構之製造中之誤差。
可使用如本文中描述之組合XRR及XPS量測來判定多種半導體結構之特性。例示性結構包含(但不限於) FinFET、低維結構(諸如奈米線或石墨烯)、亞10 nm結構、微影結構、貫穿基板通孔(TSV)、記憶體結構(諸如DRAM、DRAM 4F2、FLASH及高高寬比記憶體結構)。例示性結構特性包含(但不限於)幾何參數(諸如線邊緣粗糙度、線寬粗糙度、孔大小、孔密度、側壁角、輪廓、臨界尺寸、間距、厚度、疊對)及材料參數(諸如電子密度、組合物、晶粒結構、形態、應力、應變及元素識別)。在一些實施例中,計量目標係一週期性結構。在一些其他實施例中,計量目標係非週期性的。
在一些實例中,使用如本文中描述之RSAXS量測系統執行高高寬比半導體結構(包含(但不限於)自旋轉移力矩隨機存取記憶體(STT-RAM)、三維NAND記憶體(3D-NAND)或垂直NAND記憶體(V-NAND)、動態隨機存取記憶體(DRAM)、三維FLASH記憶體(3D-FLASH)、電阻式隨機存取記憶體(Re-RAM)及相變隨機存取記憶體(PC-RAM))之臨界尺寸、厚度、疊對及材料性質之量測。
如本文中描述,術語「臨界尺寸」包含一結構之任何臨界尺寸(例如,底部臨界尺寸、中間臨界尺寸、頂部臨界尺寸、側壁角、光柵高度等)、任何兩個或兩個以上結構之間之一臨界尺寸(例如,兩個結構之間之距離)及兩個或兩個以上結構之間之一位移(例如,疊對光柵結構之間之疊對位移等)。結構可包含三維結構、圖案化結構、疊對結構等。
如本文中描述,術語「臨界尺寸應用」或「臨界尺寸量測應用」包含任何臨界尺寸量測。
如本文中描述,術語「計量系統」包含至少部分採用以在任何態樣中特性化一樣品之任何系統,包含臨界尺寸應用及疊對計量應用。然而,此等技術術語不限制如本文中描述之術語「計量系統」之範疇。另外,本文中描述之計量系統可經組態以量測圖案化晶圓及/或未經圖案化晶圓。計量系統可組態為一LED檢測工具、邊緣檢測工具、背側檢測工具、巨集檢測工具或多模式檢測工具(涉及同時來自一或多個平台之資料)及受益於本文中描述之量測技術之任何其他計量或檢測工具。
本文中針對可用於處理一樣品之一半導體處理系統(例如,一檢測系統或一微影系統)描述各項實施例。術語「樣品」在本文中用以指一晶圓、一倍縮光罩或可藉由此項技術中已知之構件處理(例如,印刷或檢測缺陷)之任何其他樣本。
如本文中使用,術語「晶圓」大體上係指由一半導體或非半導體材料形成之基板。實例包含(但不限於)單晶矽、砷化鎵及磷化銦。此等基板通常可在半導體製造廠中找到及/或處理。在一些情況中,一晶圓可僅包含基板(即,裸晶圓)。替代地,一晶圓可包含形成於一基板上之一或多個不同材料層。形成於一晶圓上之一或多個層可「經圖案化」或「未經圖案化」。例如,一晶圓可包含具有可重複圖案特徵之複數個晶粒。
一「倍縮光罩」可為處於一倍縮光罩製程之任何階段之一倍縮光罩,或為可或可未經釋放以於一半導體製造廠中使用之一成品倍縮光罩。一倍縮光罩或一「遮罩」被大體上定義為具有形成於其上且以一圖案組態之實質上不透明區域之一實質上透明基板。基板可包含(例如)一玻璃材料,諸如非晶SiO2 。可在一微影程序之一曝光步驟期間將一倍縮光罩安置於一覆蓋有光阻劑之晶圓上方,使得可將倍縮光罩上之圖案轉印至光阻劑。
形成於一晶圓上之一或多個層可經圖案化或未經圖案化。例如,一晶圓可包含各具有可重複圖案特徵之複數個晶粒。此等材料層之形成及處理最終可導致成品裝置。許多不同類型的裝置可形成於一晶圓上,且如本文中使用之術語晶圓意欲涵蓋其上製造此項技術中已知之任何類型的裝置之一晶圓。
在一或多項例示性實施例中,所描述之功能可實施於硬體、軟體、韌體或其等之任何組合中。若在軟體中實施,則功能可作為一或多個指令或程式碼儲存於一電腦可讀媒體上或經由該電腦可讀媒體傳輸。電腦可讀媒體包含電腦儲存媒體及通信媒體兩者,包含促進一電腦程式從一位置至另一位置之轉移之任何媒體。一儲存媒體可為可藉由一通用電腦或專用電腦存取之任何可用媒體。藉由實例(且非限制),此等電腦可讀媒體可包括RAM、ROM、EEPROM、CD-ROM或其他光碟儲存器、磁碟儲存器或其他磁性儲存裝置或可用於載送或儲存呈指令或資料結構之形式之所要程式碼構件且可藉由一通用電腦或專用電腦或一通用或專用處理器存取之任何其他媒體。再者,任何連接可被適當地稱為一電腦可讀媒體。例如,若使用一同軸電纜、光纖電纜、雙絞線、數位用戶線(DSL)或無線技術(諸如紅外線、無線電及微波)自一網站、伺服器或其他遠端源傳輸軟體,則同軸電纜、光纖電纜、雙絞線、DSL或無線技術(諸如紅外線、無線電及微波)包含於媒體之定義中。如本文中使用,磁碟及光碟包含光碟(CD)、雷射光碟、XRF碟、數位多功能光碟(DVD)、軟碟及藍光光碟,其中磁碟通常磁性地重現資料而光碟用雷射光學地重現資料。上述組合亦應包含於電腦可讀媒體之範疇內。
儘管為指導目的在上文描述某些特定實施例,但本專利文件之教示具有一般適用性且不限於上文描述之特定實施例。因此,在不脫離如在發明申請專利範圍中闡述之本發明之範疇的情況下可實踐所描述實施例之各種特徵之各種修改、調適及組合。
100‧‧‧組合x射線反射量測術(XRR)及x射線光電子光譜術(XPS)計量工具/計量系統
101‧‧‧樣品/半導體晶圓
102‧‧‧量測區域/有限點大小
103‧‧‧晶圓卡盤
110‧‧‧x射線照明源
111‧‧‧聚焦光學器件
112‧‧‧射束發散控制狹縫/射束塑形狹縫
113‧‧‧射束塑形狹縫
114‧‧‧入射x射線照明束
115‧‧‧聚焦光學器件致動器系統
116‧‧‧射線/照明束
118‧‧‧x射線輻射/x射線收集光
119‧‧‧x射線偵測器
120‧‧‧真空腔
121‧‧‧光發射電子
122‧‧‧電子收集光學器件
123‧‧‧分析器
124‧‧‧電子偵測器
125‧‧‧輸出信號/XPS量測資料
130‧‧‧運算系統
131‧‧‧處理器
132‧‧‧記憶體
133‧‧‧匯流排
134‧‧‧程式指令
135‧‧‧輸出信號/XRR量測資料
136‧‧‧命令信號
137‧‧‧命令信號
138‧‧‧命令信號
139‧‧‧命令信號
140‧‧‧樣品定位系統
150‧‧‧聚焦光學器件
150A至150D‧‧‧鏡元件
180‧‧‧模型建立及分析引擎
181‧‧‧結構模型建立模組
182‧‧‧結構模型
183‧‧‧XRR回應函數建立模組
184‧‧‧XRR回應函數模型
185‧‧‧XPS回應函數建立模組
186‧‧‧XPS回應函數模型
187‧‧‧擬合分析模組
188‧‧‧樣品參數值
190‧‧‧記憶體
200‧‧‧組合x射線反射量測術(XRR)及x射線光電子光譜術(XPS)計量工具/計量系統
300‧‧‧方法
301‧‧‧方塊
302‧‧‧方塊
303‧‧‧方塊
304‧‧‧方塊
305‧‧‧方塊
306‧‧‧方塊
307‧‧‧方塊
圖1係繪示在至少一個新穎態樣中用於量測一樣品之特性之一組合x射線反射量測術(XRR)及x射線光電子光譜術(XPS)計量工具100之一實施例之一簡化圖。
圖2係繪示包含以一分段環形組態圍繞射束軸A安置之四個鏡元件之聚焦光學器件之一端視圖之一簡化圖。
圖3描繪依藉由一入射角q及一方位角f描述之一特定定向入射於一晶圓上之x射線照明束。
圖4係繪示在至少一個新穎態樣中用於量測一樣品之特性之一組合x射線反射量測術(XRR)及x射線光電子光譜術(XPS)計量工具200之另一實施例之一簡化圖。
圖5係繪示一例示性模型建立及分析引擎之一簡化圖。
圖6係根據本文中描述之方法執行一半導體晶圓之組合XRR及XPS量測之一方法之一流程圖。

Claims (21)

  1. 一種計量系統,其包括: 一x射線照明源,其經組態以產生一x射線照明輻射量; 一或多個x射線照明光學元件,其或其等安置於該x射線照明源與一受量測樣品之間的一照明路徑中,其中該一或多個x射線照明光學元件將來自該x射線照明源之該x射線照明輻射量引導至該受量測樣品之一量測區域; 一x射線偵測器,其經組態以回應於該x射線照明輻射量而接收來自該樣品之一輻射量,其中該x射線照明源及該x射線偵測器安置成一x射線反射量測術(XRR)量測組態,且其中該x射線偵測器基於該所接收之輻射量而產生一XRR量測資料量;及 一光電子偵測器,其經組態以回應於該x射線照明輻射量而接收從該樣品發射之一電子量,其中該x射線照明源及該光電子偵測器安置成一x射線光電子光譜術(XPS)量測組態,且其中該光電子偵測器基於該所接收之電子量而產生一XPS量測資料量;及 一運算系統,其經組態以基於該XRR量測資料及該XPS量測資料估計特性化安置於該樣品上之該量測區域內之一結構之一所關注參數之一值。
  2. 如請求項1之計量系統,其中該x射線輻射量包含多個照明波長。
  3. 如請求項2之計量系統,其中該x射線輻射量包含從80電子伏特至3,000電子伏特之一光子能量範圍內之多個照明波長。
  4. 如請求項1之計量系統,其中該一或多個x射線照明光學元件將該x射線輻射量依5度與20度之間的一標稱掠入射角引導至該樣品上。
  5. 如請求項1之計量系統,其進一步包括: 一晶圓定位系統,其經組態以在至少1度之一範圍內圍繞在平面內與該樣品之表面對準之一或多個旋轉軸選擇性地定位該樣品。
  6. 如請求項1之計量系統,其中該所關注參數之該值之該估計涉及用一XRR回應模型對該XRR量測資料集進行一擬合分析且用該XPS回應模型對該XPS量測資料集進行一擬合分析。
  7. 如請求項6之計量系統,其中在包含對該XRR量測資料之該擬合分析及對該XPS量測資料之該擬合分析兩者之一平行擬合分析中將該所關注參數視為一全域參數。
  8. 如請求項1之計量系統,其進一步包括: 一或多個電子光學元件,其或其等定位於該樣品與該光電子偵測器之間的一電子收集路徑中。
  9. 如請求項1之計量系統,其進一步包括: 一或多個磁場屏蔽元件,其或其等定位於該樣品與該光電子偵測器之間的一電子收集路徑處或其附近。
  10. 如請求項1之計量系統,其進一步包括: 一或多個電子分析器,其或其等定位於該樣品與該光電子偵測器之間的一電子收集路徑中,其中該一或多個電子分析器回應於該x射線照明輻射量而根據能量對從該樣品發射之該電子量進行分類。
  11. 如請求項1之計量系統,其進一步包括: 一電子束區塊,其定位於該樣品與該x射線偵測器之間的一x射線收集路徑中。
  12. 如請求項1之計量系統,其中該XRR量測資料及該XPS量測資料與依複數個入射角、方位角或兩者之量測相關聯。
  13. 如請求項1之計量系統,其中該運算系統經進一步組態以: 將一命令信號傳遞至該一或多個x射線照明光學元件之至少一者,此導致該一或多個x射線照明光學元件之該至少一者調整一入射位置、一射束形狀、一射束發散、一射束強度及一波長範圍之任一者。
  14. 如請求項13之計量系統,其中至少部分基於由該x射線偵測器接收之該輻射量而判定該命令信號。
  15. 如請求項1之計量系統,其中該一或多個x射線照明光學元件同時將該x射線照明輻射量聚焦至該樣品上作為依複數個入射角、複數個波長及複數個方位角入射於該樣品上之一x射線照明束。
  16. 如請求項1之計量系統,其中該x射線照明源之一源區域之特徵在於20微米或更小之一橫向尺寸。
  17. 如請求項1之計量系統,其中該一或多個x射線照明光學元件以0.5或更小之一縮倍因數將該軟x射線輻射量聚焦至該半導體晶圓上。
  18. 一種方法,其包括: 產生一x射線照明輻射量; 將該x射線照明輻射量從一x射線照明源引導至一受量測樣品之一量測區域; 回應於該x射線照明輻射量而在一x射線偵測器上偵測來自該樣品之一輻射量,其中該x射線照明源及該x射線偵測器安置成一x射線反射量測術(XRR)量測組態; 基於該所接收之輻射量產生一XRR量測資料量; 回應於該x射線照明輻射量而在一光電子偵測器上偵測從該樣品發射之一電子量,其中該x射線照明源及該光電子偵測器安置成一x射線光電子光譜術(XPS)量測組態; 基於該所接收之電子量產生一XPS量測資料量;及 基於該XRR量測資料及該XPS量測資料估計特性化安置於該樣品上之該量測區域內之一結構之一所關注參數之一值。
  19. 一種計量系統,其包括: 一x射線照明源,其經組態以產生一x射線照明輻射量; 一或多個x射線照明光學元件,其或其等安置於該x射線照明源與一受量測樣品之間的一照明路徑中,其中該一或多個x射線照明光學元件將來自該x射線照明源之該x射線照明輻射量引導至該受量測樣品之一量測區域; 一x射線偵測器,其經組態以回應於該x射線照明輻射量而接收來自該樣品之一輻射量,其中該x射線照明源及該x射線偵測器安置成一x射線反射量測術(XRR)量測組態,且其中該x射線偵測器基於該所接收之輻射量而產生一XRR量測資料量;及 一光電子偵測器,其經組態以回應於該x射線照明輻射量而接收從該樣品發射之一電子量,其中該x射線照明源及該光電子偵測器安置成一x射線光電子光譜術(XPS)量測組態,且其中該光電子偵測器基於該所接收之電子量而產生一XPS量測資料量;及 一運算系統,其經組態以: 基於該XRR量測資料、該XPS量測資料或其等之一組合估計特性化安置於該樣品上之該量測區域內之一結構之一第一所關注參數之一值;及 基於該第一所關注參數及該XRR量測資料、該XPS量測資料或其等之一組合估計特性化該結構之一第二所關注參數之一值。
  20. 如請求項19之計量系統,其中該第一所關注參數之該值之該估計係基於該XRR量測資料,且其中該第二所關注參數之該值之該估計係基於該第一所關注參數及該XPS量測資料。
  21. 如請求項19之計量系統,其中該第一所關注參數之該值之該估計係基於該XPS量測資料,且其中該第二所關注參數之該值之該估計係基於該第一所關注參數及該XRR量測資料。
TW108100135A 2018-01-06 2019-01-03 用於組合之x 射線反射量測術與光電子光譜術之系統及方法 TWI806955B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862614399P 2018-01-06 2018-01-06
US62/614,399 2018-01-06
US16/230,489 2018-12-21
US16/230,489 US10895541B2 (en) 2018-01-06 2018-12-21 Systems and methods for combined x-ray reflectometry and photoelectron spectroscopy

Publications (2)

Publication Number Publication Date
TW201940841A true TW201940841A (zh) 2019-10-16
TWI806955B TWI806955B (zh) 2023-07-01

Family

ID=67140671

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108100135A TWI806955B (zh) 2018-01-06 2019-01-03 用於組合之x 射線反射量測術與光電子光譜術之系統及方法

Country Status (6)

Country Link
US (2) US10895541B2 (zh)
KR (1) KR20200097353A (zh)
CN (1) CN111566472A (zh)
IL (1) IL275719B1 (zh)
TW (1) TWI806955B (zh)
WO (1) WO2019136189A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11971370B2 (en) 2022-01-31 2024-04-30 Canon Anelva Corporation Inspection apparatus and inspection method

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10295485B2 (en) 2013-12-05 2019-05-21 Sigray, Inc. X-ray transmission spectrometer system
USRE48612E1 (en) 2013-10-31 2021-06-29 Sigray, Inc. X-ray interferometric imaging system
US10845491B2 (en) 2018-06-04 2020-11-24 Sigray, Inc. Energy-resolving x-ray detection system
WO2020008727A1 (ja) * 2018-07-04 2020-01-09 株式会社リガク 蛍光x線分析装置
GB2591630B (en) 2018-07-26 2023-05-24 Sigray Inc High brightness x-ray reflection source
DE112019004433T5 (de) 2018-09-04 2021-05-20 Sigray, Inc. System und verfahren für röntgenstrahlfluoreszenz mit filterung
CN112823280A (zh) 2018-09-07 2021-05-18 斯格瑞公司 用于深度可选x射线分析的系统和方法
US11467107B2 (en) * 2018-10-25 2022-10-11 Horiba, Ltd. X-ray analysis apparatus and x-ray generation unit
JP6975203B2 (ja) * 2019-06-14 2021-12-01 日本電子株式会社 X線分析システム及びx線分析方法
US20210010953A1 (en) * 2019-07-12 2021-01-14 SVXR, Inc. Methods and Systems for Defects Detection and Classification Using X-rays
US11430118B2 (en) 2019-07-12 2022-08-30 Bruker Nano, Inc. Methods and systems for process control based on X-ray inspection
US11651492B2 (en) * 2019-07-12 2023-05-16 Bruker Nano, Inc. Methods and systems for manufacturing printed circuit board based on x-ray inspection
US11615533B2 (en) 2019-07-12 2023-03-28 Bruker Nano, Inc. Methods and systems for product failure prediction based on X-ray image re-examination
US11688067B2 (en) 2019-07-12 2023-06-27 Bruker Nano, Inc. Methods and systems for detecting defects in devices using X-rays
US11042981B2 (en) 2019-07-12 2021-06-22 SVXR, Inc. Methods and systems for printed circuit board design based on automatic corrections
US11460418B2 (en) 2019-08-26 2022-10-04 Kla Corporation Methods and systems for semiconductor metrology based on wavelength resolved soft X-ray reflectometry
WO2021046059A1 (en) 2019-09-03 2021-03-11 Sigray, Inc. System and method for computed laminography x-ray fluorescence imaging
US11698251B2 (en) 2020-01-07 2023-07-11 Kla Corporation Methods and systems for overlay measurement based on soft X-ray Scatterometry
US11175243B1 (en) 2020-02-06 2021-11-16 Sigray, Inc. X-ray dark-field in-line inspection for semiconductor samples
JP7144475B2 (ja) * 2020-03-30 2022-09-29 日本電子株式会社 分析方法および分析装置
US11143604B1 (en) * 2020-04-06 2021-10-12 Kla Corporation Soft x-ray optics with improved filtering
JP7395775B2 (ja) 2020-05-18 2023-12-11 シグレイ、インコーポレイテッド 結晶解析装置及び複数の検出器素子を使用するx線吸収分光法のためのシステム及び方法
JP2023542674A (ja) 2020-09-17 2023-10-11 シグレイ、インコーポレイテッド X線を用いた深さ分解計測および分析のためのシステムおよび方法
WO2022126071A1 (en) 2020-12-07 2022-06-16 Sigray, Inc. High throughput 3d x-ray imaging system using a transmission x-ray source
CN116888462A (zh) * 2020-12-31 2023-10-13 诺威有限公司 来自扰动对象的x射线信号的评估
CN112834538A (zh) * 2021-01-08 2021-05-25 南京大学 一种用于xrd和原子力显微镜的变温样品台及其使用方法
KR102578658B1 (ko) 2021-12-10 2023-09-14 한국세라믹기술원 미소 충전 샘플링에 의한 peb 유도 에너지 분석 방법
DE102022110775A1 (de) 2022-05-02 2023-11-02 Specs Surface Nano Analysis Gmbh Zerstörungsfreies zuverlässiges Bestimmen von Probenparameterwerten
US11885755B2 (en) 2022-05-02 2024-01-30 Sigray, Inc. X-ray sequential array wavelength dispersive spectrometer
US20230417682A1 (en) * 2022-06-23 2023-12-28 Onto Innovation Inc. Metrology solutions for complex structures of interest

Family Cites Families (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5491738A (en) 1993-03-15 1996-02-13 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration X-ray diffraction apparatus
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
US6399944B1 (en) * 1999-07-09 2002-06-04 Fei Company Measurement of film thickness by inelastic electron scattering
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US6816570B2 (en) 2002-03-07 2004-11-09 Kla-Tencor Corporation Multi-technique thin film analysis tool
US7120228B2 (en) 2004-09-21 2006-10-10 Jordan Valley Applied Radiation Ltd. Combined X-ray reflectometer and diffractometer
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
US7358494B1 (en) 2005-06-15 2008-04-15 Kla-Tencor Technologies Corporation Material composition analysis system and method
CN101011256A (zh) * 2006-02-01 2007-08-08 西门子公司 通过x射线无破坏地分析检查对象的方法和测量装置
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
US7769726B2 (en) 2007-12-31 2010-08-03 Sap, Ag Method for verification of data and metadata in a data repository
US7929667B1 (en) 2008-10-02 2011-04-19 Kla-Tencor Corporation High brightness X-ray metrology
US7978820B2 (en) 2009-10-22 2011-07-12 Panalytical B.V. X-ray diffraction and fluorescence
US10013518B2 (en) * 2012-07-10 2018-07-03 Kla-Tencor Corporation Model building and analysis engine for combined X-ray and optical metrology
US8749179B2 (en) 2012-08-14 2014-06-10 Kla-Tencor Corporation Optical characterization systems employing compact synchrotron radiation sources
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
EP2951643B1 (en) 2013-01-30 2019-12-25 Kla-Tencor Corporation Euv light source using cryogenic droplet targets in mask inspection
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
WO2014127151A1 (en) 2013-02-14 2014-08-21 Kla-Tencor Corporation System and method for producing an exclusionary buffer gas flow in an euv light source
US9989758B2 (en) 2013-04-10 2018-06-05 Kla-Tencor Corporation Debris protection system for reflective optic utilizing gas flow
US9255877B2 (en) 2013-05-21 2016-02-09 Kla-Tencor Corporation Metrology system optimization for parameter tracking
US9915522B1 (en) 2013-06-03 2018-03-13 Kla-Tencor Corporation Optimized spatial modeling for optical CD metrology
US9535018B2 (en) 2013-07-08 2017-01-03 Kla-Tencor Corporation Combined x-ray and optical metrology
US9544984B2 (en) 2013-07-22 2017-01-10 Kla-Tencor Corporation System and method for generation of extreme ultraviolet light
US9846132B2 (en) 2013-10-21 2017-12-19 Kla-Tencor Corporation Small-angle scattering X-ray metrology systems and methods
US9885962B2 (en) 2013-10-28 2018-02-06 Kla-Tencor Corporation Methods and apparatus for measuring semiconductor device overlay using X-ray metrology
US9490182B2 (en) * 2013-12-23 2016-11-08 Kla-Tencor Corporation Measurement of multiple patterning parameters
US9594035B2 (en) 2014-04-25 2017-03-14 Revera, Incorporated Silicon germanium thickness and composition determination using combined XPS and XRF technologies
CN106605140B (zh) * 2014-06-06 2019-09-17 斯格瑞公司 X射线吸收测量系统
US10012606B1 (en) * 2014-06-24 2018-07-03 Kla-Tencor Corporation X-ray based metrology with primary and secondary illumination sources
US10101664B2 (en) 2014-11-01 2018-10-16 Kla-Tencor Corporation Apparatus and methods for optics protection from debris in plasma-based light source
US10034362B2 (en) 2014-12-16 2018-07-24 Kla-Tencor Corporation Plasma-based light source
US10324050B2 (en) 2015-01-14 2019-06-18 Kla-Tencor Corporation Measurement system optimization for X-ray based metrology
US10502549B2 (en) * 2015-03-24 2019-12-10 Kla-Tencor Corporation Model-based single parameter measurement
CN111948239B (zh) * 2015-04-28 2024-01-12 科磊股份有限公司 计算上高效的基于x射线的叠盖测量系统与方法
US10151713B2 (en) * 2015-05-21 2018-12-11 Industrial Technology Research Institute X-ray reflectometry apparatus for samples with a miniscule measurement area and a thickness in nanometers and method thereof
US10380728B2 (en) * 2015-08-31 2019-08-13 Kla-Tencor Corporation Model-based metrology using images
US10352695B2 (en) 2015-12-11 2019-07-16 Kla-Tencor Corporation X-ray scatterometry metrology for high aspect ratio structures
US10281263B2 (en) 2016-05-02 2019-05-07 Kla-Tencor Corporation Critical dimension measurements with gaseous adsorption
US10145674B2 (en) 2016-05-02 2018-12-04 Kla-Tencor Corporation Measurement of semiconductor structures with capillary condensation
US10775323B2 (en) 2016-10-18 2020-09-15 Kla-Tencor Corporation Full beam metrology for X-ray scatterometry systems

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11971370B2 (en) 2022-01-31 2024-04-30 Canon Anelva Corporation Inspection apparatus and inspection method
US11977038B2 (en) 2022-01-31 2024-05-07 Canon Anelva Corporation Inspection apparatus and inspection method

Also Published As

Publication number Publication date
IL275719B1 (en) 2024-01-01
US11536674B2 (en) 2022-12-27
KR20200097353A (ko) 2020-08-18
IL275719A (en) 2020-08-31
US10895541B2 (en) 2021-01-19
TWI806955B (zh) 2023-07-01
CN111566472A (zh) 2020-08-21
WO2019136189A1 (en) 2019-07-11
US20210055237A1 (en) 2021-02-25
US20190212281A1 (en) 2019-07-11

Similar Documents

Publication Publication Date Title
TWI806955B (zh) 用於組合之x 射線反射量測術與光電子光譜術之系統及方法
TWI805594B (zh) 基於多色軟性x射線繞射之用於半導體度量之方法及系統
KR102495770B1 (ko) X-선 산란계측 시스템들을 위한 전체 빔 계측
JP7376666B2 (ja) 透過型小角x線散乱計量システム
US11460418B2 (en) Methods and systems for semiconductor metrology based on wavelength resolved soft X-ray reflectometry
CN110603435A (zh) 利用x射线散射测量术对深层结构进行工艺监测
US10859518B2 (en) X-ray zoom lens for small angle x-ray scatterometry
CN114981686A (zh) 基于软性x射线散射测量的叠对测量方法及系统
TWI833979B (zh) 計量系統及方法
JP7486621B2 (ja) X線スキャトロメトリシステムのフルビーム計測
US20220196576A1 (en) Methods And Systems For Compact, Small Spot Size Soft X-Ray Scatterometry