TW201938783A - 用以去除乾蝕刻殘渣之洗淨液及使用此洗淨液之半導體基板之製造方法 - Google Patents

用以去除乾蝕刻殘渣之洗淨液及使用此洗淨液之半導體基板之製造方法 Download PDF

Info

Publication number
TW201938783A
TW201938783A TW108108147A TW108108147A TW201938783A TW 201938783 A TW201938783 A TW 201938783A TW 108108147 A TW108108147 A TW 108108147A TW 108108147 A TW108108147 A TW 108108147A TW 201938783 A TW201938783 A TW 201938783A
Authority
TW
Taiwan
Prior art keywords
cleaning solution
water
dry etching
semiconductor substrate
group
Prior art date
Application number
TW108108147A
Other languages
English (en)
Other versions
TWI839349B (zh
Inventor
菊永孝裕
堀江宏彰
青山公洋
田島恒夫
Original Assignee
日商三菱瓦斯化學股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商三菱瓦斯化學股份有限公司 filed Critical 日商三菱瓦斯化學股份有限公司
Publication of TW201938783A publication Critical patent/TW201938783A/zh
Application granted granted Critical
Publication of TWI839349B publication Critical patent/TWI839349B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D17/00Detergent materials or soaps characterised by their shape or physical properties
    • C11D17/08Liquid soap, e.g. for dispensers; capsuled
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3209Amines or imines with one to four nitrogen atoms; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3218Alkanolamines or alkanolimines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • C11D7/5004Organic solvents
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • C11D7/5004Organic solvents
    • C11D7/5022Organic solvents containing oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32138Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only pre- or post-treatments, e.g. anti-corrosion processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Organic Chemistry (AREA)
  • Wood Science & Technology (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Health & Medical Sciences (AREA)
  • Emergency Medicine (AREA)
  • General Chemical & Material Sciences (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Detergent Compositions (AREA)
  • Weting (AREA)

Abstract

根據本發明,可提供一種洗淨液,含有胺化合物(A)0.2~20質量%、水溶性有機溶劑(B)40~70質量%及水,該胺化合物(A)含有選自於由正丁胺、己胺、辛胺、1,4-丁二胺、二丁胺、3-胺基-1-丙醇、N,N-二乙基-1,3-二胺基丙烷、及雙(六亞甲基)三胺構成之群組中之1種以上,且該水溶性有機溶劑(B)於20℃之黏度為10mPa・s以下,且該洗淨液之pH為9.0~14之範圍。

Description

用以去除乾蝕刻殘渣之洗淨液及使用此洗淨液之半導體基板之製造方法
本發明係關於在半導體基板之製造步驟中,抑制含有鈷之金屬配線層及層間絕緣膜的損傷,且除去被處理表面之乾蝕刻殘渣及乾蝕刻阻擋層的清洗液及使用此清洗液之半導體基板之製造方法。
在半導體基板之製造步驟中包含乾蝕刻步驟,係相對於其他材料,選擇性地除去特定之材料的步驟。此時,被稱為乾蝕刻殘渣的蝕刻殘留物或金屬殘渣等會殘留於蝕刻處理後的表面,為了除去此等物質會使用洗淨液。
在近年之半導體基板中,使用銅作為配線材料,使用低介電係數膜(以下稱為「Low-k膜」)作為層間絕緣膜。然而,伴隨著配線之微細化的進展,容易產生電遷移(electromigration),銅配線之可靠性降低而被視為問題。因此,作為改善電遷移耐性的替代材料,有人提倡鈷。另一方面,將含有該配線材料之基板進行乾蝕刻時,在處理後之基板表面會殘留有機系或矽系的乾蝕刻殘渣。此外,在半導體基板,為了防止乾蝕刻所致之對於配線材料的損傷,有時會包含氧化鋁等的乾蝕刻阻擋層。
因此,有在防止腐蝕基板之配線材料及層間絕緣膜的同時,將乾蝕刻殘渣及乾蝕刻阻擋層予以除去的洗淨技術的需求。另外,有必要抑制氧化鋁等乾蝕刻阻擋層的側蝕,該側蝕現象對於洗淨後之製膜步驟較不理想。
為了使乾蝕刻殘渣變脆弱,有時會於洗淨液中使用氧化還原劑。然而,氧化還原劑會有誘發洗淨液中之成分分解所致之性能變差、基板表面之材質變化等的問題。
此外,為了防止配線材料之損傷,有時會使用抗蝕劑,但有必要除去吸附於配線材料表面的抗蝕劑以避免在清洗後之製膜步驟中發生不良情況。含氮雜環化合物係具代表性的鈷的抗蝕劑,但以一般的洗淨液並不容易將其從配線材料表面除去。
就適合含有鈷配線材料之基板的洗淨液而言,已知有使用由羥基胺化合物、特定結構之含氮化合物、有機溶劑及水構成之藥液進行洗淨之方法(專利文獻1)。然而,其為了使殘渣溶解而使用了為還原劑的羥基胺化合物。此外,若不添加為抗蝕劑的含氮雜環化合物,則無法充分抑制對於鈷的損傷。
就適合含有鈷配線材料之基板的洗淨液,已知有使用由鹼金屬化合物、過氧化物、鹼土金屬及水構成之藥液進行洗淨之技術(專利文獻2)。然而,為了使乾蝕刻殘渣溶解而使用了過氧化物作為氧化劑,且若不添加抗蝕劑則無法抑制對於鈷的損傷。
[先前技術文獻]
[專利文獻]
[專利文獻1] 國際公開第2017-119350號
[專利文獻2] 國際公開第2016-076033號
[發明所欲解決之課題]
本發明之課題係難以使用以往的方法來應對,本案發明者係提供可防止含有鈷之金屬配線層及層間絕緣膜的腐蝕,且可除去乾蝕刻殘渣及乾蝕刻阻擋層的洗淨液,及使用此清洗液之含有鈷的半導體基板的製造方法。
[解決課題之手段]
本案發明者們深入研究之結果,發現藉由使用含有特定之胺化合物(A)、水溶性有機溶劑(B)及水的洗淨液可解決上述課題。
亦即,本發明如同下述。
<1> 一種洗淨液,含有胺化合物(A)0.2~20質量%、水溶性有機溶劑(B)40~70質量%、及水;
該胺化合物(A)含有選自於由正丁胺、己胺、辛胺、1,4-丁二胺、二丁胺、3-胺基-1-丙醇、N,N-二乙基-1,3-二胺基丙烷、及雙(六亞甲基)三胺構成之群組中之1種以上,且該水溶性有機溶劑(B)於20℃之黏度為10mPa・s以下,且該洗淨液之pH為9.0~14之範圍。
<2> 如<1>之洗淨液,其中,該胺化合物(A)之含量為0.2~4.0質量%。
<3> 如<1>或<2>之洗淨液,其中,該水之含量為28~59質量%。
<4> 如<1>至<3>中任一項之洗淨液,其中,該水溶性有機溶劑(B)含有選自於由二乙二醇單甲醚、二乙二醇單丁醚、三乙二醇單甲醚、二丙二醇單甲醚、及N,N-二甲基異丁醯胺構成之群組中之1種以上。
<5> 如<1>至<4>中任一項之洗淨液,其中,該胺化合物(A)含有選自於由3-胺基-1-丙醇、N,N-二乙基-1,3-二胺基丙烷、及雙(六亞甲基)三胺構成之群組中之1種以上。
<6> 如<1>至<5>中任一項之洗淨液,係用以除去乾蝕刻殘渣。
<7> 一種半導體基板之製造方法,包含下述步驟:使用如<1>至<6>中任一項之洗淨液,將含有鈷之半導體基板予以洗淨。
<8> 如<7>之半導體基板之製造方法,其中,該半導體基板包含:含有鈷之金屬配線層、層間絕緣膜、及含有氧化鋁之乾蝕刻阻擋層。
[發明之效果]
藉由本發明,可提供在半導體基板之製造步驟中,可抑制含有鈷之金屬配線層及層間絕緣膜的損傷,且可選擇性地除去基板表面之乾蝕刻殘渣及乾蝕刻阻擋層的洗淨液,及使用此洗淨液的半導體基板的製造方法。
本發明之洗淨液係含有特定之胺化合物(A)、水溶性有機溶劑(B)、及水。以下詳細地進行說明。
[胺化合物(A)]
本發明中使用之胺化合物(A)考慮鈷之抗蝕性之觀點,係含有選自特定之胺化合物中的一種以上。
具體而言,可列舉正丁胺、己胺、辛胺、1,4-丁二胺、二丁胺、3-胺基-1-丙醇、N,N-二乙基-1,3-二胺基丙烷、及雙(六亞甲基)三胺。此等之中,宜為即使於高溫條件下仍揮發少的3-胺基-1-丙醇、N,N-二乙基-1,3-二胺基丙烷、及雙(六亞甲基)三胺。此等係容易取得市售商品且可適當地使用市售商品。
洗淨液中之胺化合物(A)的含量係0.2~20質量%之範圍,宜為0.2~8.0質量%之範圍,更宜為0.2~4.0質量%之範圍,尤其宜為0.5~4.0質量%之範圍,藉由為此等之範圍,可兼顧乾蝕刻殘渣的除去性及含有氧化鋁之乾蝕刻阻擋層之除去性。
使用量過多時,有時有含有氧化鋁之乾蝕刻阻擋層的除去性變高,含有氧化鋁之乾蝕刻阻擋層的側蝕增大的情況。另一方面,使用量過少時,有時有乾蝕刻殘渣及含有氧化鋁之乾蝕刻阻擋層的除去性無法令人滿意的情況。
[水溶性有機溶劑(B)]
本發明中使用之水溶性有機溶劑(B)係在20℃之黏度為10mPa・s以下之可溶於水的溶劑。
具體而言,可列舉二乙二醇單甲醚(以下簡稱為DGME)、二乙二醇單丁醚(以下簡稱為DGMB)、三乙二醇單甲醚(以下簡稱為TGME)、二丙二醇單甲醚(以下簡稱為DPGME)、及N,N-二甲基異丁醯胺(以下簡稱為DMIB)較為理想。此等係容易取得市售商品且可適當地使用市售商品,亦可組合2種以上來使用。
洗淨液中之水溶性有機溶劑(B)之含量係40~70質量%之範圍,宜為45~65質量%之範圍。使用量過少時,有時有含有氧化鋁之乾蝕刻阻擋層之除去性變高,含有氧化鋁之乾蝕刻阻擋層之側蝕增加的情況。此外,有時也有鈷之抗蝕性無法令人滿意之情況。另一方面,使用量過多時,有時有含有氧化鋁之乾蝕刻阻擋層之除去性降低,洗淨時間變長的情況。
[水]
就本發明中使用之水而言,可為自來水、工業用水、地下水、蒸餾水、離子交換水、超純水等而沒有特別之限定,宜為離子交換水,更宜為超純水。
洗淨液中之水的含量宜為28~59質量%之範圍,更宜為34~54質量%之範圍。
[洗淨液]
本發明之洗淨液可將上述胺化合物(A)、水溶性有機溶劑(B)、及水藉由通常方法攪拌均勻來製備。
洗淨液之pH係9.0~14,宜為11~13。若pH過低,則含有氧化鋁之乾蝕刻阻擋層的除去性或鈷之抗蝕降低,若pH過高則有時有含有氧化鋁之乾蝕刻阻擋層之除去性變高,含有氧化鋁之乾蝕刻阻擋層之側蝕增加的情況。
pH調整可只藉由胺化合物(A)之含量來進行調整,亦可在不損害本發明之目的的範圍內添加酸。
[半導體基板]
使用本發明之洗淨液的半導體基板宜具有含有鈷之金屬配線層、層間絕緣膜、及乾蝕刻阻擋層,於基板表面會存在蝕刻後的殘渣。尤其,在半導體基板具有為乾蝕刻殘渣之掩模圖案殘渣(有機物系化合物殘渣)時具有效果。此外,上述層間絕緣膜可包含低介電係數膜(Low-k膜)。此外,上述乾蝕刻阻擋層宜含有氧化鋁。
[半導體基板之製造方法]
本發明之半導體基板之製造方法係包含下述步驟:使用上述本發明之洗淨液,洗淨含有鈷之半導體基板。
例如,可對於乾蝕刻處理後的半導體基板,以浸漬處理或單片處理的方法來使用本發明之洗淨液。處理溫度通常為10~70℃,宜為40~60℃。處理時間通常為0.5~10分鐘,宜為1~5分鐘。就使用了本發明之洗淨液後的潤洗液而言,只使用水進行潤洗即可,但亦可使用如醇般的有機溶劑或氨水。
[實施例]
以下,藉由實施例來具體地說明本發明,但本發明不限於以下的實施例。
<評價基板>
乾蝕刻殘渣除去性評價用(評價I):由有機物(碳、氫、氧、氮)構成之下層抗反射膜(膜厚:400埃)、
乾蝕刻阻擋層除去性評價用(評價II):氧化鋁膜(膜厚:3000埃)、
金屬配線抗蝕性評價用(評價III):使用鈷膜(膜厚:2000埃)。
層間絕緣膜抗蝕性評價用(評價IV):由矽、碳、氫、氧構成之Low-k膜(膜厚:3000埃)
<評價基板之製作方法>
下層抗反射膜及Low-k膜係各別旋塗於矽晶圓成膜而得。
氧化鋁膜係於矽晶圓上藉由物理氣相沉積法成膜而得。
鈷膜係於矽晶圓上按5000埃之氧化矽、250埃之鈦的順序堆疊,且在其上以化學氣相沉積法成膜而得。
<評價方法>
[處理條件]
將1×1cm、或2×2cm尺寸之上述評價基板的試驗片浸漬於60℃之洗淨液10g,並將處理後的試驗片以水潤洗。
[膜厚測定]
下層抗反射膜、氧化鋁膜、及Low-k膜之膜厚係藉由光學式膜厚計n&k1280(n&k Technology, Inc.製)進行測定。鈷之膜厚減少量係使用ICP-AES iCAP6300(Thermo Scientific製),從處理後之洗淨液中的金屬濃度算出。
(膜厚減少量[埃]=洗淨液中之金屬溶解量[g]×108 ÷密度[g/cm3 ]÷試驗片的表面積[cm2 ])
蝕刻速率[埃/min]係將膜厚減少量除以處理時間(氧化鋁膜為1分鐘,鈷膜為4分鐘,Low-k膜為5分鐘)來算出。
判定:
(評價I):乾蝕刻殘渣之除去性
藉由下層抗反射膜的除去時間(下層抗反射膜剝離並可目視到基底材之時間點的時間)進行判定。
G:未達15分鐘
A:15分鐘~未達30分鐘
P:30分鐘~60分鐘
(評價II):氧化鋁膜之除去性
藉由氧化鋁膜之蝕刻速率進行判定。
G:15~未達50埃/min
A1:10~未達15埃/min
A2:50~60埃/min
P1:未達10埃/min。
P2:超過60埃/min。
判定為G、A1及A2者則認定為合格。
(評價III):鈷之抗蝕性
以鈷膜之蝕刻速率進行判定。
G:未達1埃/min。
P:1埃/min以上。
判定為G則認定為合格。
(評價IV):Low-k膜之抗蝕性
以Low-k膜之蝕刻速率進行判定。
G:未達1埃/min。
P:1埃/min以上。
判定為G則認定為合格。
[pH]
洗淨液之pH以HORIBA製pH METER F-52進行測定。測定溫度設為25℃。
[黏度]
洗淨液之黏度以柴田科學製烏氏黏度計(Ubbelohde viscometer)進行測定。測定溫度設為20℃。
[實施例1~22及比較例1~9]
使用表1或表2所示之組成的洗淨液,處理上述評價基板並進行評價。表1或表2中之濃度的單位係表示質量%。評價IV係針對實施例1~22進行評價,全部皆為G判定。
【表1】 DGME:二乙二醇單甲醚(在20℃之黏度為4mPa・s)
DGMB:二乙二醇單丁醚(在20℃之黏度為7mPa・s)
TGME:三乙二醇單甲醚(在20℃之黏度為8mPa・s)
DPGME:二丙二醇單甲醚(在20℃之黏度為4mPa・s)
DMIB:N,N-二甲基異丁醯胺(在20℃之黏度為1mPa・s)
【表2】 甘油:(在20℃之黏度為1500mPa・s)
1‧‧‧乾蝕刻殘渣
2‧‧‧乾蝕刻阻擋層
3‧‧‧金屬配線層(鈷)
4‧‧‧層間絕緣膜
[圖1] 係展示除去乾蝕刻殘渣前之含有鈷的半導體基板的一例的概略剖面圖。

Claims (8)

  1. 一種洗淨液,含有胺化合物(A)0.2~20質量%、水溶性有機溶劑(B)40~70質量%、及水; 該胺化合物(A)含有選自於由正丁胺、己胺、辛胺、1,4-丁二胺、二丁胺、3-胺基-1-丙醇、N,N-二乙基-1,3-二胺基丙烷、及雙(六亞甲基)三胺構成之群組中之1種以上,且該水溶性有機溶劑(B)於20℃之黏度為10mPa・s以下,且該洗淨液之pH為9.0~14之範圍。
  2. 如申請專利範圍第1項之洗淨液,其中,該胺化合物(A)之含量為0.2~4.0質量%。
  3. 如申請專利範圍第1或2項之洗淨液,其中,該水之含量為28~59質量%。
  4. 如申請專利範圍第1至3項中任一項之洗淨液,其中,該水溶性有機溶劑(B)含有選自於由二乙二醇單甲醚、二乙二醇單丁醚、三乙二醇單甲醚、二丙二醇單甲醚、及N,N-二甲基異丁醯胺構成之群組中之1種以上。
  5. 如申請專利範圍第1至4項中任一項之洗淨液,其中,該胺化合物(A)含有選自於由3-胺基-1-丙醇、N,N-二乙基-1,3-二胺基丙烷、及雙(六亞甲基)三胺構成之群組中之1種以上。
  6. 如申請專利範圍第1至5項中任一項之洗淨液,係用以除去乾蝕刻殘渣。
  7. 一種半導體基板之製造方法,包含下述步驟:使用如申請專利範圍第1至6項中任一項之洗淨液,將含有鈷之半導體基板予以洗淨。
  8. 如申請專利範圍第7項之半導體基板之製造方法,其中,該半導體基板包含:含有鈷之金屬配線層、層間絕緣膜、及含有氧化鋁之乾蝕刻阻擋層。
TW108108147A 2018-03-14 2019-03-12 用以去除乾蝕刻殘渣之洗淨液及使用此洗淨液之半導體基板之製造方法 TWI839349B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2018046290 2018-03-14
JP2018-046290 2018-03-14

Publications (2)

Publication Number Publication Date
TW201938783A true TW201938783A (zh) 2019-10-01
TWI839349B TWI839349B (zh) 2024-04-21

Family

ID=67907796

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108108147A TWI839349B (zh) 2018-03-14 2019-03-12 用以去除乾蝕刻殘渣之洗淨液及使用此洗淨液之半導體基板之製造方法

Country Status (8)

Country Link
US (1) US11441109B2 (zh)
EP (1) EP3767666B1 (zh)
JP (1) JP7306373B2 (zh)
KR (1) KR20200131805A (zh)
CN (1) CN111837218A (zh)
IL (1) IL277280B2 (zh)
TW (1) TWI839349B (zh)
WO (1) WO2019176652A1 (zh)

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3089089B2 (ja) 1992-04-06 2000-09-18 旭化成工業株式会社 洗浄剤組成物
US6599370B2 (en) * 2000-10-16 2003-07-29 Mallinckrodt Inc. Stabilized alkaline compositions for cleaning microelectronic substrates
US20040256358A1 (en) * 2001-11-02 2004-12-23 Hidetaka Shimizu Method for releasing resist
JP4035701B2 (ja) 2002-03-12 2008-01-23 三菱瓦斯化学株式会社 レジスト剥離剤及びその使用方法
KR101017738B1 (ko) * 2002-03-12 2011-02-28 미츠비시 가스 가가쿠 가부시키가이샤 포토레지스트 박리제 조성물 및 세정 조성물
JP4620680B2 (ja) * 2003-10-29 2011-01-26 マリンクロッド・ベイカー・インコーポレイテッド ハロゲン化金属の腐食阻害剤を含有するアルカリ性のプラズマエッチング/灰化後の残渣の除去剤およびフォトレジスト剥離組成物
US20120048295A1 (en) * 2009-03-11 2012-03-01 Fujifilm Electronic Materials U.S.A., Inc. Cleaning formulation for removing residues on surfaces
TWI583786B (zh) 2010-01-29 2017-05-21 恩特葛瑞斯股份有限公司 供附有金屬佈線之半導體用清洗劑
JP5858597B2 (ja) * 2010-01-29 2016-02-10 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド タングステン配線半導体用洗浄剤
JP5508130B2 (ja) 2010-05-14 2014-05-28 富士フイルム株式会社 洗浄組成物、半導体装置の製造方法及び洗浄方法
JP2013157516A (ja) * 2012-01-31 2013-08-15 Advanced Technology Materials Inc 銅配線半導体用洗浄剤
US9562211B2 (en) * 2013-12-06 2017-02-07 Fujifilm Electronic Materials U.S.A., Inc. Cleaning formulation for removing residues on surfaces
CN107078044B (zh) * 2014-11-13 2020-06-19 三菱瓦斯化学株式会社 抑制了钴的损伤的半导体元件的清洗液、和使用其的半导体元件的清洗方法
US10073351B2 (en) * 2014-12-23 2018-09-11 Versum Materials Us, Llc Semi-aqueous photoresist or semiconductor manufacturing residue stripping and cleaning composition with improved silicon passivation
US10301580B2 (en) 2014-12-30 2019-05-28 Versum Materials Us, Llc Stripping compositions having high WN/W etching selectivity
JP6480017B2 (ja) * 2016-01-05 2019-03-06 富士フイルム株式会社 処理液、基板の洗浄方法、及び、半導体デバイスの製造方法
WO2017208767A1 (ja) * 2016-06-03 2017-12-07 富士フイルム株式会社 処理液、基板洗浄方法およびレジストの除去方法
JP6951059B2 (ja) 2016-08-01 2021-10-20 花王株式会社 スクリーン版用洗浄剤組成物

Also Published As

Publication number Publication date
WO2019176652A1 (ja) 2019-09-19
JPWO2019176652A1 (ja) 2021-03-11
JP7306373B2 (ja) 2023-07-11
EP3767666A4 (en) 2021-05-19
KR20200131805A (ko) 2020-11-24
EP3767666A1 (en) 2021-01-20
US11441109B2 (en) 2022-09-13
US20210047594A1 (en) 2021-02-18
EP3767666B1 (en) 2024-03-27
IL277280A (en) 2020-10-29
IL277280B1 (en) 2024-02-01
CN111837218A (zh) 2020-10-27
TWI839349B (zh) 2024-04-21
IL277280B2 (en) 2024-06-01

Similar Documents

Publication Publication Date Title
KR102266832B1 (ko) TiN 하드 마스크 및 에치 잔류물 제거
TWI659088B (zh) 蝕刻組成物
JP2020167418A (ja) 金属、誘電体および窒化物適合性を有する、反射防止コーティング洗浄およびエッチング後残留物除去組成物
KR20080072905A (ko) 표면에 저유전 물질이 있는 반도체 웨이퍼를 재생하기 위한조성물 및 방법
TWI434149B (zh) 洗淨用組成物、半導體元件之製法
CN110997643B (zh) 清洁组合物
CN111225965B (zh) 蚀刻组合物
EP3143117B1 (en) Tin pull-back and cleaning composition
JP2014132094A (ja) 酸性、有機溶媒ベースの多目的マイクロエレクトロニクス洗浄組成物
JP2008177540A (ja) 多孔性誘電材料の誘電特性をレストアする方法および組成物
KR101132084B1 (ko) 초저의 유전체 식각율을 갖는 세정 조성물
TW202128722A (zh) 用於製造半導體裝置期間之選擇性移除氮化矽之蝕刻組合物及方法
TWI839349B (zh) 用以去除乾蝕刻殘渣之洗淨液及使用此洗淨液之半導體基板之製造方法
JPWO2019167971A1 (ja) アルミナの保護液、保護方法及びこれを用いたアルミナ層を有する半導体基板の製造方法
TW202235683A (zh) 濕式蝕刻溶液及濕式蝕刻方法
CN109976110A (zh) 一种清洗液