TW201937543A - 多重圖案化基板之技術 - Google Patents

多重圖案化基板之技術 Download PDF

Info

Publication number
TW201937543A
TW201937543A TW107143609A TW107143609A TW201937543A TW 201937543 A TW201937543 A TW 201937543A TW 107143609 A TW107143609 A TW 107143609A TW 107143609 A TW107143609 A TW 107143609A TW 201937543 A TW201937543 A TW 201937543A
Authority
TW
Taiwan
Prior art keywords
layer
spin
metal layer
coated metal
plasma
Prior art date
Application number
TW107143609A
Other languages
English (en)
Other versions
TWI801459B (zh
Inventor
敏治 和田
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW201937543A publication Critical patent/TW201937543A/zh
Application granted granted Critical
Publication of TWI801459B publication Critical patent/TWI801459B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Abstract

揭示在基板處理中提供蝕刻選擇性的一種方法。更具體而言,對由不同材料所組成的複數暴露結構提供電漿處理。電漿處理會優選地增強暴露結構之其中至少兩者之間的蝕刻選擇性。在一實施例中,複數暴露結構用作多重圖案化基板處理之一部分。在一實施例中,暴露結構可包含有機平坦化層及旋塗金屬層。電漿處理可包含使用氮及氫氣體所形成之電漿、及來自此等電漿的真空紫外線(VUV)波長輻射之放射。

Description

多重圖案化基板之技術
本發明係關於基板(例如半導體基板)之處理。尤其,其提供新穎方法以改善基板之多重圖案化處理。 [相關申請案的交互參照]
本申請案關於且主張2017年12月08日提交的美國臨時專利申請案第62/596,669號的優先權,在此以參照方式將其全文引入。
隨著基板處理中之幾何持續縮小,在基板上形成結構之技術挑戰增加。該等挑戰特別係在微影及蝕刻處理領域中面臨到。用於實現越來越小的臨界尺寸之適當微影的一種技術涉及多重圖案化技術以提供節距分割(pitch splitting)。此等多重圖案化技術包含,例如,自對準雙重圖案化、自對準三重圖案化、及自對準四重圖案化。該等多重圖案化技術可涉及利用側壁間隔件、填充層、及選擇性蝕刻,以界定具有小於原始微影節距之節距的結構。
例如,在自對準雙重圖案化技術中,側壁間隔件係用以提高基板表面上結構密度。可透過公知的微影技術而在基板上形成心軸結構。接著可緊鄰心軸而形成側壁間隔件。移除原始圖案化心軸之步驟留下兩個側壁間隔件,因此針對每一心軸形成兩個結構。相似地,自對準三重及四重圖案化技術在以下方面係公知的:可緊鄰第一側壁間隔件而形成不同材料之額外的側壁間隔件。多重圖案化技術通常包含多重遮罩步驟的使用。第一步驟可稱為心軸遮罩,而額外的遮罩步驟可稱為塊體遮罩。在多重圖案化程序流程中的各個時點,以下者係公知的:可相對於其他暴露結構而選擇性地蝕刻各種暴露結構(心軸、第一側壁間隔件、第二側壁間隔件、平坦化層、旋塗層等)。在多重圖案化處理的各種材料之間具有高蝕刻選擇性的能力為實施多重圖案化處理的重要態樣。
因此,例如,如圖1A所示,顯示一例示性結構100,其可能係在基板處理製造程序流程之多重圖案化處理期間所遇到。如圖所示,提供基板105,且提供硬遮罩層110。在硬遮罩層110上方,形成複數多重圖案化處理結構。例如,提供側壁間隔件115、有機平坦化層120、及旋塗金屬層125。如熟習本技藝者所理解,在多重圖案化處理期間,可能需要相對於多重圖案化結構之其他者之一或多者而選擇性地蝕刻多重圖案化結構之其中一者。因此,例如,該多重圖案化處理可為需要相對於側壁間隔件115及旋塗金屬層125而蝕刻有機平坦化層120的處理。然而,吾人已發現蝕刻有機平坦化層120之步驟通常具有相對於旋塗金屬層125之蝕刻的低選擇性。具體而言,雖然旋塗金屬材料中的金屬之存在可使有機平坦化層與旋塗金屬層之間的蝕刻選擇性提升,但在旋塗金屬材料中包含有機物質會使選擇性降低。具體而言,通常為提供旋塗金屬層125之良好填充及平坦化性能所需之有機物質的量會導致不足的蝕刻選擇性。例如,圖1B顯示在蝕刻有機平坦化層120之後可見的例示性結果。如圖1B所示,有機平坦化層120已被完全蝕刻掉,然而,亦蝕刻掉旋塗金屬層125之顯著部分,如旋塗金屬層125之剩餘部分125A所示。因此,當接著進行硬遮罩層110之蝕刻時,如圖1C所示,在開放區域130(有機平坦化層120被移除之處)中之硬遮罩層110被移除。然而,旋塗金屬層125顯著變薄可能導致硬遮罩層110亦受到蝕刻,如變薄的硬遮罩區域140所示。硬遮罩變薄的程度可能過多以致於硬遮罩在硬遮罩蝕刻期間、或後續的硬遮罩下方膜層之蝕刻期間被完全移除,導致諸如多重圖案化處理中之圖案損壞及/或貫穿(punch-through)之缺陷。
以下係所期望的:提供改善暴露結構(例如多重圖案化處理的暴露結構)之間的蝕刻選擇性之基板處理技術。
本文所述者為在基板處理中提供蝕刻選擇性的一種創新方法。更具體而言,對由不同材料所組成的複數暴露結構提供電漿處理。電漿處理會優選地增強暴露結構之其中至少兩者之間的蝕刻選擇性。在一實施例中,複數暴露結構用作多重圖案化基板處理之一部分。在一實施例中,暴露結構可包含有機平坦化層及旋塗金屬層。電漿處理可包含使用氮及氫氣體所形成之電漿、及來自此等電漿的真空紫外線(VUV)波長輻射之放射。
在一實施例中,提供一種基板之處理方法。該方法可包含提供該基板、提供一旋塗金屬層、及提供一第二層,其中旋塗金屬層表面及第二層表面兩者皆為暴露的。該方法可更包含利用第一電漿處理對該旋塗金屬層表面及該第二層表面進行處理,該處理步驟使得該旋塗金屬層與該第二層之間的蝕刻選擇性提升。該方法亦可包含在該旋塗金屬層亦係暴露時對該第二層進行電漿蝕刻,該旋塗金屬層與該第二層之間的經提升之蝕刻選擇性使該旋塗金屬層在該第二層之電漿蝕刻期間被移除的量減少。
在另一實施例中,提供一種基板之多重圖案化處理方法。該方法可包含提供該基板、提供一旋塗金屬層、及提供一有機平坦化層。該方法可更包含利用第一電漿處理對該旋塗金屬層進行處理。該方法可更包含使該旋塗金屬層與該有機平坦化層兩者同時暴露於電漿蝕刻,該電漿蝕刻對該有機平坦化層進行蝕刻。利用該第一電漿處理對該旋塗金屬層進行處理之步驟改善該電漿蝕刻期間之該旋塗金屬層與該有機平坦化層之間的蝕刻選擇性俾因該第一電漿處理而使得較少的該旋塗金屬層在該電漿蝕刻期間被移除。
在又另一實施例中,提供一種基板之多重圖案化處理方法。該方法包含提供具有圖案化結構的該基板,該圖案化結構包含一氧化物層、一有機平坦化層、一旋塗金屬層、及一硬遮罩層。該方法亦可包含使用第一電漿處理以使該圖案化結構的至少該氧化物層、該有機平坦化層、及該旋塗金屬層暴露於包含氮及氫之氣體、且暴露於真空紫外線輻射,該第一電漿處理係用以改善該有機平坦化層與該旋塗金屬層之間的蝕刻選擇性。該方法可更包含執行一電漿蝕刻處理以蝕刻該有機平坦化層,其中該有機平坦化層、該氧化物層、及該旋塗金屬層皆係暴露於該電漿蝕刻處理,該電漿蝕刻處理相對於該氧化物層及該旋塗金屬層而選擇性地蝕刻該有機平坦化層,俾使圖案損壞及/或貫穿(punch-through)不會發生。
圖2A-2D提供利用本文所揭示之蝕刻技術的例示性程序流程。針對多重圖案化處理之一部分而描述該等技術,但應理解,該等技術並不限於多重圖案化程序流程。如圖2A所示,顯示一例示性結構100,其可能係在基板處理製造程序流程之多重圖案化處理期間所遇到。此例示性結構係與圖1A所示者一致。如圖2A所示,提供基板105。基板105可為需要使用圖案化特徵部的任何基板。例如,在一實施例中,基板105可為具有一或更多半導體處理層形成於其上的半導體基板。在一實施例中,基板105可為經受複數半導體處理步驟的基板,該等半導體處理步驟產生各種的結構及膜層,以上皆為基板處理領域中所公知。亦提供硬遮罩層110。硬遮罩層110可為矽層、矽氮化物層、或其組合。然而,應理解,硬遮罩層110可由以下者所形成:適合用作圖案化處理中之硬遮罩層的該領域中所公知之各種材料之任何其他者。
在硬遮罩層110的上方,形成複數多重圖案化處理之結構。例如,提供側壁間隔件115、有機平坦化層120、及旋塗金屬層125。再次說明,應理解,所示之特定多重圖案化結構僅為例示性的,且可使用許多其他多重圖案化結構及材料而仍獲得本文所述技術之優點。再者,當擁有本揭示內容之優點時,應理解,本文所述技術並不限於基板程序流程之多重圖案化程序步驟。相對地,可將所述之膜層處理及蝕刻技術應用於其他程序步驟,以上皆為熟習本技藝者在擁有本揭示內容之優點後所理解。
在一實施例中,側壁間隔件115可為氧化物側壁間隔件,例如矽氧化物。可利用其他側壁間隔件,例如(但不限於)矽氮化物、金屬氧化物等。在一實施例中,有機平坦化層120可為含有有機材料之各種膜層的任一層,例如有機材料及諸如環己酮、丙二醇甲醚(PGME)、及丙二醇甲醚醋酸酯(PGMEA)之材料的使用。可利用其他有機平坦化材料,例如(但不限於)化學氣相沉積之碳、非晶碳等。可以各種方式形成有機平坦化層,包括(但不限於)旋塗技術、電漿技術等。在一實施例中,旋塗金屬層125可為包含在溶劑(例如環己酮、PGME、或PGMEA)中的鈦金屬氧化物。可利用其他旋塗金屬,例如(但不限於)鋯氧化物(ZrOx)、錫氧化物(SnOx)、鋁氧化物(AlOx)等。
圖2A之例示性結構100可接著經受電漿處理,以將旋塗金屬層125之暴露表面改質。具體而言,電漿處理可將旋塗金屬層125之表面改質,使得有機平坦化層120與旋塗金屬層125之間的蝕刻選擇性增加。藉此方式,可使旋塗金屬層125之不樂見蝕刻(例如圖1B(先前技術)所示)減至最少。因此,如圖2B所示,可在使旋塗金屬層125暴露於電漿處理之後產生旋塗金屬層125之上層125C。接著,如圖2C所示,可發生有機平坦化層120之蝕刻。有機平坦化層120與旋塗金屬層125的上層125C之間的改良蝕刻選擇性提供圖2C所示之結果,其中旋塗金屬層實質上未被蝕刻。處理可接著進行至如圖2D所示之硬遮罩蝕刻步驟。如圖2D所示,將開放區域130(有機平坦化層120被移除之處)中的硬遮罩層110移除。然而,相比於先前技術,硬遮罩層110在對應於旋塗金屬層125之區域中仍受到保護。藉此方式,有機平坦化層120之圖案被轉移至硬遮罩層110。
如上所述,對由不同材料所組成的複數暴露結構提供電漿處理。電漿處理會優選地增強暴露結構之其中至少兩者之間的蝕刻選擇性。在一實施例中,複數暴露結構為用作多重圖案化基板處理之一部分的結構。在一實施例中,暴露結構可包含有機平坦化層及旋塗金屬層。應理解,本文所述技術可有利地使用於各種基板處理程序步驟中。例示性程序步驟包含多重圖案化程序步驟。然而,該等技術可用於其他程序步驟中,如熟習本技藝者所理解。
可利用各種電漿技術以調整暴露的多重圖案化結構之一者相對於另一(複數)結構之選擇性。本文描述一種此類技術,然而,應理解,可利用其他技術。例如,可利用將旋塗金屬層125之上表面暴露於包含氮氣及氫氣的電漿處理。此外,來自此等電漿的真空紫外線波長輻射之放射亦為旋塗金屬層125提供有利的改變,俾使對於有機平坦化層蝕刻具有增強抗性的旋塗金屬層125之上層125C可加以形成。在另一實施例中,可使旋塗金屬層125之上表面暴露於包含由含硫氣體及氧氣所組成之電漿的電漿處理。例如,該等氣體可為二氧化硫及氧。在又另一實施例中,可透過使用以下的二步驟電漿處理而將旋塗金屬層125改質:包含由氮及氫氣體所形成之電漿的第一步驟、及包含由二氧化硫及氧氣體所形成之電漿的第二步驟。例如,在此類處理中,可藉由氮及氫氣電漿、及此等電漿的真空紫外線(VUV)波長輻射之放射,而使旋塗金屬材料(例如鈦氧化物)緻密化,其引致一抗蝕刻上層。再者,可藉由氮及氫氣電漿以將旋塗金屬之碳材料移除,留下較富含金屬(例如鈦)的表面層。此外,由二氧化硫及氧氣體所形成之電漿步驟可提供進一步的選擇性改善。例如,二氧化硫及氧氣體之使用可透過沉積二氧化硫於旋塗金屬層上而調整對旋塗金屬層125的選擇性。其他機制亦可提供限制旋塗金屬層125蝕刻的改良選擇性,本文所述技術並不受限於特定機制。
在本文中一例示性電漿處理係較詳盡地加以描述,然而,可利用其他電漿處理且可改變特定變數(功率、壓力、氣體等),仍可獲得本文所述技術之效益。可利用具有10-100mT之壓力範圍、100-800 W之高頻功率範圍、及50-600 W之低頻功率範圍的一例示性電漿處理。電漿處理的第一步驟可有氮及氫之流動,其中氮流量通常係高於氫流量。例如,可利用每分鐘300標準立方公分(SCCM)的氮、及150 SCCM的氫。電漿處理的第二步驟可有二氧化硫及氧之流動,其中二氧化硫流量通常係高於氧流量。例如,可利用80 SCCM的二氧化硫及40 SCCM的氧。
透過利用旋塗金屬層125之額外電漿表面處理,提供對有機平坦化層蝕刻之蝕刻抵抗力的旋塗金屬層125之上層125C可加以形成。在一實施例中,在沒有使用額外的電漿表面處理之情況下,有機平坦化層與旋塗金屬層之間的蝕刻選擇性可為約1:1。一例示性蝕刻處理可為具有10-100mT之壓力範圍、100-800 W之高頻功率範圍、及50-600 W之低頻功率範圍的電漿蝕刻。可利用各種不同的氣體組合及流率。例如,處理氣體可為僅有O2 (100 SCCM)、僅有CO2 (100 SCCM)、CO2/Ar (100/300 SCCM)、O2/Ar (100/300 SCCM)、O2/He (100/300 SCCM)、CO2/He (100/300 SCCM)、或H2/Ar (200/200 SCCM)。藉由使用額外的電漿表面處理,可達成的蝕刻選擇性可為約至少2:1,在更佳的情況下可為至少4:1。因此,在一實施例中,有機平坦化層與旋塗金屬層兩者的厚度可皆為約介於59 nm至100 nm之間。例如,藉由使用額外的電漿表面處理,可完全移除50 nm之有機平坦化層,同時留下約至少25 nm的旋塗金屬層,在更佳的情況下留下至少40 nm的旋塗金屬層。藉此方式,旋塗金屬層之區域中的硬遮罩層可在硬遮罩層蝕刻期間受到保護。
因此,描述包含使旋塗金屬層受處理於第一電漿處理的一種技術。第一電漿處理使得在有機平坦化層之電漿蝕刻期間之旋塗金屬層與有機平坦化層之間的蝕刻選擇性提升。例如,第一電漿處理使得旋塗金屬層之上部區域緻密化。藉此方式,當使旋塗金屬層暴露於有機平坦化層之電漿蝕刻時,經提升之蝕刻選擇性使旋塗金屬層被移除的量減少。應理解,本文所述技術在多重圖案化基板處理期間係特別有用的,因為此類處理涉及各種膜層同時暴露於電漿蝕刻處理,其依賴於各種膜層對於蝕刻的選擇性以提供最終的期望圖案。在一實施例中,處理旋塗金屬層之步驟包含在電漿處理期間使旋塗金屬層暴露於氮及氫氣體、及真空紫外線輻射。對於旋塗金屬層的改良選擇性使有機平坦化層及硬遮罩層能以如下方式經受蝕刻:僅於有機平坦化層區域中移除硬遮罩,而不會在其他區域中造成圖案損壞或貫穿(punch-through)。因此,可在硬遮罩層中形成硬遮罩圖案。在一實施例中,硬遮罩層中的硬遮罩圖案可具有等於或小於10 nm的節距。藉此方式,可產生非常小的幾何節距,其依賴於自對準多重圖案化處理之結構及相對於彼此而選擇性地蝕刻該等結構的能力。
雖然本文大體上針對用以處理旋塗金屬層之表面的第一電漿處理、及用以蝕刻有機平坦化層的第二電漿處理而加以描述,但應理解,針對第一電漿處理及第二電漿處理兩者,可利用單一的電漿處理。在此類情況下,電漿蝕刻及第一電漿處理(表面處理之電漿處理)係同時進行的。因此,有機平坦化層之電漿蝕刻係透過第一電漿處理(表面處理製程)而加以執行。藉此方式,可利用一步驟電漿處理。例如,由氮及氫氣體所形成之電漿可對旋塗金屬層之表面進行處理並且蝕刻有機平坦化層。同樣地,由二氧化硫及氧氣體所形成之電漿可對旋塗金屬層之表面進行處理並且蝕刻有機平坦化層。因此,可將第一及第二電漿處理結合成一個並行處理,或者第一及第二電漿處理可為循序執行的不同處理。
利用本文所述技術之例示性程序流程係提供於圖3-5中。應理解,該等程序流程僅為例示性的,且可以其他方式利用本文所述技術。再者,應理解,可將額外的步驟加入該等例示性程序流程中,而仍利用本文所述技術之有利效益。此外,熟習本技藝者將理解,可將該等程序流程的各種步驟一起執行、或結合執行、或以不同順序執行,因此,該等程序流程之每一步驟並不限於所示之各別的獨立程序步驟。
圖3顯示用於處理基板之方法。該方法的程序流程可包含步驟305,用以提供基板、提供旋塗金屬層、及提供第二層,其中旋塗金屬層表面及第二層表面兩者皆係暴露的。程序流程可接著進行至步驟310,以利用第一電漿處理對旋塗金屬層表面及第二層表面進行處理,該處理步驟使旋塗金屬層與第二層之間的蝕刻選擇性提升。程序流程可接著進行至步驟315,以利用第二電漿處理對第二層進行電漿蝕刻,同時亦將旋塗金屬層暴露於第二電漿處理,旋塗金屬層與第二層之間的經提升之蝕刻選擇性使旋塗金屬層在第二電漿處理期間被移除的量減少。
圖4顯示用於對基板進行多重圖案化處理之方法。該方法的程序流程可包含提供基板、提供旋塗金屬層、及提供有機平坦化層的步驟405。程序流程可接著進行至利用第一電漿處理對旋塗金屬層進行處理的步驟410。程序流程可接著進行至使旋塗金屬層及有機平坦化層兩者同時暴露於第二電漿處理的步驟415,第二電漿處理對有機平坦化層進行蝕刻,其中,利用第一電漿處理對旋塗金屬層進行處理之步驟改善第二電漿處理期間之旋塗金屬層與有機平坦化層之間的蝕刻選擇性,因此,歸因於第一電漿處理,較少的旋塗金屬層在第二電漿處理期間被移除。
圖5顯示用於對基板進行多重圖案化處理之方法。該方法的程序流程可包含提供具有圖案化結構之基板的步驟505,該圖案化結構包含氧化物層、有機平坦化層、旋塗金屬層、及硬遮罩層。程序流程可接著進行至步驟510,其使用第一電漿處理以使圖案化結構的至少氧化物層、有機平坦化層、及旋塗金屬層暴露於包含氮及氫之氣體、且暴露於真空紫外線輻射,第一電漿處理係用以改善有機平坦化層與旋塗金屬層之間的蝕刻選擇性。程序流程可接著進行至執行電漿蝕刻處理以蝕刻有機平坦化層的步驟515,其中有機平坦化層、氧化物層、及旋塗金屬層皆係暴露於電漿蝕刻處理,該電漿蝕刻處理相對於氧化物層及旋塗金屬層而選擇性地蝕刻有機平坦化層,俾使圖案損壞及/或貫穿(punch-through)不會發生。
雖然圖3-5之例示性程序流程針對用以處理旋塗金屬層表面的第一電漿處理、及用以蝕刻有機平坦化層的第二電漿處理而加以描述,但應理解,第一電漿處理及第二電漿處理可為如上述之單一的結合式電漿處理。在此類情況下,單一的電漿處理可對旋塗金屬層表面進行處理並且蝕刻有機平坦化層。
雖然已在多重圖案化處理之例示性實施例中描述本文所述概念,但應理解,可在基板處理的其他階段利用該等技術。因此,在需要改善對於旋塗金屬層之蝕刻選擇性的任何階段,可利用本文所述之額外電漿表面處理。藉此方式,熟習本技藝者將理解(在擁有本揭示內容之權益後),可在基板處理期間以各種方式利用所述技術。
應理解,本文所述之膜層及包含膜層的材料僅為例示性的。然而,可在沒有使用此類膜層之情況下利用其他材料及實施本文所述概念。再者,應理解,所示之各種處理層及結構可如熟習本技藝者所理解而與額外的中介處理層及塗層一同利用。因此,例如,可在多重圖案化結構與硬遮罩層110之間利用更多或更少材料、可在硬遮罩層110與基板105之間利用額外的膜層或塗層等等。因此,應理解,利用電漿表面處理而改善對於多重圖案化結構之蝕刻選擇性可以各種方式而加以完成。
基於此說明,本發明之進一步修改及替代性實施例對於熟習本技藝者係顯而易見的。此外,此說明應理解成僅為說明性的,且係為了教示熟習本技藝者實施本發明之方式。應理解,本文所顯示及描述之發明形式及方法係視為當前較佳實施例。等效技術可代替本文所顯示及描述的技術,且可獨立於其他特徵之使用而利用本發明的某些特徵,以上對於擁有本發明實施方式之權益後之熟習本技藝者係顯而易見的。
100‧‧‧結構
105‧‧‧基板
110‧‧‧硬遮罩層
115‧‧‧側壁間隔件
120‧‧‧有機平坦化層
125‧‧‧旋塗金屬層
125C‧‧‧上層
130‧‧‧開放區域
140‧‧‧硬遮罩區域
305‧‧‧步驟
310‧‧‧步驟
315‧‧‧步驟
405‧‧‧步驟
410‧‧‧步驟
415‧‧‧步驟
505‧‧‧步驟
510‧‧‧步驟
515‧‧‧步驟
透過結合附圖而參照以下說明,可獲得本發明及其優點之更完整理解,在附圖中相似的參考符號表示相似的特徵。然而,應注意,附圖僅顯示所揭示之概念的例示性實施例,且因此並非視為對範圍的限制,所揭示之概念可允許其他的等效實施例。
圖1A-1C顯示用於多重圖案化基板處理期間的例示性先前技術之結構及程序流程。
圖2A-2D顯示利用本文所述之蝕刻選擇性技術的一例示性程序流程。
圖3-5顯示利用本文所述技術之例示性方法的例示性步驟。

Claims (27)

  1. 一種基板之處理方法,該方法包含: 提供該基板; 提供一旋塗金屬層; 提供一第二層,其中旋塗金屬層表面及第二層表面兩者皆為暴露的; 利用第一電漿處理對該旋塗金屬層表面及該第二層表面進行處理,該處理步驟使得該旋塗金屬層與該第二層之間的蝕刻選擇性提升;以及 在該旋塗金屬層亦係暴露時對該第二層進行電漿蝕刻,該旋塗金屬層與該第二層之間的經提升之蝕刻選擇性使該旋塗金屬層在該第二層之電漿蝕刻期間被移除的量減少。
  2. 如申請專利範圍第1項之基板之處理方法,其中該第一電漿處理包含氮氣及氫氣之使用。
  3. 如申請專利範圍第2項之基板之處理方法,其中該第一電漿處理包含真空紫外線波長輻射之放射。
  4. 如申請專利範圍第3項之基板之處理方法,其中該第二層含有有機材料。
  5. 如申請專利範圍第4項之基板之處理方法,其中將該第二層之圖案轉移至在該第二層下方的硬遮罩層。
  6. 如申請專利範圍第5項之基板之處理方法,更包含一側壁間隔件,該側壁間隔件的表面係暴露於該第一電漿處理。
  7. 如申請專利範圍第3項之基板之處理方法,其中該第一電漿處理包含含硫氣體之使用。
  8. 如申請專利範圍第1項之基板之處理方法,其中該第一電漿處理包含含硫氣體之使用。
  9. 如申請專利範圍第1項之基板之處理方法,其中對該第二層進行電漿蝕刻之步驟係利用第二電漿處理而加以執行,該第一電漿處理與該第二電漿處理為不同的處理。
  10. 如申請專利範圍第1項之基板之處理方法,其中對該第二層進行電漿蝕刻之步驟係藉由該第一電漿處理而加以執行。
  11. 一種基板之多重圖案化處理方法,該方法包含: 提供該基板; 提供一旋塗金屬層; 提供一有機平坦化層; 利用第一電漿處理對該旋塗金屬層進行處理;以及 使該旋塗金屬層與該有機平坦化層兩者同時暴露於電漿蝕刻,該電漿蝕刻對該有機平坦化層進行蝕刻, 其中,利用該第一電漿處理對該旋塗金屬層進行處理之步驟改善該電漿蝕刻期間之該旋塗金屬層與該有機平坦化層之間的蝕刻選擇性俾因該第一電漿處理而使得較少的該旋塗金屬層在該電漿蝕刻期間被移除。
  12. 如申請專利範圍第11項之基板之多重圖案化處理方法,其中該電漿蝕刻與該第一電漿處理係同時進行的。
  13. 如申請專利範圍第11項之基板之多重圖案化處理方法,其中該電漿蝕刻與該第一電漿處理為不同的處理。
  14. 如申請專利範圍第13項之基板之多重圖案化處理方法,其中該第一電漿處理包含氮氣及氫氣之使用。
  15. 如申請專利範圍第14項之基板之多重圖案化處理方法,其中該第一電漿處理包含真空紫外線波長輻射之放射。
  16. 如申請專利範圍第14項之基板之多重圖案化處理方法,其中該第一電漿處理包含含硫氣體之使用。
  17. 如申請專利範圍第16項之基板之多重圖案化處理方法,其中該第一電漿處理為二步驟電漿處理,第一步驟包含氮氣及氫氣之使用,且第二步驟包含含硫氣體之使用。
  18. 如申請專利範圍第17項之基板之多重圖案化處理方法,其中該第二步驟包含二氧化硫氣體及氧氣之使用。
  19. 如申請專利範圍第13項之基板之多重圖案化處理方法,更包含提供一側壁間隔件,其中該側壁間隔件、該旋塗金屬層、及該有機平坦化層皆係同時暴露於該電漿蝕刻。
  20. 如申請專利範圍第19項之基板之多重圖案化處理方法,其中將該有機平坦化層之圖案轉移至一硬遮罩層,該硬遮罩層係在該側壁間隔件、該旋塗金屬層、及該有機平坦化層的下方。
  21. 如申請專利範圍第11項之基板之多重圖案化處理方法,其中該第一電漿處理使至少該旋塗金屬層之表面緻密化。
  22. 一種基板之多重圖案化處理方法,該方法包含: 提供具有圖案化結構的該基板,該圖案化結構包含一氧化物層、一有機平坦化層、一旋塗金屬層、及一硬遮罩層; 使用第一電漿處理以使該圖案化結構的至少該氧化物層、該有機平坦化層、及該旋塗金屬層暴露於包含氮及氫之氣體、且暴露於真空紫外線輻射,該第一電漿處理係用以改善該有機平坦化層與該旋塗金屬層之間的蝕刻選擇性;以及 執行一電漿蝕刻處理以蝕刻該有機平坦化層, 其中該有機平坦化層、該氧化物層、及該旋塗金屬層皆係暴露於該電漿蝕刻處理,該電漿蝕刻處理相對於該氧化物層及該旋塗金屬層而選擇性地蝕刻該有機平坦化層,俾使圖案損壞及/或貫穿(punch-through)不會發生。
  23. 如申請專利範圍第22項之基板之多重圖案化處理方法,更包含在該硬遮罩層中形成一硬遮罩圖案,該硬遮罩圖案具有等於或小於10 nm的節距。
  24. 如申請專利範圍第22項之基板之多重圖案化處理方法,其中該第一電漿處理包含含硫氣體之使用。
  25. 如申請專利範圍第24項之基板之多重圖案化處理方法,其中該第一電漿處理為二步驟電漿處理,第一步驟包含含有氮及氫之氣體之使用,且第二步驟包含含硫氣體之使用。
  26. 如申請專利範圍第25項之基板之多重圖案化處理方法,其中該第二步驟包含二氧化硫氣體及氧氣之使用。
  27. 如申請專利範圍第22項之基板之多重圖案化處理方法,其中該第一電漿處理使至少該旋塗金屬層之表面緻密化。
TW107143609A 2017-12-08 2018-12-05 多重圖案化基板之技術 TWI801459B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201762596669P 2017-12-08 2017-12-08
US62/596,669 2017-12-08

Publications (2)

Publication Number Publication Date
TW201937543A true TW201937543A (zh) 2019-09-16
TWI801459B TWI801459B (zh) 2023-05-11

Family

ID=66697221

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107143609A TWI801459B (zh) 2017-12-08 2018-12-05 多重圖案化基板之技術

Country Status (3)

Country Link
US (1) US11276572B2 (zh)
KR (1) KR20190068464A (zh)
TW (1) TWI801459B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10741392B2 (en) * 2017-11-28 2020-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor structure
KR20210103768A (ko) 2020-02-14 2021-08-24 한양대학교 산학협력단 식각 선택비의 조절에 의한 미세패턴의 형성방법

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4359487A (en) * 1980-07-11 1982-11-16 Exxon Research And Engineering Co. Method for applying an anti-reflection coating to a solar cell
US5053105A (en) * 1990-07-19 1991-10-01 Micron Technology, Inc. Process for creating an etch mask suitable for deep plasma etches employing self-aligned silicidation of a metal layer masked with a silicon dioxide template
US6270948B1 (en) * 1996-08-22 2001-08-07 Kabushiki Kaisha Toshiba Method of forming pattern
US20060099802A1 (en) * 2004-11-10 2006-05-11 Jing-Cheng Lin Diffusion barrier for damascene structures
US8110493B1 (en) * 2005-12-23 2012-02-07 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US7776509B2 (en) * 2007-02-06 2010-08-17 Canon Kabushiki Kaisha Photosensitive compound, photosensitive composition, resist pattern forming method, and device production process
JP5708521B2 (ja) * 2011-02-15 2015-04-30 信越化学工業株式会社 レジスト材料及びこれを用いたパターン形成方法
WO2012176728A1 (ja) * 2011-06-23 2012-12-27 旭化成株式会社 微細パタン形成用積層体及び微細パタン形成用積層体の製造方法
US20130267097A1 (en) * 2012-04-05 2013-10-10 Lam Research Corporation Method and apparatus for forming features with plasma pre-etch treatment on photoresist
US9315636B2 (en) * 2012-12-07 2016-04-19 Az Electronic Materials (Luxembourg) S.A.R.L. Stable metal compounds, their compositions and methods
US8987139B2 (en) * 2013-01-29 2015-03-24 Applied Materials, Inc. Method of patterning a low-k dielectric film
US9201305B2 (en) * 2013-06-28 2015-12-01 Az Electronic Materials (Luxembourg) S.A.R.L. Spin-on compositions of soluble metal oxide carboxylates and methods of their use
KR102167603B1 (ko) * 2014-01-06 2020-10-19 삼성전자주식회사 배선 구조물 형성 방법 및 반도체 장치의 제조 방법
US9281212B1 (en) * 2014-10-17 2016-03-08 International Business Machines Corporation Dielectric tone inversion materials
EP3035120B1 (en) * 2014-12-19 2017-09-27 IMEC vzw Decreasing the critical dimensions in integrated circuits
US9368350B1 (en) * 2015-06-23 2016-06-14 International Business Machines Corporation Tone inverted directed self-assembly (DSA) fin patterning
US10121699B2 (en) * 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10566185B2 (en) * 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10163797B2 (en) * 2015-10-09 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Forming interlayer dielectric material by spin-on metal oxide deposition
KR102403736B1 (ko) * 2015-11-02 2022-05-30 삼성전자주식회사 반도체 소자 및 그 반도체 소자의 제조 방법
WO2017096094A1 (en) * 2015-12-01 2017-06-08 Silicium Energy, Inc. Thermoelectric devices and systems
JP6928764B2 (ja) * 2016-01-28 2021-09-01 東京エレクトロン株式会社 金属酸化物のスピンオン堆積の方法
US10307769B2 (en) * 2016-05-16 2019-06-04 The Royal Institution For The Advancement Of Learning/Mcgill University Methods and systems relating to dielectrophoretic manipulation of molecules
US10629435B2 (en) * 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
DE112016007483T5 (de) * 2016-12-31 2019-08-22 Intel Corporation Gehärteter Stecker für verbesserte Kurzschlussmarge
US10546748B2 (en) * 2017-02-17 2020-01-28 Lam Research Corporation Tin oxide films in semiconductor device manufacturing
US20190123035A1 (en) * 2017-10-19 2019-04-25 Samsung Electronics Co., Ltd. Method of performing die-based heterogeneous integration and devices including integrated dies
US11289583B2 (en) * 2018-09-28 2022-03-29 Taiwan Semiconductor Manufacturing Co., Ltd. High aspect ratio gate structure formation

Also Published As

Publication number Publication date
TWI801459B (zh) 2023-05-11
KR20190068464A (ko) 2019-06-18
US11276572B2 (en) 2022-03-15
US20190181005A1 (en) 2019-06-13

Similar Documents

Publication Publication Date Title
KR101691717B1 (ko) 다중 막층을 갖는 스페이서를 형성하기 위한 에칭 방법
US10049892B2 (en) Method for processing photoresist materials and structures
US9892933B2 (en) Lithography using multilayer spacer for reduced spacer footing
US8592318B2 (en) Pitch reduction using oxide spacer
WO2012128843A2 (en) Improved sidewall image transfer process
TW201220004A (en) Mask pattern forming method, fine pattern forming method, and film deposition apparatus
US20120220132A1 (en) Semiconductor device manufacturing method
TWI784176B (zh) 用以增進極紫外光(euv)蝕刻抗性的保護性覆蓋物之選擇性原子層沉積(ald)
TW201841221A (zh) 用以降低微影瑕疵之方法與圖案轉移之方法
US20180323061A1 (en) Self-Aligned Triple Patterning Process Utilizing Organic Spacers
JP2009094279A (ja) ホールパターンの形成方法および半導体装置の製造方法
JP2007300125A (ja) 半導体素子の微細パターンの形成方法
JP2021534575A (ja) ルテニウムハードマスクプロセス
TWI801459B (zh) 多重圖案化基板之技術
EP1529308A1 (en) Method and compositions for hardening photoresist in etching processes
JP2005045053A (ja) 半導体装置の製造方法
US20050003310A1 (en) Etching process including plasma pretreatment for generating fluorine-free carbon-containing polymer on a photoresist pattern
US20150357196A1 (en) Reducing Defects in Patterning Processes
US6946400B2 (en) Patterning method for fabricating integrated circuit
TWI777063B (zh) 設計成使線寬粗糙度及線邊緣粗糙度最小化的臨界尺寸修整方法
TW201246296A (en) Pattern forming method
JP2016046373A (ja) 半導体装置の製造方法及び半導体装置
TW202201484A (zh) 在微電子工件的處理中euv逆圖案化的方法
CN111415860A (zh) 用于对基底进行多重图案化的方法
CN102445838A (zh) 重新形成光刻胶图形的方法