TW201932638A - 用於ald膜特性校正及可調性的多區支座 - Google Patents

用於ald膜特性校正及可調性的多區支座 Download PDF

Info

Publication number
TW201932638A
TW201932638A TW107140760A TW107140760A TW201932638A TW 201932638 A TW201932638 A TW 201932638A TW 107140760 A TW107140760 A TW 107140760A TW 107140760 A TW107140760 A TW 107140760A TW 201932638 A TW201932638 A TW 201932638A
Authority
TW
Taiwan
Prior art keywords
substrate
processing system
outer edge
substrate processing
substrate support
Prior art date
Application number
TW107140760A
Other languages
English (en)
Other versions
TWI818933B (zh
Inventor
麥可 菲利浦 羅伯茨
拉密許 謙德拉瑟哈蘭
普爾基特 艾嘉沃
亞倫 賓漢
阿希什 沙烏拉布
拉维 庫馬爾
珍妮佛 莉 派翠利亞
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201932638A publication Critical patent/TW201932638A/zh
Application granted granted Critical
Publication of TWI818933B publication Critical patent/TWI818933B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Physical Vapour Deposition (AREA)
  • Rear-View Mirror Devices That Are Mounted On The Exterior Of The Vehicle (AREA)

Abstract

一種配置成於基板上進行沉積製程之基板處理系統包括︰一基板支撐座,其包括複數區,及複數電阻加熱器,其佈設於整個該複數區。該複數電阻加熱器包括獨立可控電阻加熱器,其佈設於該複數區之各別區中。一控制器配置成於該沉積製程期間控制該複數電阻加熱器,以選擇性地調整該複數區內的溫度。

Description

用於ALD膜特性校正及可調性的多區支座
[相關申請案之相互引用]本申請主張2017年11月17日申請之美國臨時申請案第62/587,943號及2017年12月21日申請之美國臨時申請案第62/609,077號的優先權。上述引用的申請案全部揭露內容皆併於此作為參考。
本發明係關於一種用於ALD基板處理腔室之溫度可調支座。
本文中所提供的背景描述係以總括地呈現本發明內容為目的。在本背景段落中所描述之目前所列名之發明人的工作成果,以及在提出申請時不得以其他方式適格作為習知技術的說明的實施態樣,並未明示或默示地被承認為是相對於本發明之習知技術。
基板處理系統可用於處理基板,如半導體晶圓。基板處理舉例包括蝕刻、沉積、光阻移除等。於處理期間,基板係設於基板支撐座(如靜電吸盤)上,並可導入一或更多處理氣體至處理腔室中。
可藉由氣體輸送系統,將該一或更多處理氣體輸送至處理腔室。於一些系統中,該氣體輸送系統包括一歧管,其經由一或更多導管連接至位於處理腔室中之噴淋頭。於一些實例中,製程係利用原子層沉積(ALD),以沉積薄膜於基板上。
一種配置成於基板上進行沉積製程之基板處理系統包括︰一基板支撐座,其包括複數區,及複數電阻加熱器,其佈設於整個該複數區。該複數電阻加熱器包括獨立可控電阻加熱器,其佈設於該複數區之各別區中。一控制器配置成於該沉積製程期間控制該複數電阻加熱器,以選擇性地調整該複數區內的溫度。
於其他特徵中,該沉積製程為原子層沉積(ALD)製程,且該基板支撐座為ALD支座。該複數區包括一中心區、於該中心區之徑向外側的至少一中間區、及於該至少一中間區之徑向外側的至少一外邊緣區。該至少一外邊緣區包括鄰近於該至少一中間區之一第一外邊緣區以及於該第一外邊緣區之徑向外側的一第二外邊緣區。該至少一外邊緣區延伸於該基板之一外邊緣的徑向外側。
於其他特徵中,該至少一中間區包括第一複數方位角區段。該至少一外邊緣區包括第二複數區段,其於方位角方向上偏離該第一複數區段。該第二複數區段偏離該第一複數區段45度。該基板支撐座包括一加熱器層,且該複數電阻加熱器嵌置於該基板支撐座之一上層下方的該加熱器層內。該加熱器層之至少一部份係設於該基板之一邊緣的徑向外側。
一種用於基板處理系統之基板支撐座,該基板處理系統配置成於一基板上進行沉積製程,該基板支撐座包括一底板、複數區、及設於該底板上之一加熱器層。該加熱器層包括複數電阻加熱器,其佈設於整個該複數區。該複數電阻加熱器包括獨立可控電阻加熱器,其佈設於該複數區之各別區中。該複數區包括一中心區、於該中心區之徑向外側的至少一中間區、及於該至少一中間區之徑向外側的至少一外邊緣區。該至少一外邊緣區延伸於該基板之一外邊緣的徑向外側。
於其他特徵中,該沉積製程為原子層沉積(ALD)製程,且該基板支撐座為ALD支座。該至少一外邊緣區包括鄰近於該至少一中間區之一第一外邊緣區以及於該第一外邊緣區之徑向外側的一第二外邊緣區。該至少一中間區包括第一複數方位角區段。該至少一外邊緣區包括第二複數方位角區段,其於方位角方向上偏離該第一複數方位區段。該第二複數方位角區段偏離該第一複數方位角區段45度。該加熱器層之至少一部份係設於該底板之一階梯狀部分上。只有該基板之一外邊緣接觸該基板支撐座之一上表面。該基板設於該基板支撐座之最小接觸面積特徵上。
一種用於基板處理系統之基板支撐座製作方法,其中該基板處理系統配置成於一基板上進行沉積製程,該方法包括:對一上板進行機械加工,以於該上板內形成一凹穴,並形成一加熱器層於該凹穴內。形成該加熱器層包括接合該加熱器層於該凹穴之一上壁上,且該加熱器層包括複數區,每一該複數區包括獨立可控電阻加熱器。該方法更包括:將一底板佈設於該凹穴內。該底板係利用一接合材接合於該凹穴內,且該上板將該加熱器層及該接合材包封於一法拉第籠內。
從實施方式、申請專利範圍及圖式,可使本發明之進一步可應用領域變得更加清楚。詳細說明及具體實例僅為了說明目的,而非用於限制本發明之範疇。
於膜沉積製程中,如原子層沉積(ALD),沉積膜的各種特性係於空間(即水平面之x-y座標)分佈上變化。例如,基板處理工具可基於膜厚度不均勻性 (NU)而有各別的規格,NU可在半導體基板表面上預定位置處所進行之量測組合的全範圍、半範圍及/或標準差來測定。於一些實例中,可透過如解決NU的直接導因及/或引入抵銷NU來補償及消除現有NU,以降低NU。於其他實例中,可故意非均勻地沉積及/或移除材料,以補償製程中其他(如先前或後續)步驟之已知不均勻性。於該些實例中,可計算並使用預定的不均勻性沉積/移除形態。
沉積成之ALD膜的各種性質可能受到沉積期間的基板溫度影響。基於本發明原理之系統及方法可配置成調整溫度分布,以降低厚度NU。例如,可將溫度分布調整至補償特定基板處理工具之已知NU(其可指形態補償),以產生用於特定製程期間之預定NU形態(其可指形態調整)等。
例如,於ALD製程(如沉積氧化物膜)期間,基板係設於基板支撐座(如ALD支座)上。一般而言,ALD支座包括單一區。根據本發明原理之ALD支座包括多區(如2至20或更多區)加熱器層。該加熱器層可嵌於支座之上層內。例如,加熱器層可包括聚醯亞胺及矽樹脂加熱器層,其至少部分地封置於鋁上層 (例如為配置成支撐/接觸設於基板支撐座上之基板的上層)中。於此實例中,鋁上層的佈設可用作為法拉第籠。於其他實例中,上層可為陶瓷層(如Al2 O3 、AlN等)。加熱器層之每一區係控制支座的各別區的溫度。該上層係佈設於支座的基部(如底板)上,而熱可由上層傳遞至可能被冷卻的底板。
區域的佈設(如數量、形狀、幾何形狀等)係用以補償ALD製程所導致的已知膜厚度NU。該些區域可包括,但不限於:具有不同寬度的兩個或更多徑向(即環形)區域;兩個或更多分段徑向區域(即包括多個區段/方位角區域的徑向區域);與基板邊緣相鄰及/或重疊之外徑向區域;以及佈設成用以調整承載環溫度(例如,透過調整,以控制/校正沉積及/或移除之徑向形態)之外徑向區域。於一實例中,該些區域包括十個區域,其包含一中心區、一內-中半徑區、四個外-中半徑區(即包括四個區段的外-中半徑區)、及四個外邊緣區(即包括四個區段的外邊緣區)。於一些實例中,該些徑向區域可包括多於四個區段(如八個或更多)。此外,相鄰徑向區之方位角區域可能不對齊。反之,一徑向區之方位角區域相對於相鄰徑向區可有不同的旋轉方位(即時鐘方位)。
現參考圖1A及1B,其顯示本發明中包含有一基板支撐座(如ALD支座)104之示例性基板處理系統100。該基板支撐座104係設於處理腔室108內。處理期間,基板112設置於基板支撐座104上。於一些實例中,基板支撐座104可配置成最少接觸基板112(如只有基板112外邊緣可接觸基板支撐座104之上表面,基板112可設於最小接觸面積(MCA)特徵上等)。於其他實例中,該基板支撐座104可配置成用以提供背側氣體夾持。
氣體輸送系統120包括氣體源122-1、122-2、…及122-N(統稱為氣體源122),其連接至閥124-1、124-2、…及124-N(統稱為閥124)及質流控制器126-1、126-2、…及126-N(統稱為MFC 126)。該些MFC 126控制氣體從氣體源122流至歧管128(氣體於此處混合)。歧管128的輸出係藉由選擇性的壓力調節件132,供應至歧管136。歧管136的輸出係輸入至多噴射器噴淋頭140。雖然繪出歧管128及136,但可以使用單個歧管。
基板支撐座104包括複數區。如圖所示,基板支撐座104包括一中心區144、一內-中半徑區148、四個外-中半徑區(即包括四區段152-1、152-2、152-3及152-4的外-中半徑區152)、及四個外邊緣區(即包括四區段156-1、156-2、156-3及156-4的外邊緣區156)。外邊緣區156之區段偏離外-中半徑區152之區段(即,相對於外-中半徑區152之區段旋轉例如45°)。於一些實例中,基板支撐座104可包括第二外邊緣區158,其於外邊緣區156之徑向外側。例如,第二外邊緣區158之內徑可大於基板112直徑。可透過使用佈設於各別區域的獨立可控電阻加熱器160,以控制基板支撐座104之溫度,如下更詳細所述。
於一些實例中,外邊緣區156覆蓋及/或延伸超出(即,沿徑向方向)基板112之外邊緣。例如,以300 mm基板而言,外邊緣區156之半徑可大於300 mm。此外,外邊緣區156之寬度(即,內徑至外徑之距離)小於內-中半徑區148及外-中半徑區152之寬度。例如,外邊緣區156之寬度可接近10 mm(如+/- 2 mm),而內-中半徑區148及外-中半徑區152之各別寬度可接近40 mm(如+/- 2 mm)。外邊緣區156的相對窄寬度有利於對基板112外邊緣處進行微調。
於一些實例中,基板支撐座104可包括冷卻劑通道164。冷卻流體從流體貯器168及幫浦170供應至冷卻劑通道164。壓力感測器172、174可分別佈設於歧管128或歧管136內,以量測壓力。閥178及幫浦180可用於從處理腔室108排出反應物及/或控制處理腔室108內的壓力。
控制器182包括劑量控制器184,其控制由多噴射器噴淋頭140提供之劑量。控制器182亦控制來自氣體輸送系統120之氣體輸送。控制器182利用閥178及幫浦180,控制處理腔室中的壓力及/或反應物的排出。控制器182基於溫度反饋(例如,來自基板支撐座內的感測器(圖未示)及/或感測冷卻劑溫度之感測器(圖未示)),控制基板支撐座104及基板112之溫度。
現參考圖2A、2B及2C,其顯示不同製程之示例性沉積厚度NU形態。例如,如圖2A所示,厚度NU通常為徑向(例如,NU通常可取決於離基板中心的距離,據此,於區域200、202、204、206、208及210中有不同NU)。於其他實例中,NU可呈徑向且方位角性(如於旋轉方向上)。例如,如圖2B所示,每一區域212、214、216及218可具有不同的NU範圍。於再其他實例中,NU可以僅在某些方向上為徑向性。例如,如圖2C所示,每一區域220、222、224、226及228可具有不同NU範圍。此外,於NU為徑向的實例中,NU可能於基板外邊緣處之窄區域中顯著增加。據此,兩個、三個或四個均勻的徑向加熱器區域可能無法補償所有可能的NU態樣。
區域的佈設可用於補償徑向及方位角上的厚度NU,並且補償基板之窄外邊緣區域處的NU。僅作為示例用,圖2D、2E及2F顯示其他示例性的區域佈設。於其他實例中,基板支撐座104可包括徑向且方位角區的其他佈設及組合。例如,基板支撐座104可包括較少(如兩個)或更多(如20或更多)區,且每一徑向區可分段成2至8或更多獨立可控的方位角區,以增加可調性。
對於已知的NU形態,可根據預定溫度控制曲線,控制區域溫度。例如,一或更多溫度控制曲線可被儲存(如儲存於控制器182及/或由控制器182存取的記憶體中)、由使用者輸入等。每一溫度控制曲線可能與預定NU形態相關(例如,對既定製程或配方、處理腔室等而言)。據此,於ALD製程期間,可各別控制及調整加熱器區域以補償沉積NU。溫度控制曲線係對應於基板支撐座之每一區的目標溫度,並且可根據既定基板支撐座之區域的預期溫度輸出作校正。於一些實例中,溫度控制曲線使膜特性(如厚度、沉積速率等)及/或區域溫度與一或更多加熱器區域控制參數(如工作週期、輸出百分比)相關聯。據此,可根據所欲的溫度分布、膜厚度及/或其他膜特性,取得預定溫度控制曲線,並基於所取得之溫度控制曲線中的加熱器區域控制參數,來控制加熱器區域。
各別加熱器區域的溫度可根據一或更多類型之反饋進行控制。於一實例中,每一區可包括一各別的溫度感測器。於另一實例中,可計算每一區的溫度。例如,可量測電阻加熱器之電壓及電流(例如,使用電壓及電流感測器),以測定電阻加熱器的電阻。由於電阻加熱器的電阻特性為已知,因此可基於相關溫度變化所導致的電阻變化,以算得各別區域的溫度。於一些實例中,可利用溫度感測器的組合及使用其他感測到或測得參數(如電壓及電流)的計算,來提供反饋。
現參考圖3A、3B、3C及3D,其顯示具有不同外加熱器區域位置之基板支撐座300的示例性加熱器區域配置。該基板支撐座300包括一底板304,其具有一嵌置的加熱器層308。於圖3A中,加熱器層308延伸至底板304的外邊緣。於圖3B中,一圓柱狀垂直向的加熱器區域312環繞底板304的外邊緣。例如,加熱器區域312可嵌置於矽樹脂接合材316或環繞底板304上部之其他材料中。於圖3C中,加熱器區域320係設於基板(設於基板支撐座300上)邊緣外之底板304的階梯部分上。例如,該加熱器區域320可設於配置於基板支撐座300上之聚焦環或邊緣環322下方,以圍繞基板。於圖3D中,外邊緣加熱器區域324係設於底板304外。
現參考圖4A、4B、4C及4D,其顯示基板支撐座400之示例性結構。於圖4A中,基板支撐座400之上板404(如鋁擴散板)被機械加工成包含有一凹穴408。如圖4B所示,加熱器層412係形成於凹穴408內。例如,加熱器層412係壓合於凹穴408的上壁上。如圖4C所示,底板416(如冷卻板)係設於凹穴408內。例如,底板416係使用接合材料,如矽樹脂接合材420,接合於凹穴408內。於圖4D中,上板404進行機械加工,以移除上板404的一部份,並形成具有所欲幾何形狀的上表面424。於此方式中,矽樹脂接合材420及底板416係位於上板404之大氣、射頻(RF)屏蔽側上。據此,矽樹脂接合材420、底板416、加熱器層412等可能包含有與處理腔室中使用之氟及其他材料不相容的材料。此外,於此實例中,上表面424及上板404可作為包封加熱器層412及矽樹脂接合材420之法拉第籠。
現參考圖5A、5B、5C及5D,其顯示基板支撐座500之其他示例性結構。於圖5A中,加熱器層504係設於下板508與上板512之間。屏蔽環516環繞加熱器層504,且保護O型環520環繞屏蔽環516。於圖5B中,保護膠帶524或其他材料圍繞屏蔽環516。於圖5C及5D中,下板508及上板512分別於528及532處焊接在一起。
於一些實例中,上述包含多區加熱器層之基板支撐座可用於調整心軸圖案及相關間隔層之蝕刻及沉積。例如,心軸及間隔層通常具有極薄形態。據此,更難以控制臨界尺寸,且相對小的製程NU可能導致顯著的臨界尺寸NU,如間隔件厚度NU。據此,根據本發明原理的多區加熱器層可用於補償各種製程NU,以改善間隔件厚度均勻性,且可控制溫度以調整基板表面上之特徵部的臨界尺寸(即,無論是否有製程NU)。例如,如果基板的不同部分需要不同的沉積厚度,則可獨立控制各別加熱器區域的溫度,以於基板上達到不同的沉積厚度。
前述說明在本質上係僅為說明性且絕非旨在限制本發明、其應用或用途。本發明之廣泛教示可以各種形式加以實現。 因此,雖然本發明包含特定示例,但本發明之真正範圍不應當侷限於此,因為研究圖式、說明書、和隨附申請範圍後,其他變化會變得顯而易見。應當理解,方法中的一或更多步驟可以不同的順序(或同時)執行,而不改變本發明內容的原理。此外,儘管各實施例在前文被描述為具有某些特徵,但參照本發明任一實施例而描述之一或更多該等特徵可在任何其他實施例中實施,及/或與任何其他實施例的特徵組合,即使並未明確地描述該組合。換言之,所描述的實施例並非係互相排斥的,且一或更多實施例之間互相的置換仍屬於本發明範疇。
元件之間(例如,模組、電路元件、半導體層等之間)空間及功能上的關係是使用各種用語來描述,包含「連接」、「嚙合」、「耦接」、「鄰近」、「接近」、「在頂部上」、「之上」、「之下」、及「設置」。除非明確地描述成「直接」,否則當於上述揭露內容中描述第一及第二元件間的關係時,該關係可為在第一及第二元件間不存在其他中間元件的直接關係,亦可為在第一及第二元件間存在一或更多中間元件(空間上、或功能上)的間接關係。如本文所用,用語A、B、和C之至少一者應理解為,表示使用非排他邏輯「或」之邏輯(A或B或C),不應理解為表示「A之至少一者、B之至少一者、及C之至少一者」。
在某些實施例中,控制器為系統之一部分,其可為上述實施例之一部分。此等系統可包括半導體處理設備,而半導體處理設備包含一處理工具或複數工具、一腔室或複數腔室、一處理平台或複數平台、及/或特定處理構件(晶圓座、氣流系統等)。該些系統可與電子設備結合,以控制半導體晶圓或基板處理前、處理期間及處理後之操作。此等電子設備可指「控制器」,其可控制該系統或複數系統之各種構件或次部件。決定於處理需求及/或系統類型,可將控制器程式化,以控制本文所揭示之任何製程,包括處理氣體之傳送、溫度設定(如加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、射頻匹配電路設定、頻率設定、流速設定、流體傳送設定、位置及操作設定、晶圓轉移(進出與特定系統相連接或相接合之工具及其他轉移工具、及/或裝載室)。
廣泛地講,控制器可定義為具有用以接收指令、發佈指令、控制操作、啟動清洗操作、啟動終點量測以及類似者之各種積體電路、邏輯、記憶體、及/或軟體的電子設備。積體電路可包含:儲存程式指令之韌體形式的晶片、數位訊號處理器(DSP,digital signal processor)、定義為特殊應用積體電路(ASIC,application specific integrated circuit)的晶片、及/或一或更多微處理器、或執行程式指令(例如,軟體)的微控制器。程式指令可為以不同的單獨設定(或程式檔案)之形式而傳送至控制器的指令,該單獨設定(或程式檔案)定義操作參數,以在半導體晶圓上、或針對半導體晶圓、或對系統實施特定的製程。在一些具體實施例中,操作參數可為由製程工程師為了在一或更多以下者的製造期間實現一或更多處理步驟而定義之配方的一部分:層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓的晶粒。
在一些實施例中,控制器可為電腦的一部分或耦接至電腦,該電腦係與系統整合、耦接至系統、以其他網路的方式接至系統、或其組合。舉例而言,控制器可在能容許遠端存取晶圓處理之「雲端」或廠房主機電腦系統的全部、或部分中。電腦可使系統能夠遠端存取,以監控製造操作的目前進度、檢查過去製造操作的歷史、自複數的製造操作而檢查其趨勢或效能度量,以改變目前處理的參數、設定目前處理之後的處理步驟、或開始新的製程。在一些實施例中,遠端電腦(例如,伺服器)可通過網路而提供製程配方至系統,該網路可包含局域網路或網際網路。遠端電腦可包含能夠進行參數及/或設定輸入或程式設計之使用者介面,接著該參數及/或設定可自遠端電腦傳送至系統。在一些實施例中,控制器接收數據形式指令,該指令為即將於一或更多操作期間進行之每一處理步驟指定參數。應當理解,參數可特定針對待執行之製程類型、及控制器與之接合或加以控制之工具類型。因此,如上所述,控制器可為分散式,例如藉由包含以網路方式接在一起、且朝向共同目的(例如,本文所描述之製程及控制)運作之一或更多分離的控制器。用於此目的之分散式控制器舉例為,腔室上與位於遠端的一或更多積體電路(例如,於平臺水平處、或作為遠端電腦的一部分)進行通訊的一或更多積體電路,兩者相結合以控制腔室上的製程。
示例性系統可包含,但不限於,電漿蝕刻腔室或模組、沉積腔室或模組、旋轉清洗腔室或模組、金屬電鍍腔室或模組、清洗腔室或模組、斜角緣部蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、軌道腔室或模組、及可在半導體晶圓的製造及/或加工中相關聯的、或使用的任何其他半導體處理系統。
如上所述,取決於待藉由工具而執行之製程步驟或複數步驟,控制器可與半導體製造工廠中的一或更多以下者進行通訊:其他工具電路或模組、其他工具元件、叢集工具、其他工具介面、鄰近的工具、相鄰的工具、遍及工廠而分布的工具、主電腦、另一控制器、或材料輸送中使用之工具,該材料輸送中使用之工具攜帶晶圓容器往返工具位置及/或裝載埠。
100‧‧‧基板處理系統
104‧‧‧基板支撐座
108‧‧‧處理腔室
112‧‧‧基板
120‧‧‧氣體輸送系統
122、122-1、122-2、122-N‧‧‧氣體源
124、124-1、124-2、124-N‧‧‧閥
126、126-1、126-2、126-N‧‧‧質流控制器
128、136‧‧‧歧管
132‧‧‧壓力調節件
140‧‧‧多噴射器噴淋頭
144‧‧‧中心區
148‧‧‧內-中半徑區
152‧‧‧外-中半徑區
152-1、152-2、152-3、152-4、156-1、156-2、156-3、156-4‧‧‧區段
156‧‧‧外邊緣區
158‧‧‧第二外邊緣區
160‧‧‧電阻加熱器
164‧‧‧冷卻劑通道
168‧‧‧流體貯器
170、180‧‧‧幫浦
172、174‧‧‧壓力感測器
178‧‧‧閥
182‧‧‧控制器
184‧‧‧劑量控制器
200、202、204、206、208、210‧‧‧區域
212、214、216、218‧‧‧區域
220、222、224、226、228‧‧‧區域
300‧‧‧基板支撐座
304‧‧‧底板
308‧‧‧加熱器層
312、320、324‧‧‧加熱器區域
316‧‧‧矽樹脂接合材
322‧‧‧聚焦環或邊緣環
400‧‧‧基板支撐座
404‧‧‧上板
408‧‧‧凹穴
412‧‧‧加熱器層
416‧‧‧底板
420‧‧‧矽樹脂接合材
424‧‧‧上表面
500‧‧‧基板支撐座
504‧‧‧加熱器層
508‧‧‧下板
512‧‧‧上板
516‧‧‧屏蔽環
520‧‧‧保護O型環
524‧‧‧保護膠帶
528、532‧‧‧焊接處
藉由詳細說明及隨附圖式,可變得更加透徹了解本發明,其中:
圖1A為本發明中包括多噴射器噴淋頭之示例性基板處理系統的功能方塊圖;
圖1B顯示本發明之基板支撐座的加熱器區域;
圖2A-2C顯示本發明之示例性沉積厚度不均勻性形態;
圖2D、2E及2F顯示本發明之其他示例性加熱器區域的佈設;
圖3A、3B、3C及3D顯示本發明之示例性加熱器區域的配置;
圖4A、4B、4C及4D顯示本發明之基板支撐座的示例性結構;且
圖5A、5B、5C及5D顯示本發明之基板支撐座的其他示例性結構。
於圖式中,可重複使用元件符號,以代表相似及/或相同的元件。

Claims (19)

  1. 一種基板處理系統,其配置成於一基板上進行沉積製程,該基板處理系統包括: 一基板支撐座,其包括: 複數區,及 複數電阻加熱器,其佈設於整個該複數區,其中該複數電阻加熱器包括複數獨立可控電阻加熱器,其佈設於該複數區之各別區中;以及 一控制器,其配置成於該沉積製程期間控制該複數電阻加熱器,以選擇性地調整該複數區內的溫度。
  2. 如申請專利範圍第1項所述之基板處理系統,其中該沉積製程為原子層沉積(ALD)製程,且該基板支撐座為ALD支座。
  3. 如申請專利範圍第1項所述之基板處理系統,其中該複數區包括一中心區、於該中心區之徑向外側的至少一中間區、以及於該至少一中間區之徑向外側的至少一外邊緣區。
  4. 如申請專利範圍第3項所述之基板處理系統,其中該至少一外邊緣區包括鄰近於該至少一中間區之一第一外邊緣區以及於該第一外邊緣區之徑向外側的一第二外邊緣區。
  5. 如申請專利範圍第3項所述之基板處理系統,其中該至少一外邊緣區延伸於該基板之一外邊緣的徑向外側。
  6. 如申請專利範圍第3項所述之基板處理系統,其中該至少一中間區包括第一複數方位角區段。
  7. 如申請專利範圍第6項所述之基板處理系統,其中該至少一外邊緣區包括第二複數區段,其於方位角方向上偏離該第一複數區段。
  8. 如申請專利範圍第7項所述之基板處理系統,其中該第二複數區段偏離該第一複數區段45度。
  9. 如申請專利範圍第1項所述之基板處理系統,其中該基板支撐座包括一加熱器層,且該複數電阻加熱器嵌置於該基板支撐座之一上層下方的該加熱器層內。
  10. 如申請專利範圍第9項所述之基板處理系統,其中該加熱器層之至少一部份係設於該基板之一邊緣的徑向外側。
  11. 一種用於基板處理系統之基板支撐座,該基板處理系統配置成於一基板上進行沉積製程,該基板支撐座包括: 一底板; 複數區;以及 一加熱器層,其設於該底板上,其中該加熱器層包括複數電阻加熱器,其佈設於整個該複數區,其中該複數電阻加熱器包括複數獨立可控電阻加熱器,其佈設於該複數區之各別區中, 其中該複數區包括一中心區、於該中心區之徑向外側的至少一中間區、及於該至少一中間區之徑向外側的至少一外邊緣區,且 其中該至少一外邊緣區延伸於該基板之一外邊緣的徑向外側。
  12. 如申請專利範圍第11項所述之用於基板處理系統之基板支撐座,其中該沉積製程為原子層沉積(ALD)製程,且該基板支撐座為ALD支座。
  13. 如申請專利範圍第11項所述之用於基板處理系統之基板支撐座,其中該至少一外邊緣區包括鄰近於該至少一中間區之一第一外邊緣區以及於該第一外邊緣區之徑向外側的一第二外邊緣區。
  14. 如申請專利範圍第11項所述之用於基板處理系統之基板支撐座,其中該至少一中間區包括第一複數方位角區段。
  15. 如申請專利範圍第14項所述之用於基板處理系統之基板支撐座,其中該至少一外邊緣區包括第二複數方位角區段,其於方位角方向上偏離該第一複數方位角區段。
  16. 如申請專利範圍第15項所述之用於基板處理系統之基板支撐座,其中該第二複數方位角區段偏離該第一複數方位角區段45度。
  17. 如申請專利範圍第11項所述之用於基板處理系統之基板支撐座,其中該加熱器層之至少一部份係設於該底板之一階梯狀部分上。
  18. 如申請專利範圍第11項所述之用於基板處理系統之基板支撐座,其中符合下述之至少一條件:(i)只有該基板之一外邊緣接觸該基板支撐座之一上表面及(ii)該基板設於該基板支撐座之最小接觸面積特徵上。
  19. 一種用於基板處理系統之基板支撐座之製作方法,其中該基板處理系統配置成於一基板上進行沉積製程,該方法包括: 對一上板進行機械加工,以於該上板內形成一凹穴; 形成一加熱器層於該凹穴內,其中形成該加熱器層包括接合該加熱器層於該凹穴之一上壁上,且其中該加熱器層包括複數區,每一該複數區包括一獨立可控電阻加熱器;以及 將一底板佈設於該凹穴內,其中該底板係利用一接合材接合於該凹穴內,且其中該上板將該加熱器層及該接合材包封於一法拉第籠內。
TW107140760A 2017-11-17 2018-11-16 用於ald膜特性校正及可調性的多區支座 TWI818933B (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201762587943P 2017-11-17 2017-11-17
US62/587,943 2017-11-17
US201762609077P 2017-12-21 2017-12-21
US62/609,077 2017-12-21
US16/192,425 US11236422B2 (en) 2017-11-17 2018-11-15 Multi zone substrate support for ALD film property correction and tunability
US16/192,425 2018-11-15

Publications (2)

Publication Number Publication Date
TW201932638A true TW201932638A (zh) 2019-08-16
TWI818933B TWI818933B (zh) 2023-10-21

Family

ID=66532205

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107140760A TWI818933B (zh) 2017-11-17 2018-11-16 用於ald膜特性校正及可調性的多區支座

Country Status (7)

Country Link
US (1) US11236422B2 (zh)
JP (1) JP7335243B2 (zh)
KR (1) KR20200076758A (zh)
CN (1) CN111357094A (zh)
SG (1) SG11202004494RA (zh)
TW (1) TWI818933B (zh)
WO (1) WO2019099843A1 (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11908715B2 (en) 2018-07-05 2024-02-20 Lam Research Corporation Dynamic temperature control of substrate support in substrate processing system
US11183400B2 (en) 2018-08-08 2021-11-23 Lam Research Corporation Progressive heating of components of substrate processing systems using TCR element-based heaters
KR20220006952A (ko) * 2020-07-09 2022-01-18 삼성전자주식회사 플라즈마 처리 장치 및 이를 이용한 반도체 소자 제조방법
US20220170159A1 (en) * 2020-12-01 2022-06-02 Applied Materials, Inc. Multi-zone heater tuning in substrate heater
US20230011261A1 (en) * 2021-07-09 2023-01-12 Applied Materials, Inc. Multi-zone heater with minimum rf loss

Family Cites Families (72)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4780120A (en) 1987-07-06 1988-10-25 Owens-Corning Fiberglas Corporation Bushing balance controller and method of using same
US5126533A (en) * 1990-03-19 1992-06-30 Conductus, Inc. Substrate heater utilizing protective heat sinking means
US5071459A (en) 1990-05-30 1991-12-10 Ppg Industries, Inc. Bushing balance controller for multiple segment bushings
US5280422A (en) 1990-11-05 1994-01-18 Watlow/Winona, Inc. Method and apparatus for calibrating and controlling multiple heaters
JPH07153550A (ja) 1993-12-02 1995-06-16 Chubu Electric Power Co Inc 電気ヒ−タの温度制御方法
US5968379A (en) 1995-07-14 1999-10-19 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability and related methods
JPH09270384A (ja) 1996-03-29 1997-10-14 Nikon Corp 温度制御装置及び露光装置
JP3986598B2 (ja) 1996-10-08 2007-10-03 キヤノンアネルバ株式会社 基板温度制御機構
US6072163A (en) 1998-03-05 2000-06-06 Fsi International Inc. Combination bake/chill apparatus incorporating low thermal mass, thermally conductive bakeplate
JP2000235886A (ja) 1998-12-14 2000-08-29 Tokyo Electron Ltd 加熱手段の温度制御装置および温度制御方法
US6617553B2 (en) * 1999-05-19 2003-09-09 Applied Materials, Inc. Multi-zone resistive heater
JP2000339039A (ja) 1999-05-25 2000-12-08 Tokyo Electron Ltd 加熱手段の温度制御方法、その装置及び熱処理装置
JP4209057B2 (ja) 1999-12-01 2009-01-14 東京エレクトロン株式会社 セラミックスヒーターならびにそれを用いた基板処理装置および基板処理方法
JP2002184557A (ja) * 2000-12-12 2002-06-28 Ibiden Co Ltd 半導体製造・検査装置用ヒータ
US6811651B2 (en) 2001-06-22 2004-11-02 Tokyo Electron Limited Gas temperature control for a plasma process
US6897411B2 (en) * 2002-02-11 2005-05-24 Applied Materials, Inc. Heated substrate support
US6783630B2 (en) 2002-08-27 2004-08-31 Axcelis Technologies, Inc. Segmented cold plate for rapid thermal processing (RTP) tool for conduction cooling
US6977575B2 (en) 2003-05-22 2005-12-20 Rtd Company Flexible averaging resistance temperature detector
US7196295B2 (en) 2003-11-21 2007-03-27 Watlow Electric Manufacturing Company Two-wire layered heater system
JP2005166354A (ja) * 2003-12-01 2005-06-23 Ngk Insulators Ltd セラミックヒーター
US20050194374A1 (en) * 2004-03-02 2005-09-08 Applied Materials, Inc. Heated ceramic substrate support with protective coating
JP4761723B2 (ja) 2004-04-12 2011-08-31 日本碍子株式会社 基板加熱装置
US20070006936A1 (en) * 2005-07-07 2007-01-11 Applied Materials, Inc. Load lock chamber with substrate temperature regulation
TWI358460B (en) * 2005-08-17 2012-02-21 Applied Materials Inc Substrate support having brazed plates and resista
US9892941B2 (en) * 2005-12-01 2018-02-13 Applied Materials, Inc. Multi-zone resistive heater
US20070218200A1 (en) 2006-03-16 2007-09-20 Kenji Suzuki Method and apparatus for reducing particle formation in a vapor distribution system
US7476291B2 (en) 2006-09-28 2009-01-13 Lam Research Corporation High chamber temperature process and chamber design for photo-resist stripping and post-metal etch passivation
US20080224817A1 (en) 2007-03-15 2008-09-18 Sokudo Co., Ltd. Interlaced rtd sensor for zone/average temperature sensing
KR200454281Y1 (ko) 2007-10-16 2011-06-23 노벨러스 시스템즈, 인코포레이티드 온도 제어 샤워헤드
CN101903996B (zh) * 2007-12-21 2013-04-03 应用材料公司 用于控制衬底温度的方法和设备
WO2009135137A2 (en) * 2008-05-02 2009-11-05 Applied Materials, Inc. System for non radial temperature control for rotating substrates
US9155134B2 (en) 2008-10-17 2015-10-06 Applied Materials, Inc. Methods and apparatus for rapidly responsive heat control in plasma processing devices
KR101691044B1 (ko) * 2009-02-04 2016-12-29 맷슨 테크놀로지, 인크. 기판의 표면에 걸친 온도 프로파일을 방사상으로 튜닝하는 정전 척 시스템 및 방법
US9431237B2 (en) * 2009-04-20 2016-08-30 Applied Materials, Inc. Post treatment methods for oxide layers on semiconductor devices
US8637794B2 (en) * 2009-10-21 2014-01-28 Lam Research Corporation Heating plate with planar heating zones for semiconductor processing
JP5567318B2 (ja) 2009-11-20 2014-08-06 株式会社国際電気セミコンダクターサービス 電力供給システム、基板処理装置、半導体製造装置および劣化診断方法
SG180882A1 (en) * 2009-12-15 2012-07-30 Lam Res Corp Adjusting substrate temperature to improve cd uniformity
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US20120118225A1 (en) 2010-09-16 2012-05-17 Applied Materials, Inc. Epitaxial growth temperature control in led manufacture
KR101893471B1 (ko) * 2011-02-15 2018-08-30 어플라이드 머티어리얼스, 인코포레이티드 멀티존 플라즈마 생성을 위한 방법 및 장치
US8637410B2 (en) * 2011-04-08 2014-01-28 Applied Materials, Inc. Method for metal deposition using hydrogen plasma
US8552346B2 (en) 2011-05-20 2013-10-08 Applied Materials, Inc. Methods and apparatus for controlling temperature of a multi-zone heater in an process chamber
US10242890B2 (en) * 2011-08-08 2019-03-26 Applied Materials, Inc. Substrate support with heater
US9123756B2 (en) 2011-08-30 2015-09-01 Watlow Electric Manufacturing Company System and method for controlling a thermal array
US9324589B2 (en) * 2012-02-28 2016-04-26 Lam Research Corporation Multiplexed heater array using AC drive for semiconductor processing
US9490150B2 (en) * 2012-07-03 2016-11-08 Applied Materials, Inc. Substrate support for substrate backside contamination control
JP2015536043A (ja) 2012-09-26 2015-12-17 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板処理システムにおける温度制御
US10049948B2 (en) * 2012-11-30 2018-08-14 Lam Research Corporation Power switching system for ESC with array of thermal control elements
US9538583B2 (en) * 2013-01-16 2017-01-03 Applied Materials, Inc. Substrate support with switchable multizone heater
US20140251214A1 (en) * 2013-03-06 2014-09-11 Applied Materials, Inc. Heated substrate support with flatness control
US20150083042A1 (en) 2013-09-26 2015-03-26 Applied Materials, Inc. Rotatable substrate support having radio frequency applicator
US10217615B2 (en) * 2013-12-16 2019-02-26 Lam Research Corporation Plasma processing apparatus and component thereof including an optical fiber for determining a temperature thereof
US11158526B2 (en) * 2014-02-07 2021-10-26 Applied Materials, Inc. Temperature controlled substrate support assembly
JP6378942B2 (ja) * 2014-06-12 2018-08-22 東京エレクトロン株式会社 載置台及びプラズマ処理装置
WO2016014138A1 (en) * 2014-07-23 2016-01-28 Applied Materials, Inc. Tunable temperature controlled substrate support assembly
US10475687B2 (en) 2014-11-20 2019-11-12 Sumitomo Osaka Cement Co., Ltd. Electrostatic chuck device
TWI563542B (en) 2014-11-21 2016-12-21 Hermes Epitek Corp Approach of controlling the wafer and the thin film surface temperature
JP5962833B2 (ja) * 2015-01-16 2016-08-03 Toto株式会社 静電チャック
CN113675115A (zh) * 2015-05-22 2021-11-19 应用材料公司 方位可调整的多区域静电夹具
US20160379851A1 (en) 2015-06-29 2016-12-29 Bharath Swaminathan Temperature controlled substrate processing
JP6129451B1 (ja) 2015-08-20 2017-05-17 日本碍子株式会社 静電チャックヒータ
JP6622052B2 (ja) 2015-10-14 2019-12-18 日本特殊陶業株式会社 セラミックヒータ及び静電チャック
US10582570B2 (en) 2016-01-22 2020-03-03 Applied Materials, Inc. Sensor system for multi-zone electrostatic chuck
US10345802B2 (en) 2016-02-17 2019-07-09 Lam Research Corporation Common terminal heater for ceramic pedestals used in semiconductor fabrication
EP3813099B1 (en) 2016-06-15 2022-08-03 Watlow Electric Manufacturing Company Power converter for a thermal system
CN109075059B (zh) * 2016-06-15 2023-12-01 应用材料公司 用于高功率等离子体蚀刻处理的气体分配板组件
US10908195B2 (en) 2016-06-15 2021-02-02 Watlow Electric Manufacturing Company System and method for controlling power to a heater
JP6688172B2 (ja) * 2016-06-24 2020-04-28 東京エレクトロン株式会社 基板処理システムおよび方法
CN108028221B (zh) 2016-07-19 2022-12-06 日本碍子株式会社 静电卡盘加热器
JP6808423B2 (ja) 2016-09-28 2021-01-06 東京エレクトロン株式会社 基板処理装置および処理液供給方法
KR101877667B1 (ko) 2017-02-28 2018-07-11 세메스 주식회사 반도체 패키지 테스트 방법
US11276590B2 (en) * 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports

Also Published As

Publication number Publication date
TWI818933B (zh) 2023-10-21
US11236422B2 (en) 2022-02-01
JP2021503721A (ja) 2021-02-12
WO2019099843A1 (en) 2019-05-23
KR20200076758A (ko) 2020-06-29
SG11202004494RA (en) 2020-06-29
JP7335243B2 (ja) 2023-08-29
US20190153600A1 (en) 2019-05-23
CN111357094A (zh) 2020-06-30

Similar Documents

Publication Publication Date Title
JP7454600B2 (ja) 移動可能エッジリングおよびガス注入調節によるウエハ上cd均一性の制御
TWI745347B (zh) 用於蝕刻均勻性控制之可變深度邊緣環
TWI818933B (zh) 用於ald膜特性校正及可調性的多區支座
TWI752051B (zh) 用以防止電性發弧與點火並改善製程均勻性之具有特徵部的靜電夾頭
CN107393847B (zh) 具有不同加热器迹线材料的层压加热器
US20160372352A1 (en) Auto-correction of electrostatic chuck temperature non-uniformity
WO2020263719A1 (en) Temperature control of a multi-zone pedestal
US11133211B2 (en) Ceramic baseplate with channels having non-square corners
US20210398829A1 (en) Ceramic pedestal with multi-layer heater for enhanced thermal uniformity
TWI823977B (zh) 預防半導體基板處理中基座上的沉積
TWI853853B (zh) 用於增進熱均勻性的具有多層加熱器之陶瓷支座
US20170332480A1 (en) Laminated heater with different heater trace materials
US20230369091A1 (en) High temperature pedestal with extended electrostatic chuck electrode
US20220243323A1 (en) Use of rotation to correct for azimuthal non-uniformities in semiconductor substrate processing
TWI853900B (zh) 藉由調變多區域基板支撐件之溫度暫態的臨界尺寸不均勻性快速調整