TW201917371A - 半導體製造系統、半導體製造設備以及監控基板載具之方法 - Google Patents

半導體製造系統、半導體製造設備以及監控基板載具之方法 Download PDF

Info

Publication number
TW201917371A
TW201917371A TW107115569A TW107115569A TW201917371A TW 201917371 A TW201917371 A TW 201917371A TW 107115569 A TW107115569 A TW 107115569A TW 107115569 A TW107115569 A TW 107115569A TW 201917371 A TW201917371 A TW 201917371A
Authority
TW
Taiwan
Prior art keywords
substrate carrier
carrier
filter
substrate
new
Prior art date
Application number
TW107115569A
Other languages
English (en)
Other versions
TWI690701B (zh
Inventor
王仁地
林志威
黎輔憲
陳奕明
洪正和
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201917371A publication Critical patent/TW201917371A/zh
Application granted granted Critical
Publication of TWI690701B publication Critical patent/TWI690701B/zh

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J11/00Manipulators not otherwise provided for
    • B25J11/008Manipulators for service tasks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/30Measuring arrangements characterised by the use of optical techniques for measuring roughness or irregularity of surfaces
    • G01B11/306Measuring arrangements characterised by the use of optical techniques for measuring roughness or irregularity of surfaces for measuring evenness
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67389Closed carriers characterised by atmosphere control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67389Closed carriers characterised by atmosphere control
    • H01L21/67393Closed carriers characterised by atmosphere control characterised by the presence of atmosphere modifying elements inside or attached to the closed carrierl
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23PMETAL-WORKING NOT OTHERWISE PROVIDED FOR; COMBINED OPERATIONS; UNIVERSAL MACHINE TOOLS
    • B23P6/00Restoring or reconditioning objects
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J1/00Manipulators positioned in space by hand
    • B25J1/04Manipulators positioned in space by hand rigid, e.g. shelf-reachers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J11/00Manipulators not otherwise provided for
    • B25J11/005Manipulators for mechanical processing tasks
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J18/00Arms
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/24Measuring arrangements characterised by the use of optical techniques for measuring contours or curvatures
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N33/00Investigating or analysing materials by specific methods not covered by groups G01N1/00 - G01N31/00
    • G01N33/0004Gaseous mixtures, e.g. polluted air
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N33/00Investigating or analysing materials by specific methods not covered by groups G01N1/00 - G01N31/00
    • G01N33/0004Gaseous mixtures, e.g. polluted air
    • G01N33/0009General constructional details of gas analysers, e.g. portable test equipment
    • G01N33/0011Sample conditioning
    • G01N33/0013Sample conditioning by a chemical reaction
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N33/00Investigating or analysing materials by specific methods not covered by groups G01N1/00 - G01N31/00
    • G01N33/0004Gaseous mixtures, e.g. polluted air
    • G01N33/0009General constructional details of gas analysers, e.g. portable test equipment
    • G01N33/0022General constructional details of gas analysers, e.g. portable test equipment using a number of analysing channels
    • G01N33/0024General constructional details of gas analysers, e.g. portable test equipment using a number of analysing channels a chemical reaction taking place or a gas being eliminated in one or more channels
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N33/00Investigating or analysing materials by specific methods not covered by groups G01N1/00 - G01N31/00
    • G01N33/0004Gaseous mixtures, e.g. polluted air
    • G01N33/0009General constructional details of gas analysers, e.g. portable test equipment
    • G01N33/0027General constructional details of gas analysers, e.g. portable test equipment concerning the detector
    • G01N33/0029Cleaning of the detector
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N33/00Investigating or analysing materials by specific methods not covered by groups G01N1/00 - G01N31/00
    • G01N33/0004Gaseous mixtures, e.g. polluted air
    • G01N33/0009General constructional details of gas analysers, e.g. portable test equipment
    • G01N33/0027General constructional details of gas analysers, e.g. portable test equipment concerning the detector
    • G01N33/0031General constructional details of gas analysers, e.g. portable test equipment concerning the detector comprising two or more sensors, e.g. a sensor array
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N33/00Investigating or analysing materials by specific methods not covered by groups G01N1/00 - G01N31/00
    • G01N33/0004Gaseous mixtures, e.g. polluted air
    • G01N33/0009General constructional details of gas analysers, e.g. portable test equipment
    • G01N33/0062General constructional details of gas analysers, e.g. portable test equipment concerning the measuring method or the display, e.g. intermittent measurement or digital display
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N33/00Investigating or analysing materials by specific methods not covered by groups G01N1/00 - G01N31/00
    • G01N33/0004Gaseous mixtures, e.g. polluted air
    • G01N33/0009General constructional details of gas analysers, e.g. portable test equipment
    • G01N33/0062General constructional details of gas analysers, e.g. portable test equipment concerning the measuring method or the display, e.g. intermittent measurement or digital display
    • G01N33/0063General constructional details of gas analysers, e.g. portable test equipment concerning the measuring method or the display, e.g. intermittent measurement or digital display using a threshold to release an alarm or displaying means
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N33/00Investigating or analysing materials by specific methods not covered by groups G01N1/00 - G01N31/00
    • G01N33/0004Gaseous mixtures, e.g. polluted air
    • G01N33/0009General constructional details of gas analysers, e.g. portable test equipment
    • G01N33/0062General constructional details of gas analysers, e.g. portable test equipment concerning the measuring method or the display, e.g. intermittent measurement or digital display
    • G01N33/0063General constructional details of gas analysers, e.g. portable test equipment concerning the measuring method or the display, e.g. intermittent measurement or digital display using a threshold to release an alarm or displaying means
    • G01N33/0065General constructional details of gas analysers, e.g. portable test equipment concerning the measuring method or the display, e.g. intermittent measurement or digital display using a threshold to release an alarm or displaying means using more than one threshold
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N33/00Investigating or analysing materials by specific methods not covered by groups G01N1/00 - G01N31/00
    • G01N33/0095Semiconductive materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02002Preparing wafers
    • H01L21/02005Preparing bulk and homogeneous wafers
    • H01L21/02008Multistep processes
    • H01L21/0201Specific process step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • H01L21/02049Dry cleaning only with gaseous HF
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67733Overhead conveying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67736Loading to or unloading from a conveyor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67775Docking arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67784Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations using air tracks
    • H01L21/6779Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations using air tracks the workpieces being stored in a carrier, involving loading and unloading
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49764Method of mechanical manufacture with testing or indicating
    • Y10T29/49769Using optical instrument [excludes mere human eyeballing]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49764Method of mechanical manufacture with testing or indicating
    • Y10T29/49771Quantitative measuring or gauging

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Medicinal Chemistry (AREA)
  • Combustion & Propulsion (AREA)
  • Food Science & Technology (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Mechanical Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

一種監控基板載具的方法,包含:接收一基板載具,基板載具承載複數個晶圓於其內;提供一清洗氣體至基板載具的一入口;由基板載具之一出口抽取一廢氣;以及當供應清洗氣體以及抽取廢氣時,產生基板載具的一健康指標。

Description

半導體製造系統、半導體製造設備以及監控基板載具之方法
本揭露係關於的半導體製造的系統、設備以及方法。更具體地說,關於用來監控基板載具的半導體製造系統、設備以及方法。
在半導體製造中,晶圓經過許多製程步驟以便在其上產生積體電路(IC)。這些製程步驟由各種不同的製程機台執行。在製程機台之間傳送時,一或多個晶圓是被承載在一晶圓載具內,以保護晶圓避免外界的污染。再者,晶圓載具(具有晶圓於其內)是利用惰性氣體來清洗以去除任何在晶圓上的化學殘留物。否則,化學殘留物可能會對接下來的製程造成不良的影響。
晶圓載具通常具有一或多個空氣過濾器。在晶圓載具被重複清洗過後,這些空氣過濾器可能會變的劣化(例如破裂或是阻塞)。假如劣化的空氣過濾器沒有及時地被更換,晶圓載具將不能有效地被清洗,導致晶圓的污染以及製造上的缺陷。
本發明之實施例提供一種監控基板載具的方法,包含:接收一基板載具,基板載具承載複數個晶圓於其內;提供一清洗氣體至基板載具的一入口;由基板載具之一出口抽取一廢氣;以及當供應清洗氣體以及抽取廢氣時,產生基板載具的一健康指標。
本發明之另一實施例再提出一種半導體製造設備,包含一輸入埠,以接收一基板載具,基板載具包含一載具本體、安裝在載具本體上之一外殼,以及安裝在載具本體與外殼之間的一過濾器;一第一機械手臂,以將外殼由基板載具移除,並且將外殼安裝至基板載具;一或多個第二機械手臂,以將過濾器由基板載具移除,以及將一新的過濾器安裝至基板載具;以及一輸出埠,以釋放基板載具至一生產線。
本發明之另一實施例提供一種半導體製造系統,包含:複數個半導體製程機台;一載具清洗工作站;一載具維修工作站;以及一懸吊式自動搬運系統,配置以於半導體製程機台、載具清洗工作站以及載具維修工作站之間傳送一或多個基板載具。載具清洗工作站配置以由半導體製程機台之其中一者接收一基板載具,利用一惰性氣體清洗基板載具,以及決定基板載具是否需要維修。載具維修工作站配置以接收將要維修的一基板載具,並且更換基板載具內的一或多個部件。
10‧‧‧半導體製造系統
12‧‧‧半導體製程機台
14‧‧‧載具清洗工作站
16‧‧‧載具更換工作站
18‧‧‧載具維修工作站
20‧‧‧懸吊式自動搬運系統
22‧‧‧基板載具
24‧‧‧自動控制器
26‧‧‧本體
28‧‧‧把手
30‧‧‧入口
31‧‧‧基板
32‧‧‧入口空氣過濾器
33‧‧‧粒子
34‧‧‧出口
36‧‧‧出口空氣過濾器
40‧‧‧基座
42‧‧‧對位感測器
44‧‧‧導引銷
46‧‧‧輸入口
48‧‧‧排出口
50‧‧‧清洗氣體
52‧‧‧廢氣
60‧‧‧感測器
62‧‧‧濕度感測器
64‧‧‧流量感測器
66‧‧‧粒子感測器
68‧‧‧感測控制器
80‧‧‧輸入埠
82‧‧‧輸出埠
84‧‧‧載具移動器
86‧‧‧開啟/關閉器
88‧‧‧旋轉器
90‧‧‧安裝/卸載器
92‧‧‧滑動器
94‧‧‧組裝檢測器
96‧‧‧部件檢測器
98‧‧‧部件容置器
100‧‧‧部件處置器
102‧‧‧部件診斷容置器
104‧‧‧控制器
200‧‧‧方法
202、204、206、208、210、212、214、216‧‧‧操作
300‧‧‧方法
302、304、306、308、310‧‧‧操作
400‧‧‧方法
402、404、406、408、410、412、414、416、418、420、422、424‧‧‧操作
208a、208b、208c、208d、208e‧‧‧操作
本揭露可藉由之後的詳細說明並配合圖示而得到清楚的了解。要強調的是,按照業界的標準做法,各種特徵並 沒有按比例繪製,並且僅用於說明之目的。事實上,為了能夠清楚的說明,因此各種特徵的尺寸可能會任意地放大或者縮小。
第1圖是根據本發明一些實施例的一半導體製造系統的部分示意圖。
第2圖是根據本發明一些實施例表示載具清洗工作站清洗一基板載具之示意圖。
第3圖繪示了根據本發明一些實施例之載具維修工作站、懸吊式自動搬運系統以及其內的工作流程的立體示意圖。
第4圖繪示了根據本發明一實施例之載具維修工作站的詳細示意圖。
第5圖繪示了根據本發明一些實施例之用來清洗基板載具且自動地感測基板載具的劣化的方法的流程圖。
第6圖繪示了根據本發明一些實施例之用以更換一基板載具的方法之流程圖。
第7圖繪示了根據本發明一些實施例之用以維修一基板載具的方法之流程圖。
以下的揭露內容提供許多不同的實施例或範例以實施本案的不同特徵。以下的揭露內容敘述各個構件及其排列方式的特定範例,以簡化說明。當然,這些特定的範例並非用以限定。例如,若是本揭露書敘述了一第一特徵形成於一第二特徵之上或上方,即表示其可能包含上述第一特徵與上述第二特徵是直接接觸的實施例,亦可能包含了有附加特徵形成於上 述第一特徵與上述第二特徵之間,而使上述第一特徵與第二特徵可能未直接接觸的實施例。另外,以下揭露書不同範例可能重複使用相同的參考符號及/或標記。這些重複係為了簡化與清晰的目的,並非用以限定所討論的不同實施例及/或結構之間有特定的關係。
此外,其與空間相關用詞。例如“在...下方”、“下方”、“較低的”、“上方”、“較高的”及類似的用詞,係為了便於描述圖示中一個元件或特徵與另一個(些)元件或特徵之間的關係。除了在圖式中繪示的方位外,這些空間相關用詞意欲包含使用中或操作中的裝置之不同方位。裝置可能被轉向不同方位(旋轉90度或其他方位),則在此使用的空間相關詞也可依此相同解釋。
本揭露大體而言是關於半導體製造,更特別的是關於在半導體製造中處理基板載具的系統與方法,基板載具例如可為晶圓載具。本揭露的一個目的是要自動地檢測在一基板載具的劣化,自動地將劣化的基板載具更換為一新的基板載具,並且自動地維修劣化的基板載具。本揭露對於半導體製造來說提供了很多好處。第一,增加了生產的效率以及降低了生產費用,例如需要較少的人工以及勞力工作。第二,具有更高的可靠度並且降低了生產的錯誤。第三,及時地檢測基板載具的劣化並且減少晶圓的缺陷(defects)。在此使用的基板可為一晶圓(例如具有直徑為125mm、150mm、200mm、300mm或400mm的矽晶圓)、一半導體基板或半導體晶圓、一遮罩(mask)、一光罩(reticle)、一等離子過濾板、一吸附墊 (adsorptive pad)、任何於其上可執行或生產新的薄膜或材料疊層的基板材料,及/或其組合。
第1圖是根據本發明一些實施例的一半導體製造系統10的部分示意圖。請參考第1圖,半導體製造系統10包含複數個半導體製程機台(semiconductor processing tools)12,包含第一製程機台、第二製程機台、...、第N製程機台。半導體製造系統10進一步包含一或多個用於基板的載具清洗工作站14、一或多個載具更換工作站16以及一或多個載具維修工作站18。半導體製造系統10更包含一懸吊式自動搬運系統(overhead hoist transport,OHT)20,就像一個通過各個機台與工作站的通道,以傳輸一或多個基板載具22。半導體製造系統10更包含一個自動控制器24,例如一自動化物料搬運系統(automated material handling system,AMHS),用以在這些機台與工作站中進行調度。在各種不同的實施例中,半導體製造系統10可包含其他元件,即使是在此未表示的元件,或可省略在此討論中的一些元件。
每一個半導體製程機台12可執行一或多個關於在基板(例如半導體晶圓)上製造積體電路的作業(task)。這些作業可包含前段(front end of line,FEOL)製程、中段(middle end of line,MEOL)製程以及後段(back end of line,BEOL)製程。舉例來說,這些作業可包含基板清潔、材料沈積(例如磊晶生長、化學氣相沈積(CVD)、物理氣相沈積(PVD)、原子層沈積(ALD)以及金屬電鍍)、蝕刻(例如乾式蝕刻、濕式蝕刻、反應式離子蝕刻以及原子層蝕刻(ALE))、化學 機械平坦化(CMP)、烘乾、光阻塗佈、曝光、顯影、光阻去除(ashing)以及各種其他作業。半導體製程機台12可一次處理一個基板或一批基板。在依實施例中,半導體製程機台12可具有輸入及輸出裝載埠,在製程處理之前與之後作為收集複數個基板的緩衝。
一或複數個基板是可根據製造流程而由一半導體製程機台移動至另一半導體製程機台。通常來說,在一個製程步驟之後,基板會由執行製程步驟的一半導體製程機台中移除並且傳送到一基板載具22,並且在後續的製程之前暫時地儲存在基板載具22。基板載具22提供了一保護性的外殼以儲存一或多個基板於其內,例如一、十二、十三或二十五個基板。在某些實施例中,基板載具22是一前開口式晶圓傳送盒(front opening unit pod,FOUP)。第1圖繪示了一基板載具22作為半導體製造系統10的一部份。在各種實施例中,半導體製造系統10可包含多個基板載具22,並且這些基板載具22可具有不同的類型。舉例來說,用於前段製程的基板載具可與用於後段製程的基板載具不同。舉例來說,前者相較於後者可提供更乾淨的環境給儲存於其內的基板。
請繼續參考第1圖,載具清洗工作站14是配置以利用惰性氣體來清洗基板載具22,以確保基板載具22繼續提供一個乾淨且安全的環境給儲存於其內的基板。一般的半導體製造流程可包含多個清洗步驟(例如約70至100個清洗步驟)。舉例來說,基板載具22可在接續的製程之後被清洗,包含乾式蝕刻、濕式蝕刻、光阻去除、乾式清洗、濕式清洗、沈積、化學 機械研磨以及其他製程。舉例來說,在收集到一定數量經由半導體製程機台12處理後的基板後,基板載具22可(經由懸吊式自動搬運系統20)被傳輸到載具清洗工作站14。接著,載具清洗工作站14利用惰性氣體來對基板載具22清洗,例如氮氣或額外的清潔乾燥氣體。清洗步驟可以將基板載具22上的化學殘留物移除,例如NH3、SO4、F、Cl、NO3、PO4等。之後,基板載具22(經由懸吊式自動搬運系統20)被傳送到下一個半導體製程機台12以進行進一步處理。在製造流程中的某些步驟中,基板載具22可以不需被載具清洗工作站14清洗便(經由懸吊式自動搬運系統20)由一半導體製程機台12到另一半導體製程機台12。
在目前的實施例中,基板載具22包含一或多個空氣過濾器(或稱為過濾器),以確保外殼的乾淨。在重複地清潔後,這些空氣過濾器會劣化(例如破裂或堵塞)。載具清洗工作站14是配置以檢測在這些空氣過濾器中的劣化,更具體的細節會將會配合第2圖討論。當載具清洗工作站14檢測到空氣過濾器(或其他耦接到空氣過濾器的部件)對於基板載具22不再是安全時,會將提示此狀況給自動控制器24,自動控制器24可隨後透過懸吊式自動搬運系統20將基板載具22調度到一載具更換工作站16。載具清洗工作站14可與自動控制器24透過無線或有線通訊頻道溝通。
載具更換工作站16是配置以將一劣化的基板載具更換為一新的基板載具。舉例來說,載具更換工作站16可操作來將儲存在劣化的基板載具內的所有基板移動到新的基板載 具。載具更換工作站16也可以在放行新的基板載具去進行生產(也就是調度到半導體製程機台12)之前利用一惰性氣體對新的基板載具清洗。替代地,載具更換工作站16可將新的基板載具調回載具清洗工作站14以清洗新的基板載具。在半導體製造系統10的某些實施例中,載具清洗工作站14以及載具更換工作站16可以一個設備或是分離的設備來實現。
劣化的基板載具是經由懸吊式自動搬運系統20(例如由載具清洗工作站14或載具更換工作站16)調度到載具維修工作站18。在一實施例中,載具維修工作站18是配置以接收劣化的基板載具,打開一或多個螺絲、蓋子及/或在基板載具中覆蓋空氣過濾器的外殼,移除空氣過濾器、安裝新的空氣過濾器、檢測新安裝的空氣過濾器,重新安裝螺絲、蓋子及/或外殼,並且將基板載具放回到生產線。實現載具維修工作站18的系統與方法的更多細節將會與第3圖與第4圖進一步一起描述。
半導體製造系統10提供多個現存的半導體製造系統的多個優點。舉例來說,載具清洗工作站14使自動檢測一基板載具中的劣化成為可能。相較於透過操作員利用視覺來檢測基板載具的方式,這種自動檢測增加了生產效率以及減少操作誤差。再舉例而言,載具維修工作站18使自動更換在基板載具的部件成為可能。相較於透過操作員手動地更換部件的方式,自動更換部件也增加了生產效率以及減少操作誤差。
請參考第2圖,第2圖是根據本發明一些實施例表示載具清洗工作站14清洗一基板載具22之示意圖。在此實施例 中,基板載具22包含一載具本體(或一外蓋)26以及溝槽或其他在載具本體26內用來固定複數個基板31(例如矽晶圓)31的結構。基板載具22更包含一把手28,用來連接到懸吊式自動搬運系統20。基板載具22更包含一個門(圖中未表示),基板31是經由門而放入或拿出基板載具22。基板載具22包含一入口30,具有安裝於其內的一入口空氣過濾器32,以及一出口34,具有安裝於其內的一出口空氣過濾器36。在入口30與出口34內,基板載具22可更包含一過濾器外殼(圖中未表示)、一蓋子(圖中未表示),過濾器外殼是用以固定所述空氣過濾器,而蓋子是用以固定所述過濾器外殼。基板載具22可選擇地包含一O形環(圖中未表示),設置於過濾器外殼與個別的空氣過濾器之間。在某些實施例中,基板載具22可包含超過一個入口或出口。在一實施例中,基板載具22可進一步包含一感測器60,位於載具本體26內。感測器60可為一濕度感測器或一空氣壓力感測器。
請繼續參考第2圖,在此實施例中,載具清洗工作站14包含一站台(stage)或基座40、用來協助載具清洗工作站14與懸吊式自動搬運系統20對位用的一對位感測器42、用來將載具清洗工作站14與基板載具22對位的導引銷44(例如配合地插入基板載具22的導引孔)、用來供應清洗氣體50進入基板載具22的入口30的一輸入口46以及用來由基板載具22的出口34抽出廢氣52的一排出口48,清洗氣體50可包含氮氣、額外的乾淨乾燥空氣或其他合適的惰性氣體。如第2圖中所繪示的,清洗氣體50驅使某些化學殘留物或粒子33離開基板載具22並且 進入廢氣52中。某些化學殘留物或粒子33可能會吸附於出口空氣過濾器36。
如上面所討論的,同一個基板載具22可能會經過多次的清洗步驟。在重複使用之後,入口空氣過濾器32及/或出口空氣過濾器36可能會劣化。舉例來說,沈積在其上的粒子會阻塞入口空氣過濾器32與出口空氣過濾器36,進而增加其流動阻力並且降低其過濾的品質。再舉例而言,經過一段時間後,入口空氣過濾器32與出口空氣過濾器36可能會失去它的機械強度並且可能會破裂(torn)。一旦入口空氣過濾器32與出口空氣過濾器36破裂,基板載具22會失去其保護基板31抵抗環境的有效性。因此,對於確保生產品質而言,適時地檢測這些劣化(例如阻塞或破裂)是重要的。
在此實施例中,載具清洗工作站14進一步包含一或多個濕度感測器62、一或多個氣體流量感測器64以及一或多個粒子感測器66。於此實施例中,濕度感測器62、氣體流量感測器64以及粒子感測器66是安裝在靠近排出口48的位置。進一步來說,在清洗的過程中,濕度感測器62、氣體流量感測器64以及粒子感測器66是直接地接觸廢氣52。濕度感測器62是操作以檢測廢氣52的濕度。氣體流量感測器64是操作以感測廢氣52的流量。載具清洗工作站14可進一步包含其他氣體流量感測器(圖中未表示),操作以感測清洗氣體50的流量。於此實施例中,粒子感測器66是操作以感測廢氣52中的粒子的尺寸。替代地或額外地,粒子感測器66是操作以感測廢氣52中粒子的數量(或粒子總數)或感測廢氣52中粒子的濃度。在各種實施例 中,載具清洗工作站14可包含用來感測廢氣52中粒子尺寸、粒子總數以及粒子濃度的一粒子感測器66,或可包含多個分離的粒子感測器以分別執行上述的功能。利用濕度感測器62、氣體流量感測器64以及粒子感測器66,載具清洗工作站14可以產生基板載具22的一健康指標(health indicator)。在一實施例中,健康指標可指出入口空氣過濾器32以及出口空氣過濾器36需要被更換。
載具清洗工作站14進一步包含一感測控制器68。在此實施例中,感測控制器68是一電腦化工具,例如執行軟體於其上的一電腦。舉例來說,感測控制器68可包含一處理器或一微處理器、一輸入裝置、一記憶裝置以及藉由一或多個匯流排互相連接的一溝通裝置,並且可執行軟體指令集以執行載具清洗工作站14的各種作業。其中的某些作業將在下面描述。
在一實施例中,載具清洗工作站14是基於基板載具22內的空氣壓力的測量值而產生健康指標。舉例來說,感測控制器68可讀取藉由感測器60所測量的空氣壓力值,例如利用兩者之間的一有線或無線連接。再舉例而言,感測控制器68可感測基板載具22內的空氣壓力而無須利用感測器60。反之,感測控制器68可基於廢氣52的流量(藉由氣體流量感測器64所感測)以及選擇地基於清洗氣體50的流量來計算基板載具22內的空氣壓力。感測控制器68可利用其他方法或機制來感測或測量基板載具22內的氣體壓力。一旦得知基板載具22內的氣體壓力值,感測控制器68可接著將氣體壓力值與一預期或預定氣體壓力範圍(也就是具有上限與下限)進行比較。若測量到或感測 到的氣體壓力值是超出預定氣體壓力範圍時,健康指標會被觸發並指示基板載具22需要維修。
在一實施例中,載具清洗工作站14是基於廢氣52的濕度的測量值來產生健康指標。舉例來說,感測控制器68可讀取藉由濕度感測器62所測量的一濕度值,並且將此濕度值與一預定濕度範圍(也就是具有上限與下限)進行比較。若測量到的濕度值是超出預定濕度範圍時,健康指標會被觸發並指示基板載具22需要維修。
在另一實施例中,載具清洗工作站14是基於廢氣52的流量的測量值來產生健康指標。舉例來說,感測控制器68可讀取藉由氣體流量感測器64所測量的一流量值,並且將測量的流量值與一預定流量範圍(也就是具有上限與下限)進行比較。若測量到的流量值是超出預定流量範圍時,健康指標會被觸發並指示基板載具22需要維修。舉例來說,一個非常低的流量表示入口空氣過濾器32及/或出口空氣過濾器36可能已經阻塞,並且一個非常高的流量表示入口空氣過濾器32及/或出口空氣過濾器36可能已經破裂。
在又一個實施例中,載具清洗工作站14是基於廢氣52的粒子尺寸的測量值來產生健康指標。舉例來說,感測控制器68可讀取藉由粒子感測器66所感測的一最大粒子尺寸,並且將此數值與一粒子尺寸閥值進行比較。若感測到的粒子尺寸是超過粒子尺寸閥值時,健康指標會被觸發並指示基板載具22需要維修。舉例來說,在廢氣52中具有大顆粒子表示出口空氣過濾器36失去了預期的過濾能力。
在又一個實施例中,載具清洗工作站14是基於廢氣52的粒子總數的測量值來產生健康指標。舉例來說,感測控制器68可讀取藉由粒子感測器66所測量的粒子總數,並且將此數值與一粒子數量閥值進行比較。若感測到的粒子總數是超過粒子數量閥值時,健康指標會被觸發並指示基板載具22需要維修。舉例來說,在廢氣52中具有太多粒子表示入口空氣過濾器32及/或出口空氣過濾器36失去了預期的過濾能力。
第3圖繪示了根據本發明一些實施例之載具維修工作站18、懸吊式自動搬運系統20以及其內的工作流程的立體示意圖。請參考第3圖,在此實施例中,載具維修工作站18包含由懸吊式自動搬運系統20接收一基板載具22的一輸入埠(input port)80以及在維修後將基板載具22釋出到懸吊式自動搬運系統20的一輸出埠(output port)82。在輸入埠80與輸出埠82之間,載具維修工作站18進一步包含一第一機構(第一機動工具,例如一機械手臂),用以移除基板載具22的螺絲、蓋子及/或過濾器外殼以露出入口空氣過濾器32與出口空氣過濾器36(第2圖);以及一第二機構(第二機動工具,例如一或多個機械手臂),用以移除基板載具22的老舊空氣過濾器並安裝新的空氣過濾器到基板載具22上。在一實施例中,載具維修工作站18更包含一第三機構(第三機動工具,例如一機械手臂),用以重新安裝螺絲、蓋子及/或過濾器外殼到基板載具22。在另一替代實施例中,第一與第三機構共享相同的裝置或機械手臂。載具維修工作站18可進一步包含一自動檢測機制(例如光學感測器),用以檢測新安裝在基板載具22上的過濾 器。載具維修工作站18包含一控制器104,用以調節工作站內的工作流程。
第4圖繪示了根據本發明一實施例之載具維修工作站18的詳細示意圖。請參考第4圖,輸入埠80與輸出埠82可設計為一次夾持一個基板載具22。另外,它們也可設計為一次夾持多個基板載具22。再者,輸入埠80與輸出埠82可設計為容置不同類型的基板載具22,例如具有不同尺寸與配置的基板載具22。一旦一個基板載具22被輸入埠80所接收,一載具移動器84是操作以將基板載具22由輸入埠80移動到載具維修工作站18的其他元件,例如一開啟/關閉器86、一旋轉器88、一安裝/卸載器90以及一組裝檢測器94。第4圖繪示了多個基板載具22以流線方式在載具維修工作站18進行維修。
在此實施例中,旋轉器88是操作以旋轉基板載具22至一位置以使基板載具22的空氣過濾器以及其他部件可以輕易地被載具維修工作站18中的各個元件,例如開啟/關閉器86、安裝/卸載器90以及組裝檢測器94獲取(access)。舉例來說,不同類型的基板載具(例如用在前段製程的基板載具、用在後段製程的基板載具、來自不同供應商的基板載具...等)可具有多個空氣過濾器,安裝在各自基板載具的不同位置。無論基板載具22的類型,旋轉器88可配置以旋轉基板載具22,以使其內的空氣過濾器一直出現在關於開啟/關閉器86、安裝/卸載器90以及組裝檢測器94的相同位置。
開啟/關閉器86是操作以打開基板載具22的一過濾器外殼,例如鬆開蓋子的螺絲並拉出過濾器外殼,以及關閉過 濾器外殼,例如將過濾器外殼插回到基板載具22並且將蓋子鎖回去。安裝/卸載器90是操作以移除舊的空氣過濾部件,例如O形環與空氣過濾器,並且安裝相對應的新的部件。組裝檢測器94(第二檢測機構)是操作以檢測新安裝的部件,特別是新安裝的空氣過濾器(替代過濾器)。在一實施例中,在空氣過濾器被安裝到基板載具22後,組裝檢測器94包含一雷射及/或光學感測器,用以感測空氣過濾器的平坦度。在另一實施例中,組裝檢測器94包含一攝影機,用以拍攝空氣過濾器的影像,控制器104可利用此影像來決定空氣過濾器的平坦度。在又一實施例中,組裝檢測器94是操作以檢查新安裝的空氣過濾器關於入口30及/或出口34(第2圖)的對位。在基板載具22通過組裝檢測器94的檢測後,載具移動器84可將基板載具22傳送到輸出埠82。
載具維修工作站18進一步包含各種元件,以提供新的部件(例如新的空氣過濾器以及新的O形環)到安裝/卸載器90。在此實施例中,載具維修工作站18包含用以持有新的部件的一或多個部件容置器98、用以檢測新的部件的一部件檢測器96、用以提供已經通過部件檢測器96檢測的新元件的一滑動器92、用以夾持要由基板載具22移除的舊部件的一部件處置器100,以及用以夾持沒有通過檢測的新部件的一部件診斷容置器102。在一實施例中,部件檢測器96(第一檢測機構)包含一攝影機(例如CCD攝影機或一CMOS攝影機),用以拍攝一新部件(例如一新的空氣過濾器)的影像,並且控制器104可由此影像來決定此新部件是否具有好的品質,例如具有預期的 尺寸和形狀,並且沒有皺折和孔洞。
要注意的是,在某些實施例中,載具維修工作站18可包含其他在此未表示的元件,或在某些實施例中可省略在此討論中的元件。再者,在此討論的某些元件可被併入到載具維修工作站18的實施例中的一個元件。
第5圖繪示了根據本發明一些實施例之用來清洗基板載具且自動地感測基板載具的劣化的方法200的流程圖。舉例來說,方法200的實施例可藉由或利用載具清洗工作站14來實現。方法200只是一個例子,並非意圖來限制本揭露超出請求項中明確記載的內容。額外的操作可以提供在方法200之前、之中以及之後,並且為了此方法的額外實施例,某些描述的操作的順序可以被取代、消除,或任意移動。方法200將進一步配合第1至2圖描述如下。
在操作202中,方法200(第5圖)接收一載具,例如基板載具22。載具容置複數個基板於其內。參考第1圖,載具可被載具清洗工作站14由多個半導體製程機台12其中之一所接收,或透過懸吊式自動搬運系統20由載具更換工作站16接收。在一例子中,複數個基板可為矽晶圓。載具包含用以接收一清洗氣體的一入口以及用以排出一廢氣的一出口。載具進一步包含設置於入口的一入口空氣過濾器以及設置於出口的出口空氣過濾器。
在操作204中,方法200(第5圖)提供一清洗氣體至載具,例如經由載具的入口。在某些實施例中,清洗氣體可以每分鐘1至15公升的流量來供應。清洗氣體可包含氮氣、額 外乾淨的乾燥空氣,或其他合適的惰性氣體。在操作206中,方法200由載具取出一廢氣,例如經由載具的出口。操作204與操作206可同時被執行。再者,在某些實施例中,操作204與操作206可執行約10到650秒。
當執行操作204與操作206時,方法200(第5圖)進一步包含一操作208,監控載具的操作環境。舉例來說,操作208可包含一或多個操作208a、208b、208c、208d、208e以及208f。操作208a測量在載具內的氣體壓力。操作208b測量廢氣的濕度。操作208c測量廢氣的流量。操作208d測量廢氣中的粒子總數。操作208e測量廢氣中的粒子尺寸。操作208f測量清洗氣體的流量。
在操作210中,方法200(第5圖)基於載具的操作環境產生載具的一健康指標。舉例來說,健康指標可基於一或多個下列條件產生:(a)藉由操作208a測量到的氣體壓力是否超出一預定氣體壓力範圍;(b)藉由操作208b測量到濕度等級是否超出一預定濕度範圍;(c)藉由操作208c測量到的廢氣的流量是否超出一預定流量範圍;(d)在操作208d中測量到的粒子總數是否超出一粒子總數閥值;以及(e)在操作208e中測量到的粒子尺寸是否超過一粒子尺寸閥值。若一或多個上述的條件滿足時,健康指標會採用一個值來表示載具是不健康的。方法200可額外地或替代地使用其他標準來產生健康指標。
在操作212中,方法200(第5圖)決定是否載具需要維修。在依實施例中,假如健康指標表示載具是不健康的,那麼載具要進行維修。在其他實施例中,方法200可持續追蹤 應用在相同載具的清洗操作的數量。假如數量超過一閥值,那麼載具要進行維修。舉例來說,一空氣過濾器可能具有一使用的上限(也就是此空氣過濾器的壽命),是可由空氣過濾器的供應商或載具的供應商具體載明。一旦達到這個上限,空氣過濾器便達到其使用壽命的終點,並且進一步的使用會被視為是不安全的。在這個例子中,不論是否健康指標指示載具是不健康的,方法200可決定載具需要維修。
假如操作212決定載具不需要維修,方法200會將載具(操作214)調度至下一個製程機台以進行進一步的半導體製程。假如操作212決定載具需要維修,方法200會將載具(操作216)調度至一載具更換工作站,使得儲存於載具內的基板可由載具中移出並放置到另一個載具內。
第6圖繪示了根據本發明一些實施例之用以更換一基板載具的方法300之流程圖。舉例來說,方法300的實施例可藉由或利用載具更換工作站16來實現。方法300只是一個例子,並非意圖來限制本揭露超出請求項中明確記載的內容。額外的操作可以提供在方法300之前、之中以及之後,並且為了此方法的額外實施例,某些描述的操作的順序可以被取代、消除,或任意移動。
在操作302中,方法300接收儲存基板於其內的一載具。參考第1圖,載具更換工作站16可以經由懸吊式自動搬運系統20從載具清洗工作站14接收載具。在操作304中,方法300開啟載具並將基板從此載具移動到另一個載具(新載具)。在操作306中,方法300清洗具有基板儲存於其內的新載具,舉 例來說,藉由載具清洗工作站14進行清洗。在清洗之後,方法300將新載具釋放到產線(操作308),舉例來說,將儲存基板於其內的新載具調度至半導體製程機台12(第1圖)。在操作310中,方法300將舊的載具送到一載具維修工作站,例如載具維修工作站18來進行維修。
第7圖繪示了根據本發明一些實施例之用以維修一基板載具的方法400之流程圖。舉例來說,方法400的實施例可藉由或利用載具維修工作站18來實現。方法400只是一個例子,並非意圖來限制本揭露超出請求項中明確記載的內容。額外的操作可以提供在方法400之前、之中以及之後,並且為了此方法的額外實施例,某些描述的操作可以被取代、消除,或任意移動。
在操作402中,方法400接收一載具。在此實施例中,載具是沒有基板放置於其內。請參考第1圖,載具維修工作站18可以經由懸吊式自動搬運系統20從載具更換工作站16接收載具。更特別的是,載具可被載具維修工作站18的輸入埠80所接收。
在操作404中,方法400(第7圖)移除了載具的入口與出口外殼上的蓋子,舉例來說,鬆開蓋子的螺絲。在操作406中,方法400選擇性地旋轉載具,使得外殼與其內的空氣過濾器可以被輕易地獲取。在操作408中,方法400將入口與出口的外殼從載具移除,以將載具內的空氣過濾器露出。在操作410中,方法400將一O形環(如果有的話)由載具移除。在操作412中,方法400將入口與出口空氣過濾器由載具移除。
在操作414中,方法400(第7圖)獲取了新的部件,以安裝置載具上,例如一新的空氣過濾器或可選的新的O形環。在操作416中,方法400檢測新部件以確保這些部件是沒有缺陷的。如果在這些新的部件中發現缺陷,方法400會重複操作414以及操作416,直到找到沒有缺陷的新的部件。
在操作418中,方法400(第7圖)將新的部件安裝到載具,例如新的入口及出口空氣過濾器。如果有需要的話,方法400可選擇地安裝新的O形環到載具上。在操作420中,方法400重新安裝入口與出口的外殼以及蓋子到載具上。在操作422中,方法400檢測新安裝的部件。舉例來說,可使用光學感測器來感測新安裝的空氣過濾器的表面的平坦度。假如新安裝的部件沒有通過檢測,方法400會回到操作408並且重複操作408至422。假如新安裝的部件通過檢測,方法400釋放此載具回到產線(操作424)。參考第3至4圖,載具可放置到輸出埠82,並且等待懸吊式自動搬運系統20來調度。
在一實施例中,方法400中的一或多個上述操作可以電腦化機械裝置來實現,例如機械手臂。這有利於減少製造時的停機時間並提高載具的可靠度和品質。
儘管並非用以限制,本發明中一或多個實施例對於半導體製造來說提供了很多好處。舉例來說,本發明實施例自動地感測一基板載具的劣化,減少了勞力工作並且增加生產效率。本發明實施例也可更可靠且及時地降低了晶圓的缺陷。再者,本發明實施例自動地替換了在基板載具中劣化的空氣過濾器,從而增加了生產的效率以及降低了操作的錯誤。
本發明之一實施例提供一種監控基板載具方法,此方法包含:接收一基板載具,基板載具承載複數個晶圓於其內;提供一清洗氣體至基板載具的一入口;由基板載具之一出口抽取一廢氣;以及當供應清洗氣體以及抽取廢氣時,產生基板載具的一健康指標(health indicator)。
在此方法的一實施例中,產生健康指標的步驟是基於下列條件中的一或多者:(a)基板載具內的氣體壓力之一測量值;(b)廢氣的濕度之一測量值;(c)廢氣的一流量(flow rate)之一測量值;以及(d)廢氣中的複數個粒子之一測量值。
在此方法的另一實施例中,產生健康指標的步驟包含:讀取一氣體壓力值,氣體壓力值是藉由安裝於基板載具內的一壓力感測器來測量;比較氣體壓力值與一預定氣體壓力範圍;以及當氣體壓力值超出預定氣體壓力範圍時,指出基板載具需要維修。
在此方法的另一實施例中,產生健康指標的步驟包含:讀取廢氣的一濕度值,濕度值係藉由鄰近安裝於基板載具的出口的一濕度感測器來測量;比較濕度值與一預定濕度範圍;以及當濕度值超出預定濕度範圍時,指出基板載具需要維修。
在此方法的另一實施例中,產生健康指標的步驟包含:測量廢氣的一流量;比較流量與一預定流量範圍;以及當流量超出預定流量範圍時,指示基板載具需要維修。
在方法的另一實施例中,產生健康指標的步驟包含:測量廢氣中所夾帶的粒子的尺寸;比較尺寸與一預定尺寸 閥值;以及當尺寸超出預定尺寸閥值時,指出基板載具需要維修。
在此方法的又一實施例中,產生健康指標的步驟包含:測量廢氣中所夾帶的粒子的一總數;比較此總數與一數量閥值;以及當此總數超出數量閥值時,指出基板載具需要維修。
在一實施例中,當指示基板載具需要維修時,此方法更包含:由基板載具移除晶圓;以及透過一懸吊式自動搬運系統(OHT)將基板載具調度至一載具維修工作站。在進一步的實施例中,此方法包含:藉由載具維修工作站接收基板載具;藉由一第一機動工具將位在基板載具的入口的一入口過濾器替換為一新的入口過濾器;藉由一第二機動工具將位在基板載具的出口的一出口過濾器替換為一新的出口過濾器;以及藉由一第三機動工具檢測新的入口過濾器以及新的出口過濾器。在進一步的實施例中,方法包含:當新的入口過濾器以及新的出口過濾器都通過檢測時,透過懸吊式自動搬運系統將具有新的入口過濾器以及新的出口過濾器的基板載具調度至一製程機台。在另一實施例中,此方法包含:當新的入口過濾器以及新的出口過濾器其中之一者未通過檢測時,將新的入口過濾器以及新的出口過濾器其中之該者更換為一替代過濾器;以及檢測替代過濾器。
在本發明之另一實施例提供一種半導體製造設備。半導體製造設備包含一輸入埠,以接收一基板載具,其中基板載具包含一載具本體、安裝在載具本體上之一外殼,以及 安裝在載具本體與外殼之間的一過濾器;一第一機械手臂,以將外殼由基板載具移除,並且將外殼安裝至基板載具;一或多個第二機械手臂,以將過濾器由基板載具移除,以及將一新的過濾器安裝至基板載具;以及一輸出埠,以釋放基板載具至一生產線。
在一實施例中,一或多個第二機械手臂進一步配置以安裝或移除介於過濾器與外殼之間的一O形環。在一實施例中,半導體製造設備更包含一第一檢測機構,在一或多個第二機械手臂安裝新的過濾器之前檢測新的過濾器。在一實施例中,第一檢測機構包含:一攝影機,以拍攝新的過濾器的一影像;以及一控制器,根據此影像來判斷新的過濾器的品質。
在一實施例中,半導體製造設備更包含一第二檢測機構,在一或多個第二機械手臂安裝新的過濾器之後檢測新的過濾器。在一實施例中,第二檢測機構包含一雷射機構,以感測新的過濾器的一表面的平坦度。
在本發明之另一實施例提供一種半導體製造系統。此半導體製造系統包含:複數個半導體製程機台;一載具清洗工作站;一載具維修工作站;以及一懸吊式自動搬運系統,配置以於半導體製程機台、載具清洗工作站以及載具維修工作站之間傳送一或多個基板載具。載具清洗工作站配置以由半導體製程機台之其中一者接收一基板載具,利用一惰性氣體清洗基板載具,以及決定基板載具是否需要維修。載具維修工作站配置以接收將要維修的一基板載具,並且更換基板載具內的一或多個部件。
在一實施例中,半導體製造系統更包含一載具更換工作站,配置以接收具有多個基板的一基板載具,由基板載具移除基板,以及設置基板至另一基板載具。
在半導體製造系統的另一實施例中,載具清洗工作站配置以決定基板載具是否需要維修是基於下列至少一個條件:基板載具內的一或多個部件是否已達到其各自的壽命;當清洗基板載具時,基板載具內的一空氣壓力的一測量值;當清洗基板載具時,一廢氣的濕度的一測量值;當清洗基板載具時,一廢氣的流量的一測量值;以及當清洗基板載具時,在一廢氣中的粒子的一測量值。
在半導體製造系統的一實施例中,包含一空氣過濾器的一或多個部件位於基板載具內。在半導體製造系統的另一實施例中,基板載具配置以承載複數個晶圓。
在本發明之另一實施例提供一種方法,包含清洗一晶圓載具以產生一廢氣;以及基於一或多個下列條件來決定晶圓載具是否需要維修:在晶圓載具內的氣體壓力是否在一預定氣體壓力範圍內;廢氣的濕度是否在一預定濕度範圍內;廢氣的流量是否在一預定流量範圍內;廢氣中的粒子的尺寸是否小於一尺寸閥值;廢氣中的粒子總數是否小於一總數閥值;以及在晶圓載具中的過濾器是否已達到其壽命的終點。
在一實施例中,當決定晶圓載具需要維修時,此方法更包含:利用一懸吊式自動搬運系統(overhead transport,OHT)發送晶圓載具至載具維修工作站;以及藉由載具維修工作站更換晶圓載具的一或多個部件。在進一步的實施例中,更 換一或多個部件之步驟包含:利用懸吊式自動搬運系統接收晶圓載具;利用至少一機械手臂移除晶圓載具的一或多個部件;利用至少另一機械手臂安裝一或多個替代的部件至晶圓載具;以及利用至少一光學裝置來檢測一或多個替代的部件。在進一步的實施例中,一或多個替代的部件通過檢測的條件下,方法更包含:利用懸吊式自動搬運系統釋放晶圓載具至一半導體製程機台。
在本發明之另一實施例提供一種半導體製造設備,包含一輸入埠以接收一晶圓載具;一部件容置器以持有複數個新的空氣過濾器;一第一機構,以由晶圓載具中移除一空氣過濾器;一第二機構,以由部件容置器提取一新的空氣過濾器;一第三機構,將新的空氣過濾器安裝置晶圓載具上;以及一輸出埠,在新的空氣過濾器安裝後傳送晶圓載具。
在一實施例中,半導體製造設備更包含一旋轉器,以旋轉晶圓載具。在另一實施例中,半導體製造設備進一步包含一第四機構,以移除晶圓載具之一外殼,藉以露出空氣過濾器。第四機構配置以在新的空氣過濾器安裝後重新安裝外殼。
在一實施例中,半導體製造設備更包含一第一檢測機構,以在新的空氣過濾器未安裝到晶圓載具之前對新的空氣過濾器進行檢測。在一實施例中,半導體製造設備更包含一部件處置器,在空氣過濾器由晶圓載具移除後接收此空氣過濾器。在更一實施例中,半導體製造設備更包含一第二檢測機構,在安新的空氣過濾器安裝到晶圓載具後對新的空氣過濾器 進行檢測。
在本發明之另一實施例提供一種半導體製造系統,包含複數個半導體製程機台、一晶圓載具、一晶圓載具清洗工作站、一晶圓載具更換工作站、一晶圓載具維修工作站,以及一懸吊式自動搬運系統(OHT system),以在半導體製程機台、晶圓載具清洗工作站、晶圓載具更換工作站以及晶圓載具維修工作站之間傳送晶圓載具。
在半導體製造系統的一實施例中,複數個半導體製程機台中之其中一者是配置以:利用懸吊式自動搬運系統接收具有複數個晶圓的晶圓載具;對複數個晶圓進行處理;產生複數個處理後的晶圓;以及傳送複數個處理後的晶圓至晶圓載具,以利用懸吊式自動搬運系統輸送至晶圓載具清洗工作站。
在另一實施例中,晶圓載具清洗工作站是配置以:利用懸吊式自動搬運系統接收具有複數個晶圓的晶圓載具;提供一清洗氣體至晶圓載具;以及監控由來自晶圓載具之一廢氣。在進一步的實施例中,晶圓載具清洗工作站是配置以監控廢氣以決定下列至少其中之一:廢棄的濕度、廢棄的一流量、廢氣中的粒子總數,以及廢氣中的粒子的尺寸。在另一實施例中,晶圓載具清洗工作站進一步配置以監控晶圓載具內的氣體壓力。在更一實施例中,晶圓載具清洗工作站進一步配置決定晶圓載具是否需要維修。
在半導體製造系統的一實施例中,晶圓載具更換工作站是配置以:利用懸吊式自動搬運系統接收具有複數個晶圓的晶圓載具;將晶圓載具內的複數個晶圓移動至另一晶圓載 具;以及利用懸吊式自動搬運系統發送不具有任何晶圓的晶圓載具至晶圓載具維修工作站。在進一步的實施例中,晶圓載具維修工作站是配置以:利用懸吊式自動搬運系統接收不具有任何晶圓的晶圓載具;移除晶圓載具的外殼以露出空氣過濾器;將此空氣過濾器替換為一新的空氣過濾器;重新安裝外殼至晶圓載具上;以及利用懸吊式自動搬運系統釋放具有新的空氣過濾器的晶圓載具。
前述內文概述了許多實施例的特徵,使本技術領域中具有通常知識者可以從各個方面更佳地了解本揭露。本技術領域中具有通常知識者應可理解,且可輕易地以本揭露為基礎來設計或修飾其他製程及結構,並以此達到相同的目的及/或達到與在此介紹的實施例等相同之優點。本技術領域中具有通常知識者也應了解這些相等的結構並未背離本揭露的發明精神與範圍。在不背離本揭露的發明精神與範圍之前提下,可對本揭露進行各種改變、置換或修改。

Claims (20)

  1. 一種監控基板載具之方法,包含:接收一基板載具,該基板載具承載複數個晶圓於其內;提供一清洗氣體至該基板載具的一入口;由該基板載具之一出口抽取一廢氣;以及當供應該清洗氣體以及抽取該廢氣時,產生該基板載具的一健康指標(health indicator)。
  2. 如申請專利範圍第1項所述之監控基板載具之方法,其中產生該健康指標的步驟係基於下列條件中的一或多者:(a)該基板載具內的氣體壓力之一測量值;(b)該廢氣的濕度之一測量值;(c)該廢氣的一流量(flow rate)之一測量值;以及(d)該廢氣中的複數個粒子之一測量值。
  3. 如申請專利範圍第1項所述之監控基板載具之方法,其中產生該健康指標的步驟包含:讀取一氣體壓力值,該氣體壓力值係藉由安裝於該基板載具內的一壓力感測器來測量;比較該氣體壓力值與一預定氣體壓力範圍;以及當該氣體壓力值超出該預定氣體壓力範圍時,指出該基板載具需要維修。
  4. 如申請專利範圍第1項所述之監控基板載具之方法,其中產生該健康指標的步驟包含:讀取該廢氣的一濕度值,該濕度值係藉由鄰近安裝於該基板載具的該出口的一濕度感測器來測量;比較該濕度值與一預定濕度範圍;以及 當該濕度值超出該預定濕度範圍時,指出該基板載具需要維修。
  5. 如申請專利範圍第1項所述之監控基板載具之方法,其中產生該健康指標的步驟包含:測量該廢氣的一流量;比較該流量與一預定流量範圍;以及當該流量超出該預定流量範圍時,指出該基板載具需要維修。
  6. 如申請專利範圍第1項所述之監控基板載具之方法,其中產生該健康指標的步驟包含:測量該廢氣中所夾帶的該些粒子的尺寸;比較該些尺寸與一預定尺寸閥值;以及當該些尺寸超出該預定尺寸閥值時,指出該基板載具需要維修。
  7. 如申請專利範圍第1項所述之監控基板載具之方法,其中產生該健康指標的步驟包含:測量該廢氣中所夾帶的該些粒子的一總數;比較該總數與一數量閥值;以及當該總數超出該數量閥值時,指出該基板載具需要維修。
  8. 如申請專利範圍第1項所述之監控基板載具之方法,當指出該基板載具需要維修時,更包含:由該基板載具移除該些晶圓;以及透過一懸吊式自動搬運系統(overhead transport,OHT)將該基板載具調度至一載具維修工作站。
  9. 如申請專利範圍第8項所述之監控基板載具之方法,更包含:藉由該載具維修工作站接收該基板載具;藉由一第一機動工具將位在該基板載具的該入口的一入口過濾器替換為一新的入口過濾器;藉由一第二機動工具將位在該基板載具的該出口的一出口過濾器替換為一新的出口過濾器;以及藉由一第三機動工具檢測該新的入口過濾器以及該新的出口過濾器。
  10. 如申請專利範圍第9項所述之監控基板載具之方法,更包含:在該新的入口過濾器以及該新的出口過濾器都通過檢測的情況下,透過該懸吊式自動搬運系統將具有該新的入口過濾器以及該新的出口過濾器的該基板載具調度至一製程機台(process tool)。
  11. 如申請專利範圍第9項所述之監控基板載具之方法,更包含:在該新的入口過濾器以及該新的出口過濾器其中之一者未通過檢測的情況下,將該新的入口過濾器以及該新的出口過濾器其中之該者更換為一替代過濾器;以及檢測該替代過濾器。
  12. 一種半導體製造設備,包含:一輸入埠,以接收一基板載具,其中該基板載具包含一載具本體、安裝在該載具本體上之一外殼,以及安裝在該載 具本體與該外殼之間的一過濾器;一第一機械手臂,以將該外殼由該基板載具移除,並且將該外殼安裝至該基板載具;一或多個第二機械手臂,以將該過濾器由該基板載具移除,以及將一新的過濾器安裝至該基板載具;以及一輸出埠,以釋放該基板載具至一生產線。
  13. 如申請專利範圍第12項所述之半導體製造設備,其中該一或多個第二機械手臂進一步配置以安裝或移除介於該過濾器與該外殼之間的一O形環。
  14. 如申請專利範圍第12項所述之半導體製造設備,更包含:一第一檢測機構,在該一或多個第二機械手臂安裝該新的過濾器之前,檢測該新的過濾器。
  15. 如申請專利範圍第14項所述之半導體製造設備,其中該第一檢測機構包含:一攝影機,以拍攝該新的過濾器的一影像;以及一控制器,根據該影像來判斷該新的過濾器的品質。
  16. 如申請專利範圍第12項所述之半導體製造設備,更包含:一第二檢測機構,在該一或多個第二機械手臂安裝該新的過濾器之後,檢測該新的過濾器。
  17. 如申請專利範圍第16項所述之半導體製造設備,其中該第二檢測機構包含一雷射機構,以感測該新的過濾器的一表面的平坦度。
  18. 一種半導體製造系統,包含:複數個半導體製程機台; 一載具清洗工作站;一載具維修工作站;以及一懸吊式自動搬運系統,配置以於該些半導體製程機台、該載具清洗工作站以及該載具維修工作站之間傳送一或多個基板載具;其中該載具清洗工作站配置以由該些半導體製程機台之其中一者接收一基板載具,利用一惰性氣體清洗該基板載具,以及決定該基板載具是否需要維修;其中該載具維修工作站配置以接收將要維修的一基板載具,並且更換該基板載具內的一或多個部件。
  19. 如申請專利範圍第18項所述之半導體製造系統,更包含:一載具更換工作站,配置以接收具有多個基板的一基板載具,由該基板載具移除該些基板,以及設置該些基板至另一基板載具。
  20. 如申請專利範圍第18項所述之半導體製造系統,其中該載具清洗工作站配置以決定該基板載具是否需要維修是基於下列至少一個條件:該基板載具內的該一或多個部件是否已達到其各自的壽命;當清洗該基板載具時,該基板載具內的一空氣壓力的一測量值;當清洗該基板載具時,一廢氣的濕度的一測量值;當清洗該基板載具時,一廢氣的流量的一測量值;以及當清洗該基板載具時,在一廢氣中的粒子的一測量值。
TW107115569A 2017-10-26 2018-05-08 半導體製造系統、半導體製造設備以及監控基板載具之方法 TWI690701B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/794,352 2017-10-26
US15/794,352 US10861692B2 (en) 2017-10-26 2017-10-26 Substrate carrier deterioration detection and repair

Publications (2)

Publication Number Publication Date
TW201917371A true TW201917371A (zh) 2019-05-01
TWI690701B TWI690701B (zh) 2020-04-11

Family

ID=66137750

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107115569A TWI690701B (zh) 2017-10-26 2018-05-08 半導體製造系統、半導體製造設備以及監控基板載具之方法

Country Status (5)

Country Link
US (3) US10861692B2 (zh)
KR (2) KR102074472B1 (zh)
CN (1) CN109712867B (zh)
DE (1) DE102017125778A1 (zh)
TW (1) TWI690701B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI769737B (zh) * 2021-03-12 2022-07-01 旭東機械工業股份有限公司 盒蓋裝卸機構及盒蓋檢測方法
TWI806013B (zh) * 2021-03-12 2023-06-21 旭東機械工業股份有限公司 取像設備

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11819965B2 (en) 2019-05-17 2023-11-21 Taiwan Semiconductor Manufacturing Company Limited Device maintenance in semiconductor manufacturing environment
KR102140063B1 (ko) 2020-01-30 2020-08-03 주식회사 위드텍 웨이퍼캐리어의 파티클 측정 장치
US11666951B2 (en) * 2020-07-10 2023-06-06 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer handler cleaning tool
CN111604810B (zh) * 2020-07-24 2020-11-03 杭州众硅电子科技有限公司 一种晶圆传输设备、化学机械平坦化装置及晶圆传输方法
US20220392811A1 (en) * 2021-06-07 2022-12-08 Taiwan Semiconductor Manufacturing Company Ltd. Method and system for processing wafer
KR102409487B1 (ko) 2022-04-19 2022-06-15 주식회사 위드텍 반도체 웨이퍼 풉용 로드포트의 분석가스 공급을 위한 제어장치 및 그 제어방법

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR940011791B1 (ko) * 1992-04-15 1994-12-26 금성일렉트론주식회사 온도안정화 매스 플로우 컨트롤러 센서
JP3939101B2 (ja) 2000-12-04 2007-07-04 株式会社荏原製作所 基板搬送方法および基板搬送容器
TW561128B (en) 2001-05-17 2003-11-11 Ebara Corp Substrate transport container
CH712588B1 (de) * 2002-11-20 2017-12-29 Imt Imformation Management Tech Ag Gasflussmessgerät.
US6779760B2 (en) 2002-12-19 2004-08-24 Taiwan Semiconductor Manufacturing Co., Ltd Safety system for overhead transport vehicle
US20050079041A1 (en) * 2003-10-13 2005-04-14 International Business Machines Corporation Hoisting device for use with overhead traveling carriage system
US7400383B2 (en) * 2005-04-04 2008-07-15 Entegris, Inc. Environmental control in a reticle SMIF pod
US7520286B2 (en) 2005-12-05 2009-04-21 Semitool, Inc. Apparatus and method for cleaning and drying a container for semiconductor workpieces
JP4913707B2 (ja) 2007-11-07 2012-04-11 日本碍子株式会社 フィルタの検査方法及びフィルタ検査装置
US8827695B2 (en) 2008-06-23 2014-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer's ambiance control
US20100051502A1 (en) * 2008-09-04 2010-03-04 3M Innovative Properties Company Carrier having integral detection and measurement of environmental parameters
US10020182B2 (en) 2009-08-07 2018-07-10 Taiwan Semiconductor Manufacturing Company, Ltd. Digital wireless data collection
KR101780789B1 (ko) 2010-03-15 2017-09-22 삼성전자주식회사 기판 이송 용기, 가스 퍼지 모니터링 툴, 그리고 이들을 구비한 반도체 제조 설비
US8591809B2 (en) * 2010-03-15 2013-11-26 Samsung Electronics Co., Ltd. Substrate transfer container, gas purge monitoring tool, and semiconductor manufacturing equipment with the same
WO2011137371A2 (en) 2010-04-30 2011-11-03 Applied Materials, Inc. Vertical inline cvd system
US8729627B2 (en) 2010-05-14 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel integrated circuit devices
US8616821B2 (en) 2010-08-26 2013-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated apparatus to assure wafer quality and manufacturability
JP2012094822A (ja) * 2010-09-30 2012-05-17 Shibaura Mechatronics Corp 密閉型容器及び半導体製造装置
EP2638567A2 (de) 2010-11-11 2013-09-18 Zimmermann & Schilp Handhabungstechnik GmbH Belade- und entladeverfahren für eine prozesskassette
KR101476721B1 (ko) 2012-05-08 2015-01-06 주식회사 엘에스테크 다기능 로드 포트 장치
TWM450053U (zh) 2012-11-05 2013-04-01 Santa Phoenix Technology Inc 具流量控制之晶圓載具氣體填充裝置
US9640372B2 (en) 2012-11-15 2017-05-02 Applied Materials, Inc. Method and system for maintaining an edge exclusion shield
US9257439B2 (en) 2014-02-27 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET SRAM
US9677985B2 (en) * 2014-05-13 2017-06-13 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for inspecting filtering cartridge
JP6822953B2 (ja) 2014-11-25 2021-01-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板キャリア及びパージチャンバの環境制御を伴う基板処理のシステム、装置、及び方法
US9881820B2 (en) * 2015-10-22 2018-01-30 Lam Research Corporation Front opening ring pod
DE102016205597B4 (de) * 2016-04-05 2022-06-23 Fabmatics Gmbh Purge-Messsystem für FOUPs
US10818530B1 (en) * 2017-08-30 2020-10-27 Murata Machinery, Ltd. Substrate carriers with isolation membrane

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI769737B (zh) * 2021-03-12 2022-07-01 旭東機械工業股份有限公司 盒蓋裝卸機構及盒蓋檢測方法
TWI806013B (zh) * 2021-03-12 2023-06-21 旭東機械工業股份有限公司 取像設備

Also Published As

Publication number Publication date
KR102074472B1 (ko) 2020-02-06
US20230191619A1 (en) 2023-06-22
CN109712867B (zh) 2021-06-22
US20210118665A1 (en) 2021-04-22
US20190131119A1 (en) 2019-05-02
KR20200015657A (ko) 2020-02-12
TWI690701B (zh) 2020-04-11
US10861692B2 (en) 2020-12-08
DE102017125778A1 (de) 2019-05-02
CN109712867A (zh) 2019-05-03
KR102171125B1 (ko) 2020-10-29
US11584019B2 (en) 2023-02-21
KR20190046581A (ko) 2019-05-07

Similar Documents

Publication Publication Date Title
TWI690701B (zh) 半導體製造系統、半導體製造設備以及監控基板載具之方法
US20230062287A1 (en) Semiconductor stocker systems and methods
US6413145B1 (en) System for polishing and cleaning substrates
US8202139B2 (en) Method of operating substrate processing apparatus and substrate processing apparatus
JP3584262B2 (ja) 蛍光x線分析用試料前処理システムおよびそれを備えた蛍光x線分析システム
US20070062561A1 (en) Method And Apparatus For Testing Particulate Contamination In Wafer Carriers
KR20010034647A (ko) 클러스터 장비
JP5193220B2 (ja) ワークピースストッカ用の取外し可能なコンパートメント
TWI571951B (zh) 晶圓傳送盒底座檢查裝置及方法
JP2015185571A (ja) 半導体ウェーハ用の研磨装置およびこれを用いた研磨方法
US20060213537A1 (en) Vertical wafer platform systems and methods for fast wafer cleaning and measurement
JP2004025057A (ja) 基板処理システム、基板処理装置、基板処理方法、プログラム及び記録媒体
CN110961299A (zh) 喷嘴清洁设备
KR20070002257A (ko) 웨이퍼 후면 결함 검출 장치
CN116981543A (zh) 具有集成的旋转清洗干燥的硅基板的自动化里外干燥双侧抛光及计量
Brux et al. Challenges and solutions ensuring EUVL photomask integrity
JP5386137B2 (ja) 試料測定装置
TW202310977A (zh) 更換裝置及更換方法